OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [coregen/] [DP_RAM_XILINX_512/] [simulation/] [DP_RAM_XILINX_512_synth.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
 
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
 
10
--------------------------------------------------------------------------------
11
--
12
-- BLK MEM GEN v7_3 Core - Synthesizable Testbench
13
--
14
--------------------------------------------------------------------------------
15
--
16
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
17
--
18
-- This file contains confidential and proprietary information
19
-- of Xilinx, Inc. and is protected under U.S. and
20
-- international copyright and other intellectual property
21
-- laws.
22
--
23
-- DISCLAIMER
24
-- This disclaimer is not a license and does not grant any
25
-- rights to the materials distributed herewith. Except as
26
-- otherwise provided in a valid license issued to you by
27
-- Xilinx, and to the maximum extent permitted by applicable
28
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
29
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
30
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
31
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
32
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
33
-- (2) Xilinx shall not be liable (whether in contract or tort,
34
-- including negligence, or under any other theory of
35
-- liability) for any loss or damage of any kind or nature
36
-- related to, arising under or in connection with these
37
-- materials, including for any direct, or any indirect,
38
-- special, incidental, or consequential loss or damage
39
-- (including loss of data, profits, goodwill, or any type of
40
-- loss or damage suffered as a result of any action brought
41
-- by a third party) even if such damage or loss was
42
-- reasonably foreseeable or Xilinx had been advised of the
43
-- possibility of the same.
44
--
45
-- CRITICAL APPLICATIONS
46
-- Xilinx products are not designed or intended to be fail-
47
-- safe, or for use in any application requiring fail-safe
48
-- performance, such as life-support or safety devices or
49
-- systems, Class III medical devices, nuclear facilities,
50
-- applications related to the deployment of airbags, or any
51
-- other applications that could lead to death, personal
52
-- injury, or severe property or environmental damage
53
-- (individually and collectively, "Critical
54
-- Applications"). Customer assumes the sole risk and
55
-- liability of any use of Xilinx products in Critical
56
-- Applications, subject only to applicable laws and
57
-- regulations governing limitations on product liability.
58
--
59
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
60
-- PART OF THIS FILE AT ALL TIMES.
61
 
62
--------------------------------------------------------------------------------
63
--
64
-- Filename: DP_RAM_XILINX_512_synth.vhd
65
--
66
-- Description:
67
--  Synthesizable Testbench
68
--------------------------------------------------------------------------------
69
-- Author: IP Solutions Division
70
--
71
-- History: Sep 12, 2011 - First Release
72
--------------------------------------------------------------------------------
73
--
74
--------------------------------------------------------------------------------
75
-- Library Declarations
76
--------------------------------------------------------------------------------
77
 
78
LIBRARY IEEE;
79
USE IEEE.STD_LOGIC_1164.ALL;
80
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
81
USE IEEE.STD_LOGIC_ARITH.ALL;
82
USE IEEE.NUMERIC_STD.ALL;
83
USE IEEE.STD_LOGIC_MISC.ALL;
84
 
85
LIBRARY STD;
86
USE STD.TEXTIO.ALL;
87
 
88
--LIBRARY unisim;
89
--USE unisim.vcomponents.ALL;
90
 
91
LIBRARY work;
92
USE work.ALL;
93
USE work.BMG_TB_PKG.ALL;
94
 
95
ENTITY DP_RAM_XILINX_512_synth IS
96
PORT(
97
        CLK_IN     : IN  STD_LOGIC;
98
        CLKB_IN     : IN  STD_LOGIC;
99
    RESET_IN   : IN  STD_LOGIC;
100
    STATUS     : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0')   --ERROR STATUS OUT OF FPGA
101
    );
102
END ENTITY;
103
 
104
ARCHITECTURE DP_RAM_XILINX_512_synth_ARCH OF DP_RAM_XILINX_512_synth IS
105
 
106
 
107
COMPONENT DP_RAM_XILINX_512_exdes
108
  PORT (
109
      --Inputs - Port A
110
    WEA            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
111
    ADDRA          : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
112
    DINA           : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
113
    CLKA       : IN STD_LOGIC;
114
 
115
      --Inputs - Port B
116
    ENB            : IN STD_LOGIC;  --opt port
117
    ADDRB          : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
118
    DOUTB          : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
119
    CLKB           : IN STD_LOGIC
120
 
121
  );
122
 
123
END COMPONENT;
124
 
125
 
126
  SIGNAL CLKA: STD_LOGIC := '0';
127
  SIGNAL RSTA: STD_LOGIC := '0';
128
  SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
129
  SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
130
  SIGNAL ADDRA: STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
131
  SIGNAL ADDRA_R: STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
132
  SIGNAL DINA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
133
  SIGNAL DINA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
134
  SIGNAL CLKB: STD_LOGIC := '0';
135
  SIGNAL RSTB: STD_LOGIC := '0';
136
  SIGNAL ENB: STD_LOGIC := '0';
137
  SIGNAL ENB_R: STD_LOGIC := '0';
138
  SIGNAL ADDRB: STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
139
  SIGNAL ADDRB_R: STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
140
  SIGNAL DOUTB: STD_LOGIC_VECTOR(31 DOWNTO 0);
141
  SIGNAL CHECKER_EN : STD_LOGIC:='0';
142
  SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
143
  SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
144
  SIGNAL clk_in_i: STD_LOGIC;
145
 
146
  SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
147
  SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
148
  SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
149
 
150
  SIGNAL clkb_in_i: STD_LOGIC;
151
  SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1';
152
  SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1';
153
  SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1';
154
  SIGNAL ITER_R0 : STD_LOGIC := '0';
155
  SIGNAL ITER_R1 : STD_LOGIC := '0';
156
  SIGNAL ITER_R2 : STD_LOGIC := '0';
157
 
158
  SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
159
  SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
160
 
161
  BEGIN
162
 
163
--  clk_buf: bufg
164
--    PORT map(
165
--      i => CLK_IN,
166
--      o => clk_in_i
167
--    );
168
   clk_in_i <= CLK_IN;
169
   CLKA <= clk_in_i;
170
 
171
--  clkb_buf: bufg
172
--    PORT map(
173
--      i => CLKB_IN,
174
--      o => clkb_in_i
175
--    );
176
   clkb_in_i <= CLKB_IN;
177
   CLKB <= clkb_in_i;
178
   RSTA <= RESET_SYNC_R3 AFTER 50 ns;
179
 
180
 
181
   PROCESS(clk_in_i)
182
   BEGIN
183
      IF(RISING_EDGE(clk_in_i)) THEN
184
                 RESET_SYNC_R1 <= RESET_IN;
185
                 RESET_SYNC_R2 <= RESET_SYNC_R1;
186
                 RESET_SYNC_R3 <= RESET_SYNC_R2;
187
          END IF;
188
   END PROCESS;
189
 
190
   RSTB <= RESETB_SYNC_R3 AFTER 50 ns;
191
 
192
   PROCESS(clkb_in_i)
193
   BEGIN
194
      IF(RISING_EDGE(clkb_in_i)) THEN
195
                 RESETB_SYNC_R1 <= RESET_IN;
196
                 RESETB_SYNC_R2 <= RESETB_SYNC_R1;
197
                 RESETB_SYNC_R3 <= RESETB_SYNC_R2;
198
          END IF;
199
   END PROCESS;
200
 
201
PROCESS(CLKA)
202
BEGIN
203
  IF(RISING_EDGE(CLKA)) THEN
204
    IF(RESET_SYNC_R3='1') THEN
205
        ISSUE_FLAG_STATUS<= (OTHERS => '0');
206
          ELSE
207
        ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
208
   END IF;
209
  END IF;
210
END PROCESS;
211
 
212
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
213
 
214
 
215
 
216
 
217
   BMG_DATA_CHECKER_INST: ENTITY work.CHECKER
218
      GENERIC MAP (
219
         WRITE_WIDTH => 32,
220
                 READ_WIDTH  => 32      )
221
      PORT MAP (
222
         CLK      => clkb_in_i,
223
         RST      => RSTB,
224
         EN       => CHECKER_EN_R,
225
         DATA_IN  => DOUTB,
226
         STATUS   => ISSUE_FLAG(0)
227
           );
228
 
229
   PROCESS(clkb_in_i)
230
   BEGIN
231
      IF(RISING_EDGE(clkb_in_i)) THEN
232
         IF(RSTB='1') THEN
233
                    CHECKER_EN_R <= '0';
234
             ELSE
235
                    CHECKER_EN_R <= CHECKER_EN AFTER 50 ns;
236
         END IF;
237
      END IF;
238
   END PROCESS;
239
 
240
 
241
 BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
242
     PORT MAP(
243
       CLKA => clk_in_i,
244
       CLKB => clkb_in_i,
245
       TB_RST => RSTA,
246
       ADDRA  => ADDRA,
247
       DINA => DINA,
248
       WEA => WEA,
249
       ADDRB => ADDRB,
250
       ENB => ENB,
251
           CHECK_DATA => CHECKER_EN
252
     );
253
  PROCESS(CLKA)
254
  BEGIN
255
    IF(RISING_EDGE(CLKA)) THEN
256
          IF(RESET_SYNC_R3='1') THEN
257
                STATUS(8) <= '0';
258
                iter_r2 <= '0';
259
                iter_r1 <= '0';
260
                iter_r0 <= '0';
261
          ELSE
262
                STATUS(8) <= iter_r2;
263
                iter_r2 <= iter_r1;
264
                iter_r1 <= iter_r0;
265
                iter_r0 <= STIMULUS_FLOW(8);
266
      END IF;
267
    END IF;
268
  END PROCESS;
269
 
270
 
271
  PROCESS(CLKA)
272
  BEGIN
273
    IF(RISING_EDGE(CLKA)) THEN
274
          IF(RESET_SYNC_R3='1') THEN
275
              STIMULUS_FLOW <= (OTHERS => '0');
276
       ELSIF(WEA(0)='1') THEN
277
              STIMULUS_FLOW <= STIMULUS_FLOW+1;
278
     END IF;
279
            END IF;
280
      END PROCESS;
281
 
282
 
283
 
284
      PROCESS(CLKA)
285
      BEGIN
286
        IF(RISING_EDGE(CLKA)) THEN
287
                  IF(RESET_SYNC_R3='1') THEN
288
            WEA_R  <= (OTHERS=>'0') AFTER 50 ns;
289
            DINA_R <= (OTHERS=>'0') AFTER 50 ns;
290
            ENB_R <= '0' AFTER 50 ns;
291
 
292
 
293
           ELSE
294
            WEA_R  <= WEA AFTER 50 ns;
295
            DINA_R <= DINA AFTER 50 ns;
296
          ENB_R <= ENB AFTER 50 ns;
297
 
298
         END IF;
299
            END IF;
300
      END PROCESS;
301
 
302
 
303
      PROCESS(CLKA)
304
      BEGIN
305
        IF(RISING_EDGE(CLKA)) THEN
306
                  IF(RESET_SYNC_R3='1') THEN
307
            ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
308
            ADDRB_R <= (OTHERS=> '0') AFTER 50 ns;
309
          ELSE
310
            ADDRA_R <= ADDRA AFTER 50 ns;
311
            ADDRB_R <= ADDRB AFTER 50 ns;
312
          END IF;
313
            END IF;
314
      END PROCESS;
315
 
316
 
317
    BMG_PORT: DP_RAM_XILINX_512_exdes PORT MAP (
318
      --Port A
319
      WEA        => WEA_R,
320
      ADDRA      => ADDRA_R,
321
      DINA       => DINA_R,
322
      CLKA       => CLKA,
323
      --Port B
324
      ENB        => ENB_R,
325
      ADDRB      => ADDRB_R,
326
      DOUTB      => DOUTB,
327
      CLKB       => CLKB
328
 
329
    );
330
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.