OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [DP_RAM_XILINX_512/] [simulation/] [bmg_stim_gen.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
        --------------------------------------------------------------------------------
2
--
3
-- BLK MEM GEN v7_3 Core - Stimulus Generator For TDP
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
8
--
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
--
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
--
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
--
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
 
53
--------------------------------------------------------------------------------
54
--
55
-- Filename: bmg_stim_gen.vhd
56
--
57
-- Description:
58
--  Stimulus Generation For TDP
59
--  100 Writes and 100 Reads will be performed in a repeatitive loop till the 
60
--  simulation ends
61
--
62
--------------------------------------------------------------------------------
63
-- Author: IP Solutions Division
64
--
65
-- History: Sep 12, 2011 - First Release
66
--------------------------------------------------------------------------------
67
--
68
--------------------------------------------------------------------------------
69
-- Library Declarations
70
--------------------------------------------------------------------------------
71
LIBRARY IEEE;
72
USE IEEE.STD_LOGIC_1164.ALL;
73
USE IEEE.STD_LOGIC_ARITH.ALL;
74
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
75
USE IEEE.STD_LOGIC_MISC.ALL;
76
 
77
 LIBRARY work;
78
USE work.ALL;
79
USE work.BMG_TB_PKG.ALL;
80
 
81
 
82
ENTITY REGISTER_LOGIC_TDP IS
83
  PORT(
84
    Q   : OUT STD_LOGIC;
85
    CLK   : IN STD_LOGIC;
86
    RST : IN STD_LOGIC;
87
    D   : IN STD_LOGIC
88
    );
89
END REGISTER_LOGIC_TDP;
90
 
91
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS
92
SIGNAL Q_O : STD_LOGIC :='0';
93
BEGIN
94
  Q <= Q_O;
95
  FF_BEH: PROCESS(CLK)
96
  BEGIN
97
     IF(RISING_EDGE(CLK)) THEN
98
        IF(RST ='1') THEN
99
               Q_O <= '0';
100
        ELSE
101
           Q_O <= D;
102
        END IF;
103
      END IF;
104
   END PROCESS;
105
END REGISTER_ARCH;
106
 
107
LIBRARY IEEE;
108
USE IEEE.STD_LOGIC_1164.ALL;
109
USE IEEE.STD_LOGIC_ARITH.ALL;
110
--USE IEEE.NUMERIC_STD.ALL;
111
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
112
USE IEEE.STD_LOGIC_MISC.ALL;
113
 
114
 LIBRARY work;
115
USE work.ALL;
116
USE work.BMG_TB_PKG.ALL;
117
 
118
 
119
ENTITY BMG_STIM_GEN IS
120
   PORT (
121
      CLKA     : IN   STD_LOGIC;
122
      CLKB     : IN   STD_LOGIC;
123
      TB_RST   : IN   STD_LOGIC;
124
      ADDRA    : OUT  STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
125
      DINA     : OUT  STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
126
      WEA      : OUT  STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
127
      WEB      : OUT  STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
128
      ADDRB    : OUT  STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
129
      DINB     : OUT  STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
130
      ENB      : OUT  STD_LOGIC :='0';
131
     CHECK_DATA: OUT  STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0')
132
          );
133
END BMG_STIM_GEN;
134
 
135
 
136
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
137
 
138
CONSTANT ZERO                : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
139
CONSTANT ADDR_ZERO           : STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
140
CONSTANT DATA_PART_CNT_A     : INTEGER:= DIVROUNDUP(32,32);
141
CONSTANT DATA_PART_CNT_B     : INTEGER:= DIVROUNDUP(32,32);
142
SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
143
SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
144
SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
145
SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
146
SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(8  DOWNTO 0) := (OTHERS => '0');
147
SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(8  DOWNTO 0) := (OTHERS => '0');
148
SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
149
SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
150
SIGNAL DINA_INT  : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
151
SIGNAL DINB_INT  : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
152
SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(512,11);
153
SIGNAL DO_WRITE_A : STD_LOGIC := '0';
154
SIGNAL DO_READ_A : STD_LOGIC := '0';
155
SIGNAL DO_WRITE_B : STD_LOGIC := '0';
156
SIGNAL DO_READ_B : STD_LOGIC := '0';
157
SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0');
158
SIGNAL DO_READ_RA : STD_LOGIC := '0';
159
SIGNAL DO_READ_RB : STD_LOGIC := '0';
160
SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
161
SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
162
SIGNAL COUNT : integer := 0;
163
SIGNAL COUNT_B : integer := 0;
164
CONSTANT WRITE_CNT_A : integer := 6;
165
CONSTANT READ_CNT_A : integer := 6;
166
CONSTANT WRITE_CNT_B : integer := 4;
167
CONSTANT READ_CNT_B : integer := 4;
168
 
169
signal porta_wr_rd : std_logic:='0';
170
signal portb_wr_rd : std_logic:='0';
171
signal porta_wr_rd_complete: std_logic:='0';
172
signal portb_wr_rd_complete: std_logic:='0';
173
signal incr_cnt : std_logic :='0';
174
signal incr_cnt_b : std_logic :='0';
175
 
176
SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0';
177
SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0';
178
SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0';
179
SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0';
180
SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0';
181
SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0';
182
SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0';
183
SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0';
184
SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0';
185
SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0';
186
SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0';
187
SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0';
188
BEGIN
189
 
190
  WRITE_ADDR_INT_A(8 DOWNTO 0) <= WRITE_ADDR_A(8 DOWNTO 0);
191
  READ_ADDR_INT_A(8 DOWNTO 0) <= READ_ADDR_A(8 DOWNTO 0);
192
  ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ;
193
  WRITE_ADDR_INT_B(8 DOWNTO 0) <= WRITE_ADDR_B(8 DOWNTO 0);
194
--To avoid collision during idle period, negating the read_addr of port A
195
  READ_ADDR_INT_B(8 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(8 DOWNTO 0));
196
  ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ;
197
  DINA  <= DINA_INT ;
198
  DINB  <= DINB_INT ;
199
 
200
  CHECK_DATA(0) <= DO_READ_A;
201
  CHECK_DATA(1) <= DO_READ_B;
202
  RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
203
    GENERIC MAP( C_MAX_DEPTH => 512,
204
                 RST_INC => 1 )
205
     PORT MAP(
206
        CLK => CLKA,
207
            RST => TB_RST,
208
        EN  => DO_READ_A,
209
        LOAD => '0',
210
        LOAD_VALUE => ZERO,
211
            ADDR_OUT => READ_ADDR_A
212
       );
213
 
214
  WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
215
    GENERIC MAP( C_MAX_DEPTH =>512 ,
216
                 RST_INC => 1 )
217
 
218
     PORT MAP(
219
        CLK => CLKA,
220
        RST => TB_RST,
221
        EN  => DO_WRITE_A,
222
        LOAD => '0',
223
            LOAD_VALUE => ZERO,
224
        ADDR_OUT => WRITE_ADDR_A
225
       );
226
 
227
  RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
228
    GENERIC MAP( C_MAX_DEPTH => 512 ,
229
                 RST_INC => 1 )
230
 
231
     PORT MAP(
232
        CLK => CLKB,
233
        RST => TB_RST,
234
        EN  => DO_READ_B,
235
        LOAD => '0',
236
            LOAD_VALUE => ZERO,
237
        ADDR_OUT => READ_ADDR_B
238
       );
239
 
240
  WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
241
    GENERIC MAP( C_MAX_DEPTH => 512 ,
242
                 RST_INC => 1 )
243
 
244
     PORT MAP(
245
        CLK => CLKB,
246
        RST => TB_RST,
247
        EN  => DO_WRITE_B,
248
        LOAD => '0',
249
            LOAD_VALUE => ZERO,
250
        ADDR_OUT => WRITE_ADDR_B
251
       );
252
 
253
  WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN
254
      GENERIC MAP ( DATA_GEN_WIDTH =>32,
255
                    DOUT_WIDTH => 32,
256
                    DATA_PART_CNT => 1,
257
                    SEED => 2)
258
 
259
      PORT MAP (
260
            CLK =>CLKA,
261
                        RST => TB_RST,
262
            EN  => DO_WRITE_A,
263
            DATA_OUT => DINA_INT
264
           );
265
 
266
  WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN
267
      GENERIC MAP ( DATA_GEN_WIDTH =>32,
268
                    DOUT_WIDTH =>32 ,
269
                    DATA_PART_CNT =>1,
270
                        SEED => 2)
271
 
272
      PORT MAP (
273
            CLK =>CLKB,
274
                        RST => TB_RST,
275
            EN  => DO_WRITE_B,
276
            DATA_OUT => DINB_INT
277
           );
278
 
279
 
280
PROCESS(CLKB)
281
BEGIN
282
  IF(RISING_EDGE(CLKB)) THEN
283
    IF(TB_RST='1') THEN
284
      LATCH_PORTB_WR_RD_COMPLETE<='0';
285
    ELSIF(PORTB_WR_RD_COMPLETE='1') THEN
286
      LATCH_PORTB_WR_RD_COMPLETE <='1';
287
    ELSIF(PORTA_WR_RD_HAPPENED='1') THEN
288
      LATCH_PORTB_WR_RD_COMPLETE<='0';
289
    END IF;
290
  END IF;
291
END PROCESS;
292
 
293
PROCESS(CLKA)
294
BEGIN
295
  IF(RISING_EDGE(CLKA)) THEN
296
    IF(TB_RST='1') THEN
297
      PORTB_WR_RD_L1 <='0';
298
      PORTB_WR_RD_L2 <='0';
299
    ELSE
300
     PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE;
301
     PORTB_WR_RD_L2 <= PORTB_WR_RD_L1;
302
    END IF;
303
 END IF;
304
END PROCESS;
305
 
306
PORTA_WR_RD_EN: PROCESS(CLKA)
307
BEGIN
308
  IF(RISING_EDGE(CLKA)) THEN
309
    IF(TB_RST='1') THEN
310
      PORTA_WR_RD <='1';
311
    ELSE
312
      PORTA_WR_RD <= PORTB_WR_RD_L2;
313
    END IF;
314
  END IF;
315
END PROCESS;
316
 
317
PROCESS(CLKB)
318
BEGIN
319
  IF(RISING_EDGE(CLKB)) THEN
320
    IF(TB_RST='1') THEN
321
      PORTA_WR_RD_R1 <='0';
322
      PORTA_WR_RD_R2 <='0';
323
    ELSE
324
      PORTA_WR_RD_R1 <=PORTA_WR_RD;
325
      PORTA_WR_RD_R2 <=PORTA_WR_RD_R1;
326
    END IF;
327
 END IF;
328
END PROCESS;
329
 
330
PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2;
331
 
332
 
333
 
334
PROCESS(CLKA)
335
BEGIN
336
  IF(RISING_EDGE(CLKA)) THEN
337
    IF(TB_RST='1') THEN
338
      LATCH_PORTA_WR_RD_COMPLETE<='0';
339
    ELSIF(PORTA_WR_RD_COMPLETE='1') THEN
340
      LATCH_PORTA_WR_RD_COMPLETE <='1';
341
    ELSIF(PORTB_WR_RD_HAPPENED='1') THEN
342
      LATCH_PORTA_WR_RD_COMPLETE<='0';
343
    END IF;
344
  END IF;
345
END PROCESS;
346
 
347
PROCESS(CLKB)
348
BEGIN
349
  IF(RISING_EDGE(CLKB)) THEN
350
    IF(TB_RST='1') THEN
351
      PORTA_WR_RD_L1 <='0';
352
      PORTA_WR_RD_L2 <='0';
353
    ELSE
354
     PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE;
355
     PORTA_WR_RD_L2 <= PORTA_WR_RD_L1;
356
    END IF;
357
 END IF;
358
END PROCESS;
359
 
360
 
361
 
362
PORTB_EN: PROCESS(CLKB)
363
BEGIN
364
  IF(RISING_EDGE(CLKB)) THEN
365
    IF(TB_RST='1') THEN
366
      PORTB_WR_RD <='0';
367
    ELSE
368
      PORTB_WR_RD <= PORTA_WR_RD_L2;
369
    END IF;
370
  END IF;
371
END PROCESS;
372
 
373
PROCESS(CLKA)
374
BEGIN
375
  IF(RISING_EDGE(CLKA)) THEN
376
    IF(TB_RST='1') THEN
377
      PORTB_WR_RD_R1 <='0';
378
      PORTB_WR_RD_R2 <='0';
379
    ELSE
380
      PORTB_WR_RD_R1 <=PORTB_WR_RD;
381
      PORTB_WR_RD_R2 <=PORTB_WR_RD_R1;
382
    END IF;
383
 END IF;
384
END PROCESS;
385
 
386
---double registered of porta complete on portb clk
387
PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2;
388
 
389
PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0';
390
 
391
start_counter: process(clka)
392
begin
393
  if(rising_edge(clka)) then
394
    if(TB_RST='1') then
395
       incr_cnt <= '0';
396
     elsif(porta_wr_rd ='1') then
397
       incr_cnt <='1';
398
     elsif(porta_wr_rd_complete='1') then
399
       incr_cnt <='0';
400
     end if;
401
  end if;
402
end process;
403
 
404
COUNTER: process(clka)
405
begin
406
  if(rising_edge(clka)) then
407
    if(TB_RST='1') then
408
      count <= 0;
409
    elsif(incr_cnt='1') then
410
      count<=count+1;
411
    end if;
412
    if(count=(WRITE_CNT_A+READ_CNT_A)) then
413
      count<=0;
414
    end if;
415
 end if;
416
end process;
417
 
418
DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0';
419
DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0';
420
 
421
PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0';
422
 
423
startb_counter: process(clkb)
424
begin
425
  if(rising_edge(clkb)) then
426
    if(TB_RST='1') then
427
       incr_cnt_b <= '0';
428
     elsif(portb_wr_rd ='1') then
429
       incr_cnt_b <='1';
430
     elsif(portb_wr_rd_complete='1') then
431
       incr_cnt_b <='0';
432
     end if;
433
  end if;
434
end process;
435
 
436
COUNTER_B: process(clkb)
437
begin
438
  if(rising_edge(clkb)) then
439
    if(TB_RST='1') then
440
      count_b <= 0;
441
    elsif(incr_cnt_b='1') then
442
      count_b<=count_b+1;
443
    end if;
444
    if(count_b=WRITE_CNT_B+READ_CNT_B) then
445
      count_b<=0;
446
    end if;
447
 end if;
448
end process;
449
 
450
DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0';
451
DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0';
452
 
453
  BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE
454
  BEGIN
455
    DFF_RIGHT: IF I=0 GENERATE
456
     BEGIN
457
     SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
458
        PORT MAP(
459
                 Q  => DO_READ_REG_A(0),
460
                 CLK =>CLKA,
461
                 RST=>TB_RST,
462
                 D  =>DO_READ_A
463
                );
464
     END GENERATE DFF_RIGHT;
465
    DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
466
     BEGIN
467
       SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
468
         PORT MAP(
469
                 Q  => DO_READ_REG_A(I),
470
                 CLK =>CLKA,
471
                 RST=>TB_RST,
472
                 D  =>DO_READ_REG_A(I-1)
473
                );
474
      END GENERATE DFF_OTHERS;
475
   END GENERATE BEGIN_SHIFT_REG_A;
476
  BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE
477
  BEGIN
478
    DFF_RIGHT: IF I=0 GENERATE
479
     BEGIN
480
     SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
481
        PORT MAP(
482
                 Q  => DO_READ_REG_B(0),
483
                 CLK =>CLKB,
484
                 RST=>TB_RST,
485
                 D  =>DO_READ_B
486
                );
487
     END GENERATE DFF_RIGHT;
488
    DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
489
     BEGIN
490
       SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
491
         PORT MAP(
492
                 Q  => DO_READ_REG_B(I),
493
                 CLK =>CLKB,
494
                 RST=>TB_RST,
495
                 D  =>DO_READ_REG_B(I-1)
496
                );
497
      END GENERATE DFF_OTHERS;
498
   END GENERATE BEGIN_SHIFT_REG_B;
499
 
500
 
501
 
502
REGCEA_PROCESS: PROCESS(CLKA)
503
  BEGIN
504
    IF(RISING_EDGE(CLKA)) THEN
505
      IF(TB_RST='1') THEN
506
         DO_READ_RA <= '0';
507
     ELSE
508
         DO_READ_RA <= DO_READ_A;
509
      END IF;
510
    END IF;
511
END PROCESS;
512
 
513
REGCEB_PROCESS: PROCESS(CLKB)
514
  BEGIN
515
    IF(RISING_EDGE(CLKB)) THEN
516
      IF(TB_RST='1') THEN
517
         DO_READ_RB <= '0';
518
     ELSE
519
         DO_READ_RB <= DO_READ_B;
520
      END IF;
521
    END IF;
522
END PROCESS;
523
 
524
---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER
525
--- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER
526
--WHEN  CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER.
527
-- HERE, TO GENERAILIZE REGCE IS ASSERTED 
528
 
529
  ENB <= DO_READ_B OR DO_WRITE_B ;
530
  WEA(0) <= IF_THEN_ELSE(DO_WRITE_A='1','1','0') ;
531
  WEB(0) <= IF_THEN_ELSE(DO_WRITE_B='1','1','0') ;
532
 
533
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.