OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [DP_RAM_XILINX_512.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2015 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file DP_RAM_XILINX_512.vhd when simulating
30
-- the core, DP_RAM_XILINX_512. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY DP_RAM_XILINX_512 IS
44
  PORT (
45
    clka : IN STD_LOGIC;
46
    wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
47
    addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
48
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
49
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
50
    clkb : IN STD_LOGIC;
51
    enb : IN STD_LOGIC;
52
    web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
53
    addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
54
    dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
55
    doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
56
  );
57
END DP_RAM_XILINX_512;
58
 
59
ARCHITECTURE DP_RAM_XILINX_512_a OF DP_RAM_XILINX_512 IS
60
-- synthesis translate_off
61
COMPONENT wrapped_DP_RAM_XILINX_512
62
  PORT (
63
    clka : IN STD_LOGIC;
64
    wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
65
    addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
66
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
67
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
68
    clkb : IN STD_LOGIC;
69
    enb : IN STD_LOGIC;
70
    web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
71
    addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
72
    dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
73
    doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
74
  );
75
END COMPONENT;
76
 
77
-- Configuration specification
78
  FOR ALL : wrapped_DP_RAM_XILINX_512 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
79
    GENERIC MAP (
80
      c_addra_width => 9,
81
      c_addrb_width => 9,
82
      c_algorithm => 1,
83
      c_axi_id_width => 4,
84
      c_axi_slave_type => 0,
85
      c_axi_type => 1,
86
      c_byte_size => 9,
87
      c_common_clk => 0,
88
      c_default_data => "0",
89
      c_disable_warn_bhv_coll => 0,
90
      c_disable_warn_bhv_range => 0,
91
      c_enable_32bit_address => 0,
92
      c_family => "virtex7",
93
      c_has_axi_id => 0,
94
      c_has_ena => 0,
95
      c_has_enb => 1,
96
      c_has_injecterr => 0,
97
      c_has_mem_output_regs_a => 0,
98
      c_has_mem_output_regs_b => 0,
99
      c_has_mux_output_regs_a => 0,
100
      c_has_mux_output_regs_b => 0,
101
      c_has_regcea => 0,
102
      c_has_regceb => 0,
103
      c_has_rsta => 0,
104
      c_has_rstb => 0,
105
      c_has_softecc_input_regs_a => 0,
106
      c_has_softecc_output_regs_b => 0,
107
      c_init_file => "BlankString",
108
      c_init_file_name => "no_coe_file_loaded",
109
      c_inita_val => "0",
110
      c_initb_val => "0",
111
      c_interface_type => 0,
112
      c_load_init_file => 0,
113
      c_mem_type => 2,
114
      c_mux_pipeline_stages => 0,
115
      c_prim_type => 1,
116
      c_read_depth_a => 512,
117
      c_read_depth_b => 512,
118
      c_read_width_a => 32,
119
      c_read_width_b => 32,
120
      c_rst_priority_a => "CE",
121
      c_rst_priority_b => "CE",
122
      c_rst_type => "SYNC",
123
      c_rstram_a => 0,
124
      c_rstram_b => 0,
125
      c_sim_collision_check => "ALL",
126
      c_use_bram_block => 0,
127
      c_use_byte_wea => 0,
128
      c_use_byte_web => 0,
129
      c_use_default_data => 0,
130
      c_use_ecc => 0,
131
      c_use_softecc => 0,
132
      c_wea_width => 1,
133
      c_web_width => 1,
134
      c_write_depth_a => 512,
135
      c_write_depth_b => 512,
136
      c_write_mode_a => "WRITE_FIRST",
137
      c_write_mode_b => "WRITE_FIRST",
138
      c_write_width_a => 32,
139
      c_write_width_b => 32,
140
      c_xdevicefamily => "virtex7"
141
    );
142
-- synthesis translate_on
143
BEGIN
144
-- synthesis translate_off
145
U0 : wrapped_DP_RAM_XILINX_512
146
  PORT MAP (
147
    clka => clka,
148
    wea => wea,
149
    addra => addra,
150
    dina => dina,
151
    douta => douta,
152
    clkb => clkb,
153
    enb => enb,
154
    web => web,
155
    addrb => addrb,
156
    dinb => dinb,
157
    doutb => doutb
158
  );
159
-- synthesis translate_on
160
 
161
END DP_RAM_XILINX_512_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.