OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [DP_RAM_XILINX_MASK/] [example_design/] [DP_RAM_XILINX_MASK_exdes.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
 
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
--------------------------------------------------------------------------------
10
--
11
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
12
--
13
--------------------------------------------------------------------------------
14
--
15
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
16
--
17
-- This file contains confidential and proprietary information
18
-- of Xilinx, Inc. and is protected under U.S. and
19
-- international copyright and other intellectual property
20
-- laws.
21
--
22
-- DISCLAIMER
23
-- This disclaimer is not a license and does not grant any
24
-- rights to the materials distributed herewith. Except as
25
-- otherwise provided in a valid license issued to you by
26
-- Xilinx, and to the maximum extent permitted by applicable
27
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
28
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
29
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
30
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
31
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
32
-- (2) Xilinx shall not be liable (whether in contract or tort,
33
-- including negligence, or under any other theory of
34
-- liability) for any loss or damage of any kind or nature
35
-- related to, arising under or in connection with these
36
-- materials, including for any direct, or any indirect,
37
-- special, incidental, or consequential loss or damage
38
-- (including loss of data, profits, goodwill, or any type of
39
-- loss or damage suffered as a result of any action brought
40
-- by a third party) even if such damage or loss was
41
-- reasonably foreseeable or Xilinx had been advised of the
42
-- possibility of the same.
43
--
44
-- CRITICAL APPLICATIONS
45
-- Xilinx products are not designed or intended to be fail-
46
-- safe, or for use in any application requiring fail-safe
47
-- performance, such as life-support or safety devices or
48
-- systems, Class III medical devices, nuclear facilities,
49
-- applications related to the deployment of airbags, or any
50
-- other applications that could lead to death, personal
51
-- injury, or severe property or environmental damage
52
-- (individually and collectively, "Critical
53
-- Applications"). Customer assumes the sole risk and
54
-- liability of any use of Xilinx products in Critical
55
-- Applications, subject only to applicable laws and
56
-- regulations governing limitations on product liability.
57
--
58
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
59
-- PART OF THIS FILE AT ALL TIMES.
60
 
61
--------------------------------------------------------------------------------
62
--
63
-- Filename: DP_RAM_XILINX_MASK_exdes.vhd
64
--
65
-- Description:
66
--   This is the actual BMG core wrapper.
67
--
68
--------------------------------------------------------------------------------
69
-- Author: IP Solutions Division
70
--
71
-- History: August 31, 2005 - First Release
72
--------------------------------------------------------------------------------
73
--
74
--------------------------------------------------------------------------------
75
-- Library Declarations
76
--------------------------------------------------------------------------------
77
 
78
LIBRARY IEEE;
79
USE IEEE.STD_LOGIC_1164.ALL;
80
USE IEEE.STD_LOGIC_ARITH.ALL;
81
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
82
 
83
LIBRARY UNISIM;
84
USE UNISIM.VCOMPONENTS.ALL;
85
 
86
--------------------------------------------------------------------------------
87
-- Entity Declaration
88
--------------------------------------------------------------------------------
89
ENTITY DP_RAM_XILINX_MASK_exdes IS
90
  PORT (
91
      --Inputs - Port A
92
 
93
    WEA            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
94
    ADDRA          : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
95
 
96
    DINA           : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
97
 
98
    DOUTA          : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
99
 
100
    CLKA       : IN STD_LOGIC;
101
 
102
 
103
      --Inputs - Port B
104
    ENB            : IN STD_LOGIC;  --opt port
105
 
106
    WEB            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
107
    ADDRB          : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
108
 
109
    DINB           : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
110
    DOUTB          : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
111
    CLKB           : IN STD_LOGIC
112
 
113
  );
114
 
115
END DP_RAM_XILINX_MASK_exdes;
116
 
117
 
118
ARCHITECTURE xilinx OF DP_RAM_XILINX_MASK_exdes IS
119
 
120
  COMPONENT BUFG IS
121
  PORT (
122
     I      : IN STD_ULOGIC;
123
     O      : OUT STD_ULOGIC
124
  );
125
  END COMPONENT;
126
 
127
  COMPONENT DP_RAM_XILINX_MASK IS
128
  PORT (
129
      --Port A
130
 
131
    WEA        : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
132
    ADDRA      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
133
 
134
    DINA       : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
135
 
136
    DOUTA      : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
137
 
138
 
139
    CLKA       : IN STD_LOGIC;
140
 
141
 
142
      --Port B
143
    ENB        : IN STD_LOGIC;  --opt port
144
 
145
    WEB        : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
146
    ADDRB      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
147
 
148
    DINB       : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
149
    DOUTB      : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
150
    CLKB       : IN STD_LOGIC
151
 
152
 
153
  );
154
  END COMPONENT;
155
 
156
  SIGNAL CLKA_buf     : STD_LOGIC;
157
  SIGNAL CLKB_buf     : STD_LOGIC;
158
  SIGNAL S_ACLK_buf   : STD_LOGIC;
159
 
160
BEGIN
161
 
162
  bufg_A : BUFG
163
    PORT MAP (
164
     I => CLKA,
165
     O => CLKA_buf
166
     );
167
 
168
  bufg_B : BUFG
169
    PORT MAP (
170
     I => CLKB,
171
     O => CLKB_buf
172
     );
173
 
174
 
175
  bmg0 : DP_RAM_XILINX_MASK
176
    PORT MAP (
177
      --Port A
178
 
179
      WEA        => WEA,
180
      ADDRA      => ADDRA,
181
 
182
      DINA       => DINA,
183
 
184
      DOUTA      => DOUTA,
185
 
186
      CLKA       => CLKA_buf,
187
 
188
 
189
      --Port B
190
      ENB        => ENB,
191
 
192
      WEB        => WEB,
193
      ADDRB      => ADDRB,
194
 
195
      DINB       => DINB,
196
      DOUTB      => DOUTB,
197
      CLKB       => CLKB_buf
198
 
199
    );
200
 
201
END xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.