OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3/] [example_design/] [fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_exdes.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--------------------------------------------------------------------------------
2
--
3
-- FIFO Generator Core - core top file for implementation
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8
-- 
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
-- 
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
-- 
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
-- 
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
--------------------------------------------------------------------------------
53
--
54
-- Filename: fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_exdes.vhd
55
--
56
-- Description:
57
--   This is the FIFO core wrapper with BUFG instances for clock connections.
58
--
59
--------------------------------------------------------------------------------
60
-- Library Declarations
61
--------------------------------------------------------------------------------
62
 
63
library ieee;
64
use ieee.std_logic_1164.all;
65
use ieee.std_logic_arith.all;
66
use ieee.std_logic_unsigned.all;
67
 
68
library unisim;
69
use unisim.vcomponents.all;
70
 
71
--------------------------------------------------------------------------------
72
-- Entity Declaration
73
--------------------------------------------------------------------------------
74
entity fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_exdes is
75
   PORT (
76
           WR_CLK                    : IN  std_logic;
77
           RD_CLK                    : IN  std_logic;
78
           WR_DATA_COUNT             : OUT std_logic_vector(8-1 DOWNTO 0);
79
           RD_DATA_COUNT             : OUT std_logic_vector(8-1 DOWNTO 0);
80
           RST                       : IN  std_logic;
81
           PROG_FULL                 : OUT std_logic;
82
           OVERFLOW                  : OUT std_logic;
83
           UNDERFLOW                 : OUT std_logic;
84
           WR_EN                     : IN  std_logic;
85
           RD_EN                     : IN  std_logic;
86
           DIN                       : IN  std_logic_vector(8-1 DOWNTO 0);
87
           DOUT                      : OUT std_logic_vector(64-1 DOWNTO 0);
88
           FULL                      : OUT std_logic;
89
           EMPTY                     : OUT std_logic);
90
 
91
end fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_exdes;
92
 
93
 
94
 
95
architecture xilinx of fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_exdes is
96
 
97
  signal wr_clk_i : std_logic;
98
  signal rd_clk_i : std_logic;
99
 
100
 
101
 
102
  component fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3 is
103
   PORT (
104
           WR_CLK                    : IN  std_logic;
105
           RD_CLK                    : IN  std_logic;
106
           WR_DATA_COUNT             : OUT std_logic_vector(8-1 DOWNTO 0);
107
           RD_DATA_COUNT             : OUT std_logic_vector(8-1 DOWNTO 0);
108
           RST                       : IN  std_logic;
109
           PROG_FULL                 : OUT std_logic;
110
           OVERFLOW                  : OUT std_logic;
111
           UNDERFLOW                 : OUT std_logic;
112
           WR_EN                     : IN  std_logic;
113
           RD_EN                     : IN  std_logic;
114
           DIN                       : IN  std_logic_vector(8-1 DOWNTO 0);
115
           DOUT                      : OUT std_logic_vector(64-1 DOWNTO 0);
116
           FULL                      : OUT std_logic;
117
           EMPTY                     : OUT std_logic);
118
 
119
  end component;
120
 
121
 
122
begin
123
 
124
  wr_clk_buf: bufg
125
    PORT map(
126
      i => WR_CLK,
127
      o => wr_clk_i
128
      );
129
 
130
  rd_clk_buf: bufg
131
    PORT map(
132
      i => RD_CLK,
133
      o => rd_clk_i
134
      );
135
 
136
 
137
  exdes_inst : fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3
138
    PORT MAP (
139
           WR_CLK                    => wr_clk_i,
140
           RD_CLK                    => rd_clk_i,
141
           WR_DATA_COUNT             => wr_data_count,
142
           RD_DATA_COUNT             => rd_data_count,
143
           RST                       => rst,
144
           PROG_FULL                 => prog_full,
145
           OVERFLOW                  => overflow,
146
           UNDERFLOW                 => underflow,
147
           WR_EN                     => wr_en,
148
           RD_EN                     => rd_en,
149
           DIN                       => din,
150
           DOUT                      => dout,
151
           FULL                      => full,
152
           EMPTY                     => empty);
153
 
154
end xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.