OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2015 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3.vhd when simulating
30
-- the core, fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3 IS
44
  PORT (
45
    rst : IN STD_LOGIC;
46
    wr_clk : IN STD_LOGIC;
47
    rd_clk : IN STD_LOGIC;
48
    din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
49
    wr_en : IN STD_LOGIC;
50
    rd_en : IN STD_LOGIC;
51
    dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
52
    full : OUT STD_LOGIC;
53
    overflow : OUT STD_LOGIC;
54
    empty : OUT STD_LOGIC;
55
    underflow : OUT STD_LOGIC;
56
    rd_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
57
    wr_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
58
    prog_full : OUT STD_LOGIC
59
  );
60
END fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3;
61
 
62
ARCHITECTURE fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_a OF fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3 IS
63
-- synthesis translate_off
64
COMPONENT wrapped_fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3
65
  PORT (
66
    rst : IN STD_LOGIC;
67
    wr_clk : IN STD_LOGIC;
68
    rd_clk : IN STD_LOGIC;
69
    din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
70
    wr_en : IN STD_LOGIC;
71
    rd_en : IN STD_LOGIC;
72
    dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
73
    full : OUT STD_LOGIC;
74
    overflow : OUT STD_LOGIC;
75
    empty : OUT STD_LOGIC;
76
    underflow : OUT STD_LOGIC;
77
    rd_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
78
    wr_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
79
    prog_full : OUT STD_LOGIC
80
  );
81
END COMPONENT;
82
 
83
-- Configuration specification
84
  FOR ALL : wrapped_fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3 USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
85
    GENERIC MAP (
86
      c_add_ngc_constraint => 0,
87
      c_application_type_axis => 0,
88
      c_application_type_rach => 0,
89
      c_application_type_rdch => 0,
90
      c_application_type_wach => 0,
91
      c_application_type_wdch => 0,
92
      c_application_type_wrch => 0,
93
      c_axi_addr_width => 32,
94
      c_axi_aruser_width => 1,
95
      c_axi_awuser_width => 1,
96
      c_axi_buser_width => 1,
97
      c_axi_data_width => 64,
98
      c_axi_id_width => 4,
99
      c_axi_ruser_width => 1,
100
      c_axi_type => 0,
101
      c_axi_wuser_width => 1,
102
      c_axis_tdata_width => 64,
103
      c_axis_tdest_width => 4,
104
      c_axis_tid_width => 8,
105
      c_axis_tkeep_width => 4,
106
      c_axis_tstrb_width => 4,
107
      c_axis_tuser_width => 4,
108
      c_axis_type => 0,
109
      c_common_clock => 0,
110
      c_count_type => 0,
111
      c_data_count_width => 11,
112
      c_default_value => "BlankString",
113
      c_din_width => 8,
114
      c_din_width_axis => 1,
115
      c_din_width_rach => 32,
116
      c_din_width_rdch => 64,
117
      c_din_width_wach => 32,
118
      c_din_width_wdch => 64,
119
      c_din_width_wrch => 2,
120
      c_dout_rst_val => "0",
121
      c_dout_width => 64,
122
      c_enable_rlocs => 0,
123
      c_enable_rst_sync => 1,
124
      c_error_injection_type => 0,
125
      c_error_injection_type_axis => 0,
126
      c_error_injection_type_rach => 0,
127
      c_error_injection_type_rdch => 0,
128
      c_error_injection_type_wach => 0,
129
      c_error_injection_type_wdch => 0,
130
      c_error_injection_type_wrch => 0,
131
      c_family => "virtex5",
132
      c_full_flags_rst_val => 1,
133
      c_has_almost_empty => 0,
134
      c_has_almost_full => 0,
135
      c_has_axi_aruser => 0,
136
      c_has_axi_awuser => 0,
137
      c_has_axi_buser => 0,
138
      c_has_axi_rd_channel => 0,
139
      c_has_axi_ruser => 0,
140
      c_has_axi_wr_channel => 0,
141
      c_has_axi_wuser => 0,
142
      c_has_axis_tdata => 0,
143
      c_has_axis_tdest => 0,
144
      c_has_axis_tid => 0,
145
      c_has_axis_tkeep => 0,
146
      c_has_axis_tlast => 0,
147
      c_has_axis_tready => 1,
148
      c_has_axis_tstrb => 0,
149
      c_has_axis_tuser => 0,
150
      c_has_backup => 0,
151
      c_has_data_count => 0,
152
      c_has_data_counts_axis => 0,
153
      c_has_data_counts_rach => 0,
154
      c_has_data_counts_rdch => 0,
155
      c_has_data_counts_wach => 0,
156
      c_has_data_counts_wdch => 0,
157
      c_has_data_counts_wrch => 0,
158
      c_has_int_clk => 0,
159
      c_has_master_ce => 0,
160
      c_has_meminit_file => 0,
161
      c_has_overflow => 1,
162
      c_has_prog_flags_axis => 0,
163
      c_has_prog_flags_rach => 0,
164
      c_has_prog_flags_rdch => 0,
165
      c_has_prog_flags_wach => 0,
166
      c_has_prog_flags_wdch => 0,
167
      c_has_prog_flags_wrch => 0,
168
      c_has_rd_data_count => 1,
169
      c_has_rd_rst => 0,
170
      c_has_rst => 1,
171
      c_has_slave_ce => 0,
172
      c_has_srst => 0,
173
      c_has_underflow => 1,
174
      c_has_valid => 0,
175
      c_has_wr_ack => 0,
176
      c_has_wr_data_count => 1,
177
      c_has_wr_rst => 0,
178
      c_implementation_type => 2,
179
      c_implementation_type_axis => 1,
180
      c_implementation_type_rach => 1,
181
      c_implementation_type_rdch => 1,
182
      c_implementation_type_wach => 1,
183
      c_implementation_type_wdch => 1,
184
      c_implementation_type_wrch => 1,
185
      c_init_wr_pntr_val => 0,
186
      c_interface_type => 0,
187
      c_memory_type => 1,
188
      c_mif_file_name => "BlankString",
189
      c_msgon_val => 0,
190
      c_optimization_mode => 0,
191
      c_overflow_low => 0,
192
      c_preload_latency => 2,
193
      c_preload_regs => 1,
194
      c_prim_fifo_type => "2kx9",
195
      c_prog_empty_thresh_assert_val => 2,
196
      c_prog_empty_thresh_assert_val_axis => 1022,
197
      c_prog_empty_thresh_assert_val_rach => 1022,
198
      c_prog_empty_thresh_assert_val_rdch => 1022,
199
      c_prog_empty_thresh_assert_val_wach => 1022,
200
      c_prog_empty_thresh_assert_val_wdch => 1022,
201
      c_prog_empty_thresh_assert_val_wrch => 1022,
202
      c_prog_empty_thresh_negate_val => 3,
203
      c_prog_empty_type => 0,
204
      c_prog_empty_type_axis => 0,
205
      c_prog_empty_type_rach => 0,
206
      c_prog_empty_type_rdch => 0,
207
      c_prog_empty_type_wach => 0,
208
      c_prog_empty_type_wdch => 0,
209
      c_prog_empty_type_wrch => 0,
210
      c_prog_full_thresh_assert_val => 2000,
211
      c_prog_full_thresh_assert_val_axis => 1023,
212
      c_prog_full_thresh_assert_val_rach => 1023,
213
      c_prog_full_thresh_assert_val_rdch => 1023,
214
      c_prog_full_thresh_assert_val_wach => 1023,
215
      c_prog_full_thresh_assert_val_wdch => 1023,
216
      c_prog_full_thresh_assert_val_wrch => 1023,
217
      c_prog_full_thresh_negate_val => 1999,
218
      c_prog_full_type => 1,
219
      c_prog_full_type_axis => 0,
220
      c_prog_full_type_rach => 0,
221
      c_prog_full_type_rdch => 0,
222
      c_prog_full_type_wach => 0,
223
      c_prog_full_type_wdch => 0,
224
      c_prog_full_type_wrch => 0,
225
      c_rach_type => 0,
226
      c_rd_data_count_width => 8,
227
      c_rd_depth => 256,
228
      c_rd_freq => 1,
229
      c_rd_pntr_width => 8,
230
      c_rdch_type => 0,
231
      c_reg_slice_mode_axis => 0,
232
      c_reg_slice_mode_rach => 0,
233
      c_reg_slice_mode_rdch => 0,
234
      c_reg_slice_mode_wach => 0,
235
      c_reg_slice_mode_wdch => 0,
236
      c_reg_slice_mode_wrch => 0,
237
      c_synchronizer_stage => 2,
238
      c_underflow_low => 0,
239
      c_use_common_overflow => 0,
240
      c_use_common_underflow => 0,
241
      c_use_default_settings => 0,
242
      c_use_dout_rst => 1,
243
      c_use_ecc => 0,
244
      c_use_ecc_axis => 0,
245
      c_use_ecc_rach => 0,
246
      c_use_ecc_rdch => 0,
247
      c_use_ecc_wach => 0,
248
      c_use_ecc_wdch => 0,
249
      c_use_ecc_wrch => 0,
250
      c_use_embedded_reg => 1,
251
      c_use_fifo16_flags => 0,
252
      c_use_fwft_data_count => 0,
253
      c_valid_low => 0,
254
      c_wach_type => 0,
255
      c_wdch_type => 0,
256
      c_wr_ack_low => 0,
257
      c_wr_data_count_width => 8,
258
      c_wr_depth => 2048,
259
      c_wr_depth_axis => 1024,
260
      c_wr_depth_rach => 16,
261
      c_wr_depth_rdch => 1024,
262
      c_wr_depth_wach => 16,
263
      c_wr_depth_wdch => 1024,
264
      c_wr_depth_wrch => 16,
265
      c_wr_freq => 1,
266
      c_wr_pntr_width => 11,
267
      c_wr_pntr_width_axis => 10,
268
      c_wr_pntr_width_rach => 4,
269
      c_wr_pntr_width_rdch => 10,
270
      c_wr_pntr_width_wach => 4,
271
      c_wr_pntr_width_wdch => 10,
272
      c_wr_pntr_width_wrch => 4,
273
      c_wr_response_latency => 1,
274
      c_wrch_type => 0
275
    );
276
-- synthesis translate_on
277
BEGIN
278
-- synthesis translate_off
279
U0 : wrapped_fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3
280
  PORT MAP (
281
    rst => rst,
282
    wr_clk => wr_clk,
283
    rd_clk => rd_clk,
284
    din => din,
285
    wr_en => wr_en,
286
    rd_en => rd_en,
287
    dout => dout,
288
    full => full,
289
    overflow => overflow,
290
    empty => empty,
291
    underflow => underflow,
292
    rd_data_count => rd_data_count,
293
    wr_data_count => wr_data_count,
294
    prog_full => prog_full
295
  );
296
-- synthesis translate_on
297
 
298
END fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.