OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3.vho] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2015 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
 
29
--------------------------------------------------------------------------------
30
--    Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3   --
31
--                                                                            --
32
--    Rev 1. The FIFO Generator is a parameterizable first-in/first-out       --
33
--    memory queue generator. Use it to generate resource and performance     --
34
--    optimized FIFOs with common or independent read/write clock domains,    --
35
--    and optional fixed or programmable full and empty flags and             --
36
--    handshaking signals.  Choose from a selection of memory resource        --
37
--    types for implementation.  Optional Hamming code based error            --
38
--    detection and correction as well as error injection capability for      --
39
--    system test help to insure data integrity.  FIFO width and depth are    --
40
--    parameterizable, and for native interface FIFOs, asymmetric read and    --
41
--    write port widths are also supported.                                   --
42
--------------------------------------------------------------------------------
43
 
44
-- Interfaces:
45
--    AXI4Stream_MASTER_M_AXIS
46
--    AXI4Stream_SLAVE_S_AXIS
47
--    AXI4_MASTER_M_AXI
48
--    AXI4_SLAVE_S_AXI
49
--    AXI4Lite_MASTER_M_AXI
50
--    AXI4Lite_SLAVE_S_AXI
51
--    master_aclk
52
--    slave_aclk
53
--    slave_aresetn
54
 
55
-- The following code must appear in the VHDL architecture header:
56
 
57
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
58
COMPONENT fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3
59
  PORT (
60
    rst : IN STD_LOGIC;
61
    wr_clk : IN STD_LOGIC;
62
    rd_clk : IN STD_LOGIC;
63
    din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
64
    wr_en : IN STD_LOGIC;
65
    rd_en : IN STD_LOGIC;
66
    dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
67
    full : OUT STD_LOGIC;
68
    overflow : OUT STD_LOGIC;
69
    empty : OUT STD_LOGIC;
70
    underflow : OUT STD_LOGIC;
71
    rd_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
72
    wr_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
73
    prog_full : OUT STD_LOGIC
74
  );
75
END COMPONENT;
76
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
77
 
78
-- The following code must appear in the VHDL architecture
79
-- body. Substitute your own instance name and net names.
80
 
81
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
82
your_instance_name : fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3
83
  PORT MAP (
84
    rst => rst,
85
    wr_clk => wr_clk,
86
    rd_clk => rd_clk,
87
    din => din,
88
    wr_en => wr_en,
89
    rd_en => rd_en,
90
    dout => dout,
91
    full => full,
92
    overflow => overflow,
93
    empty => empty,
94
    underflow => underflow,
95
    rd_data_count => rd_data_count,
96
    wr_data_count => wr_data_count,
97
    prog_full => prog_full
98
  );
99
-- INST_TAG_END ------ End INSTANTIATION Template ------------
100
 
101
-- You must compile the wrapper file fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3.vhd when simulating
102
-- the core, fifo_2048x8wr_256x64rd_prog_full_fifo_gen_v9_3. When compiling the wrapper file, be sure to
103
-- reference the XilinxCoreLib VHDL simulation library. For detailed
104
-- instructions, please refer to the "CORE Generator Help".
105
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.