OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [fifo_generator_v9_3/] [doc/] [fifo_generator_v9_3_vinfo.html] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
<HTML>
2
<HEAD>
3
<TITLE>fifo_generator_v9_3_vinfo</TITLE>
4
<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1">
5
</HEAD>
6
<BODY>
7
<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1">
8
CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
9
 
10
                    Release Date: December 18, 2012
11
--------------------------------------------------------------------------------
12
 
13
Table of Contents
14
 
15
1. INTRODUCTION
16
2. DEVICE SUPPORT
17
3. NEW FEATURE HISTORY
18
4. RESOLVED ISSUES
19
5. KNOWN ISSUES & LIMITATIONS
20
6. TECHNICAL SUPPORT & FEEDBACK
21
7. CORE RELEASE HISTORY
22
8. LEGAL DISCLAIMER
23
 
24
--------------------------------------------------------------------------------
25
 
26
 
27
1. INTRODUCTION
28
 
29
For installation instructions for this release, please go to:
30
 
31
   <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A>
32
 
33
For system requirements:
34
 
35
   <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A>
36
 
37
This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
38
solution. For the latest core updates, see the product page at:
39
 
40
   <A HREF="http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm">www.xilinx.com/products/ipcenter/FIFO_Generator.htm</A>
41
 
42
................................................................................
43
 
44
 
45
2. DEVICE SUPPORT
46
 
47
 
48
  2.1 ISE
49
 
50
    The following device families are supported by the core for this release.
51
 
52
 
53
    All 7 Series devices
54
    Zynq-7000 devices
55
    All Virtex-6 devices
56
    All Spartan-6 devices
57
    All Virtex-5 devices
58
    All Spartan-3 devices
59
    All Virtex-4 devices
60
 
61
 
62
  2.2 Vivado
63
 
64
    All 7 Series devices
65
    Zynq-7000 devices
66
 
67
................................................................................
68
 
69
 
70
3. NEW FEATURE HISTORY
71
 
72
 
73
  3.1 ISE
74
 
75
    - ISE 14.4 software support
76
 
77
 
78
  3.2 Vivado
79
 
80
    - 2012.4 software support
81
    - IP level constraint for Built-in FIFO reset synchronizer
82
 
83
................................................................................
84
 
85
 
86
4. RESOLVED ISSUES
87
 
88
 
89
  4.1 ISE
90
 
91
    - N/A
92
 
93
 
94
  4.2 Vivado
95
 
96
    - N/A
97
 
98
 
99
................................................................................
100
 
101
 
102
5. KNOWN ISSUES & LIMITATIONS
103
 
104
 
105
  5.1 ISE
106
 
107
    The following are known issues for v9.3 Rev 1 of this core at time of release:
108
 
109
    1. Importing an XCO file alters the XCO configurations
110
 
111
       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
112
       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
113
       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
114
 
115
       CR 467240
116
       AR 31379
117
 
118
    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
119
 
120
       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
121
       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
122
 
123
       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
124
       For more information and additional workaround see Answer Record 41099.
125
 
126
  5.2 Vivado
127
 
128
    The following are known issues for v9.3 Rev 1 of this core at time of release:
129
 
130
    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
131
       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
132
 
133
       CR 665836
134
 
135
The most recent information, including known issues, workarounds, and
136
resolutions for this version is provided in the IP Release Notes User Guide
137
located at
138
 
139
   <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A>
140
 
141
................................................................................
142
 
143
 
144
6. TECHNICAL SUPPORT & FEEDBACK
145
 
146
To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A>
147
Questions are routed to a team with expertise using this product.
148
 
149
Xilinx provides technical support for use of this product when used
150
according to the guidelines described in the core documentation, and
151
cannot guarantee timing, functionality, or support of this product for
152
designs that do not follow specified guidelines.
153
 
154
................................................................................
155
 
156
 
157
7. CORE RELEASE HISTORY
158
 
159
Date        By            Version      Description
160
================================================================================
161
12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
162
10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
163
07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
164
04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
165
                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
166
01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
167
10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
168
06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
169
03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
170
10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
171
09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
172
07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
173
06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
174
04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
175
12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
176
09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
177
06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
178
04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
179
09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
180
03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
181
10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
182
08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
183
04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
184
09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
185
07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
186
01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
187
08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
188
04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
189
11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
190
05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
191
04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
192
================================================================================
193
 
194
................................................................................
195
 
196
 
197
8. LEGAL DISCLAIMER
198
 
199
(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
200
 
201
  This file contains confidential and proprietary information
202
  of Xilinx, Inc. and is protected under U.S. and
203
  international copyright and other intellectual property
204
  laws.
205
 
206
  DISCLAIMER
207
  This disclaimer is not a license and does not grant any
208
  rights to the materials distributed herewith. Except as
209
  otherwise provided in a valid license issued to you by
210
  Xilinx, and to the maximum extent permitted by applicable
211
  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
212
  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
213
  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
214
  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
215
  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
216
  (2) Xilinx shall not be liable (whether in contract or tort,
217
  including negligence, or under any other theory of
218
  liability) for any loss or damage of any kind or nature
219
  related to, arising under or in connection with these
220
  materials, including for any direct, or any indirect,
221
  special, incidental, or consequential loss or damage
222
  (including loss of data, profits, goodwill, or any type of
223
  loss or damage suffered as a result of any action brought
224
  by a third party) even if such damage or loss was
225
  reasonably foreseeable or Xilinx had been advised of the
226
  possibility of the same.
227
 
228
  CRITICAL APPLICATIONS
229
  Xilinx products are not designed or intended to be fail-
230
  safe, or for use in any application requiring fail-safe
231
  performance, such as life-support or safety devices or
232
  systems, Class III medical devices, nuclear facilities,
233
  applications related to the deployment of airbags, or any
234
  other applications that could lead to death, personal
235
  injury, or severe property or environmental damage
236
  (individually and collectively, "Critical
237
  Applications"). Customer assumes the sole risk and
238
  liability of any use of Xilinx products in Critical
239
  Applications, subject only to applicable laws and
240
  regulations governing limitations on product liability.
241
 
242
  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
243
  PART OF THIS FILE AT ALL TIMES.
244
</FONT>
245
</PRE>
246
</BODY>
247
</HTML>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.