OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [PIPELINE_R2_D.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
--------------------------------------
18
--  ENTITY       = PIPELINE_R2_D     --
19
--  version      = 1.0               --
20
--  last update  = 19/09/99          --
21
--  author       = Jose Nunez        --
22
---------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- pipeline register R2_D for the decompression process
27
 
28
library IEEE;
29
use IEEE.std_logic_1164.all;
30
 
31
entity PIPELINE_R2_D is
32
 
33
                port(
34
 
35
                        MATCH_TYPE_IN:in bit_vector(3 downto 0);
36
                        MATCH_LOC_IN_D:in bit_vector(15 downto 0);
37
                        LIT_DATA_IN:in bit_vector(31 downto 0);
38
                        LIT_MASK_IN:in bit_vector(4 downto 0);
39
                        MOVE_ENABLE_D_IN:in bit;
40
                        FULL_HIT_IN : in bit;
41
                        CLEAR:in bit;
42
                        RESET:in bit;
43
                        CLK:in bit;
44
                        MATCH_TYPE_OUT:out bit_vector(3 downto 0);
45
                        MATCH_LOC_OUT_D:out bit_vector(15 downto 0);
46
                        LIT_DATA_OUT:out bit_vector(31 downto 0);
47
                        LIT_MASK_OUT:out bit_vector(4 downto 0);
48
                        FULL_HIT_OUT : out bit;
49
                        MOVE_ENABLE_D_OUT:out bit
50
                        );
51
 
52
end PIPELINE_R2_D;
53
 
54
architecture STRUCTURAL of PIPELINE_R2_D is
55
 
56
 signal MATCH_TYPE_OUT_aux:bit_vector(3 downto 0);
57
 signal MATCH_LOC_OUT_D_aux:bit_vector(15 downto 0);
58
 signal LIT_DATA_OUT_aux:bit_vector(31 downto 0);
59
 signal LIT_MASK_OUT_aux: bit_vector(4 downto 0);
60
 signal MOVE_ENABLE_D_OUT_aux:bit;
61
 signal FULL_HIT_OUT_aux : bit;
62
 
63
begin
64
 
65
 
66
  process(CLK,CLEAR)
67
 
68
  begin
69
 
70
        if (CLEAR='0') then
71
                    MATCH_TYPE_OUT_aux<="1111";
72
                MATCH_LOC_OUT_D_aux<=x"1111";
73
                LIT_DATA_OUT_aux<=x"00000000";
74
                    LIT_MASK_OUT_aux<="00000";
75
                MOVE_ENABLE_D_OUT_aux<='1';
76
                  FULL_HIT_OUT_aux<='1';
77
    elsif ((CLK'event) and (CLK='1')) then
78
                        if (RESET='0') then
79
                        MATCH_TYPE_OUT_aux<="1111";
80
                                MATCH_LOC_OUT_D_aux<=x"1111";
81
                                LIT_DATA_OUT_aux<=x"00000000";
82
                                LIT_MASK_OUT_aux<="00000";
83
                                MOVE_ENABLE_D_OUT_aux<='1';
84
                        FULL_HIT_OUT_aux<='1';
85
                        else
86
                        MATCH_TYPE_OUT_aux<=MATCH_TYPE_IN;
87
                                MATCH_LOC_OUT_D_aux<=MATCH_LOC_IN_D;
88
                                LIT_DATA_OUT_aux<=LIT_DATA_IN;
89
                                LIT_MASK_OUT_aux<=LIT_MASK_IN;
90
                                MOVE_ENABLE_D_OUT_aux<=MOVE_ENABLE_D_IN;
91
                                FULL_HIT_OUT_aux <= FULL_HIT_IN;
92
                  end if;
93
        end if;
94
  end process;
95
 
96
 
97
  MATCH_TYPE_OUT<=MATCH_TYPE_OUT_aux;
98
  MATCH_LOC_OUT_D<=MATCH_LOC_OUT_D_aux;
99
  LIT_DATA_OUT<=LIT_DATA_OUT_aux;
100
  LIT_MASK_OUT<=LIT_MASK_OUT_aux;
101
  MOVE_ENABLE_D_OUT<=MOVE_ENABLE_D_OUT_aux;
102
  FULL_HIT_OUT <= FULL_HIT_OUT_aux;
103
 
104
end structural;
105
 
106
 
107
 
108
 
109
 
110
 
111
 
112
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.