OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [PIPELINE_R4.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
--------------------------------------
18
--  ENTITY       = PIPELINE_R4      --
19
--  version      = 2.0              --
20
--  last update  = 1/08/99          --
21
--  author       = Jose Nunez       --
22
--------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- pipeline R4 for compression
27
 
28
 
29
-- PIN LIST 
30
-- FLUSH_IN : the flush signal from the csm pipeline 
31
-- CODE_IN  : the 33 maximum bit of compressed code
32
-- LENGTH_IN : the length of the compressed code
33
-- COMP_IN : the comp signal pipeline from the csm
34
-- CLEAR : the asyncronous clear
35
-- CLK : the clk signal
36
-- FLUSH_OUT : the output signals start here
37
-- CODE_OUT :
38
-- LENGTH_OUT :
39
-- COMP_OUT :
40
 
41
library IEEE;
42
use IEEE.std_logic_1164.all;
43
 
44
entity PIPELINE_R4 is
45
port         (
46
                        FLUSH_IN : in bit;
47
                        CODE_IN : in bit_vector (34 downto 0);
48
                        LENGTH_IN : in bit_vector (5 downto 0);
49
                        COMP_IN :in bit;
50
                        CLEAR:in bit;
51
                        CLK :in bit;
52
                        FLUSH_OUT:out bit;
53
                        CODE_OUT:out bit_vector(34 downto 0);
54
                        LENGTH_OUT:out bit_vector( 5 downto 0);
55
                        COMP_OUT: out bit
56
                );
57
 end PIPELINE_R4;
58
 
59
architecture STRUCTURAL of PIPELINE_R4 is
60
 
61
-- signal FLUSH_OUT_aux : bit;
62
-- signal CODE_OUT_aux : bit_vector (32 downto 0);
63
-- signal COMP_OUT_aux : bit;
64
-- signal LENGTH_OUT_aux: bit_vector(5 downto 0);
65
 
66
 
67
begin
68
 
69
-- process(CLK,CLEAR)
70
-- begin
71
--      if (CLEAR='0') then             
72
--            FLUSH_OUT_aux<='1';
73
--          CODE_OUT_aux<="000000000000000000000000000000000";
74
--          COMP_OUT_aux<='1';
75
--          LENGTH_OUT_aux<="000000";
76
 
77
--      elsif ((CLK'event) and (CLK='1')) then
78
--                FLUSH_OUT_aux<=FLUSH_IN;
79
--          CODE_OUT_aux<=CODE_IN;
80
--          COMP_OUT_aux<=COMP_IN;
81
--          LENGTH_OUT_aux<=LENGTH_IN;
82
 
83
--      end if;
84
 
85
 
86
-- end process;
87
 
88
 
89
-- FLUSH_OUT<=FLUSH_OUT_aux;
90
-- CODE_OUT<=CODE_OUT_aux;
91
-- COMP_OUT<=COMP_OUT_aux;
92
-- LENGTH_OUT<=LENGTH_OUT_aux;
93
 
94
 FLUSH_OUT<=FLUSH_IN;
95
 CODE_OUT<=CODE_IN;
96
 COMP_OUT<=COMP_IN;
97
 LENGTH_OUT<=LENGTH_IN;
98
 
99
 
100
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.