OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [assembler_register.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------------------
18
--  ENTITY       = ASSEMBLER_REGISTER         --
19
--  version      = 1.0                     --
20
--  last update  = 3/05/01                 --
21
--  author       = Jose Nunez              --
22
---------------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- The assembler register latches data and length in the assembling unit
27
 
28
--  PIN LIST
29
 
30
--  RESET = assembling unit active
31
--  WRITE_IN = 
32
--  DATA_IN  = data to from the assembler
33
--  LENGTH_IN
34
--  DATA_OUT  = output data to the search register
35
--  LENGTH_OUT
36
--  WRITE_OUT  = write input to the buffer
37
--  CLEAR    = asynchronous clear
38
--  CLK      = master clock
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
 
43
entity ASSEMBLER_REGISTER is
44
port (
45
          WRITE_IN : in bit;
46
          DATA_IN : in bit_vector(55 downto 0);
47
          LENGTH_IN : in bit_vector(2 downto 0);
48
          CLK : in bit;
49
          CLEAR : in bit;
50
          RESET : in bit;
51
          ENABLE : in bit;
52
          WRITE_OUT : out bit;
53
          DATA_OUT : out bit_vector(55 downto 0);
54
          LENGTH_OUT: out bit_vector(2 downto 0)
55
         );
56
end ASSEMBLER_REGISTER;
57
 
58
architecture STRUCTURAL of ASSEMBLER_REGISTER is
59
 
60
 
61
signal DATA_OUT_aux:bit_vector(55 downto 0);
62
signal LENGTH_OUT_aux:bit_vector(2 downto 0);
63
signal WRITE_OUT_aux : bit;
64
 
65
 
66
begin
67
 
68
process(CLK,CLEAR)
69
 begin
70
        if (CLEAR='0') then
71
                DATA_OUT_aux <= "00000000000000000000000000000000000000000000000000000000";
72
                LENGTH_OUT_aux <= "000";
73
                WRITE_OUT_aux <= '0';
74
        elsif ((CLK'event) and (CLK='1')) then
75
                if (RESET='0') then
76
                        DATA_OUT_aux <= "00000000000000000000000000000000000000000000000000000000";
77
                        LENGTH_OUT_aux <= "000";
78
                        WRITE_OUT_aux <= '0';
79
                else
80
                      DATA_OUT_aux<= DATA_IN;
81
                  LENGTH_OUT_aux<= LENGTH_IN;
82
                          WRITE_OUT_aux<= WRITE_IN;
83
        --      else
84
        --            DATA_OUT_aux<= DATA_OUT_aux;
85
          --        LENGTH_OUT_aux<= LENGTH_OUT_aux;
86
                --        WRITE_OUT_aux<= WRITE_OUT_aux;
87
                end if;
88
        end if;
89
end process;
90
 
91
DATA_OUT <= DATA_OUT_aux;
92
LENGTH_OUT <= LENGTH_OUT_aux;
93
WRITE_OUT <= WRITE_OUT_aux;
94
 
95
end STRUCTURAL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.