OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [cam_byte.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = CAM_BYTE    --
19
--  version      = 1.0         --
20
--  last update  = 14/06/98    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- single byte CAM element
27
 
28
 
29
--  PIN LIST
30
--  SEARCH   = input search data byte
31
--  PREVIOUS = data from the previous location in the array
32
--  MOVE     = movement control
33
--  CLEAR    = synchronous clear of the data latches (active LOW)
34
--  CLK      = master clock
35
--  DOUT     = output of the data latches
36
--  MATCH    = indicates a match between search byte and data byte (active LOW)
37
 
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
 
42
entity CAM_BYTE is
43
port
44
(
45
        SEARCH : in bit_vector(7 downto 0);
46
        PREVIOUS : in bit_vector(7 downto 0);
47
        MOVE : in bit;
48
        CLEAR : in bit ;
49
        RESET : in bit;
50
        CLK : in bit ;
51
        DOUT : out bit_vector(7 downto 0);
52
        MATCH : out bit
53
);
54
end CAM_BYTE;
55
 
56
 
57
-----------------------------------
58
--  entity       = CAM_BYTE      --
59
--  ARCHITECTURE = BIT1          --
60
--  version      = 1.0           --
61
--  last update  = 14/06/95      --
62
--  author       = Mark Gooch    --
63
-----------------------------------
64
 
65
 
66
architecture BYTE1 of CAM_BYTE is
67
 
68
component CAM_BIT
69
port
70
(
71
        SEARCH : in bit;
72
        PREVIOUS : in bit;
73
        MOVE : in bit;
74
        CLEAR : in bit ;
75
        RESET: in bit;
76
        CLK : in bit ;
77
        DOUT : out bit;
78
        MATCH : out bit
79
);
80
end component;
81
 
82
signal MATCH_BITS : bit_vector(7 downto 0);
83
 
84
begin
85
 
86
GEN_BYTE : for I in 0 to 7 generate
87
    BIT : CAM_BIT port map (SEARCH => SEARCH(I),
88
                            PREVIOUS => PREVIOUS(I),
89
                            MOVE => MOVE,
90
                            CLEAR => CLEAR,
91
                                RESET => RESET,
92
                            CLK => CLK,
93
                            DOUT => DOUT(I),
94
                            MATCH => MATCH_BITS(I));
95
end generate;
96
 
97
MATCH <= MATCH_BITS(7) or MATCH_BITS(6) or
98
         MATCH_BITS(5) or MATCH_BITS(4) or
99
         MATCH_BITS(3) or MATCH_BITS(2) or
100
         MATCH_BITS(1) or MATCH_BITS(0);
101
 
102
end BYTE1;
103
 
104
 
105
 
106
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.