OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [mld_dprop_5.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = MLD_DPROP_5   --
19
--  version      = 1.0         --
20
--  last update  = 20/07/99    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- Match location decision down propagation logic.
27
-- This takes in a column of priority bits and produces a resultant bit vector
28
-- with only one bit (at most) set. This bit is the first bit set in
29
-- the input column.
30
-- This is the down propagation that is required, i.e for equal priorities,
31
-- the location closest to the top of the table is the one to be chosen.
32
-- This unit is specific to the propagation of the column 5 or full match for the movement generation
33
 
34
-- PIN LIST
35
-- DIN     = input column of bits
36
-- DOUT    = resultant column of bits
37
-- FULL_OR = or function of all bits in DIN.
38
 
39
 
40
library ieee,dzx;
41
use ieee.std_logic_1164.all;
42
 
43
entity MLD_DPROP_5 is
44
port
45
        (
46
        DIN : in bit_vector(0 to 15);
47
        DOUT : out bit_vector(14 downto 0);
48
        FULL_OR : out bit
49
        );
50
end MLD_DPROP_5;
51
 
52
 
53
architecture DOWN_2 of MLD_DPROP_5 is
54
 
55
signal B_ROW : bit_vector(0 to 15);
56
signal C_ROW : bit_vector(0 to 15);
57
signal D_ROW : bit_vector(0 to 15);
58
 
59
 
60
begin
61
 
62
GEN_B : process (DIN)
63
begin
64
for I in 0 to 7 loop
65
        B_ROW(2*I) <= DIN(2*I);
66
        B_ROW(2*I+1) <= DIN(2*I+1) or DIN(2*I);
67
end loop;
68
end process GEN_B;
69
 
70
 
71
GEN_C : process (B_ROW)
72
begin
73
for I in 0 to 3 loop
74
        for J in 0 to 1 loop
75
                C_ROW(4*I+J) <= B_ROW(4*I+J);
76
        end loop;
77
        for J in 2 to 3 loop
78
                C_ROW(4*I+J) <= B_ROW(4*I+1) or B_ROW(4*I+J);
79
        end loop;
80
end loop;
81
end process GEN_C;
82
 
83
 
84
GEN_D : process (C_ROW)
85
begin
86
for I in 0 to 1 loop
87
        for J in 0 to 3 loop
88
                D_ROW(8*I+J) <= C_ROW(8*I+J);
89
        end loop;
90
        for J in 4 to 7 loop
91
                D_ROW(8*I+J) <= C_ROW(8*I+3) or C_ROW(8*I+J);
92
        end loop;
93
end loop;
94
end process GEN_D;
95
 
96
 
97
GEN_E : process (D_ROW)
98
begin
99
        for J in 0 to 7 loop
100
                DOUT(J) <= not(D_ROW(J));
101
        end loop;
102
        for J in 8 to 14 loop
103
                DOUT(J) <= D_ROW(7) nor D_ROW(J);
104
        end loop;
105
end process GEN_E;
106
 
107
 
108
 
109
--GEN_DOUT : process (G_ROW)
110
--begin
111
 
112
--for J in 0 to 62 loop
113
--      DOUT(J) <= G_ROW(J);
114
--end loop;
115
--end process GEN_DOUT;
116
 
117
 
118
 
119
FULL_OR <= D_ROW(7) or D_ROW(15);
120
 
121
 
122
 
123
end DOWN_2; -- end of architecture
124
 
125
 
126
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.