OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [oda_cell_2.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = ODA_CELL     --
19
--  version      = 1.0         --
20
--  last update  = 30/09/99    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- basic component cell of the Out of Date Adaptation register
27
 
28
-- PIN MAP
29
 
30
-- PREVIOUS : the bit on top
31
-- ACTUAL   : the bit on horizontal
32
-- MOVE_OUT : move output
33
-- CLK : system clock
34
-- RESET : asyncronous reset
35
 
36
library IEEE;
37
use IEEE.std_logic_1164.all;
38
 
39
entity ODA_CELL_2 is
40
port    (
41
                        PREVIOUS : in bit;
42
                        CONTROL : in bit;
43
                        ACTUAL : in bit;
44
                        CLK : in bit;
45
                        CLEAR : in bit;
46
                        RESET : in bit;
47
                        MOVE_OUT : out bit
48
                );
49
end ODA_CELL_2;
50
 
51
architecture STRUCTURAL of ODA_CELL_2 is
52
 
53
signal MUX : bit;
54
signal MOVE_OUT_aux : bit;
55
 
56
begin
57
 
58
process(CLK,RESET,CLEAR)
59
begin
60
        if(CLEAR='0') then
61
                MOVE_OUT_aux <= '0';
62
        elsif (CLK'event and CLK='1') then
63
                if(RESET='0') then
64
                        MOVE_OUT_aux <= '0';
65
                else
66
                        MOVE_OUT_aux <= MUX;
67
                end if;
68
        end if;
69
end process;
70
 
71
MUX <= ACTUAL when CONTROL = '0' else PREVIOUS;
72
MOVE_OUT <= MOVE_OUT_aux;
73
 
74
end STRUCTURAL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.