OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [pointer_first.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
--------------------------------------
18
--  ENTITY       = POINTER_FIRST    --
19
--  version      = 1.0              --
20
--  last update  = 9/05/00          --
21
--  author       = Jose Nunez       --
22
--------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- pointer
27
 
28
 
29
--  PIN LIST
30
--  PREVIOUS = data from the previous location in the pointer array
31
--  CLEAR    = asynchronous clear of the data latches (active LOW)
32
--  CLK      = master clock
33
--  DOUT     = output of the data latches
34
 
35
 
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
 
40
entity POINTER_FIRST is
41
port
42
(
43
        PREVIOUS : in bit_vector(3 downto 0);
44
        MOVE_ENABLE : in bit;
45
        CLEAR : in bit ;
46
        RESET : in bit ;
47
        CLK : in bit ;
48
        DOUT : out bit_vector(3 downto 0)
49
 
50
);
51
end POINTER_FIRST;
52
 
53
architecture POINTER1 of POINTER_FIRST is
54
 
55
 
56
 
57
 
58
 
59
signal DOUT_AUX : bit_vector(3 downto 0);
60
 
61
begin
62
 
63
 
64
 
65
FLIP_FLOPS : process (CLK,CLEAR)
66
begin
67
     if (CLEAR = '0') then
68
            DOUT_AUX <= "0000";
69
        elsif ((CLK'event) and (CLK = '1')) then
70
        if (RESET = '0') then
71
                        DOUT_AUX <= "0000";
72
                elsif (MOVE_ENABLE = '0') then
73
                        DOUT_AUX <= PREVIOUS;
74
                else
75
                        DOUT_AUX <= DOUT_AUX;
76
 
77
                end if;
78
 
79
        end if;
80
end process FLIP_FLOPS;
81
 
82
DOUT <= DOUT_AUX;
83
 
84
end POINTER1;
85
 
86
 
87
 
88
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.