OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [test_file/] [xmatch_256_compressed_original.txt] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
10100100011011010010110011001101 1
2
11010000011001000110010101100110 1
3
10101101100011010010110111001110 1
4
10010100001101000010100111001101 1
5
11010010101100001011101000110100 1
6
10110001111101011000110110100001 1
7
10000101110010111010101001001100 1
8
01101110011010111111010100100101 1
9
10010001011011010111011110000001 1
10
11101000011010000101010001001000 1
11
00001000001010010001000100100010 1
12
01001011001001001001110101010110 1
13
01100110100101100101011011001010 1
14
11001000111001100101110011000111 1
15
00010010110001110110100000011000 1
16
10010111000110111101001100010011 1
17
10010011100100110100101001011110 1
18
01100000011000100101111100010011 1
19
00000011011010100110000001101010 1
20
01110100011001010100110110001110 1
21
10001100110011011111101011001110 1
22
11001010110111101100110100101100 1
23
11010000010001010111100001110000 1
24
10100100100001000100011101100001 1
25
10110100001010001000110110010101 1
26
10111010101100100011010010110011 1
27
00000110110100001010000011010000 1
28
10100010111100000001101001010100 1
29
01000001001001010101010010010001 1
30
00110001101111011001111001001110 1
31
10101011001100110100101100101011 1
32
01100101011001000111001100101110 1
33
01100011100010010110001110110001 1
34
10000001001000011011010101001001 1
35
10010101110110011010011010111001 1
36
10110100101101111011011101110000 1
37
00110001001011100011011111101001 1
38
10001001110010011100100110100101 1
39
00101111001100000011000100101111 1
40
10001001100000011011011101001100 1
41
00001101010011101000110010101001 1
42
10110001110100011001100110111100 1
43
10010000010101100111011001010110 1
44
11110110011001011000011001100101 1
45
11100000100011101100101011101001 1
46
00000111001001101001011001001000 1
47
10110111101100110100000110000101 1
48
10110001101100101011100100110010 1
49
10110011001100101000000010011011 1
50
10011000111000101100101011100111 1
51
00010111010001101111101010100110 1
52
11110010111001101110100100010110 1
53
01010110110110010101011010101110 1
54
01101110100011100100110100110001 1
55
01101110011001111010111001001101 1
56
11101110101011101001010110100101 1
57
10111001100101011100111001001011 1
58
00100000110011001101111011100100 1
59
00000011010111000001110100101011 1
60
00001011000100110100101101100101 1
61
01101001011101000111100100001101 1
62
10010000101010010010101010100101 1
63
00001110011011010010110011110101 1
64
10100000101001001011100000110100 1
65
01111000000101010000011010000101 1
66
00010011010101001001100101011101 1
67
10011010011010111001101101001011 1
68
01111011011101110000001100010010 1
69
11100011011011101001100010011100 1
70
10011100100110100101001011110011 1
71
00000011000100101111100010011000 1
72
00011010111101001100100011000000 1
73
11101000110001101001100110011101 1
74
00011010000110011100100100000101 1
75
01100111011001010110111101100110 1
76
01011000011001100101111000001000 1
77
00101100100011001001000001110100 1
78
01101000011001011010110110101100 1
79
00101111000011001101110101110000 1
80
01100001011100100110000110101101 1
81
10101100101011101000110010100110 1
82
00001110010000000000010101000111 1
83
11010101001001100101011101100110 1
84
10011010111001101101001011011110 1
85
11011101110000001100010010111000 1
86
11010111101001100010011100100111 1
87
00100110100101001011110011000000 1
88
11000100101111100010011000000110 1
89
10011101001100000011001000111010 1
90
00110100101001100000011101000110 1
91
01000110001100100100000101011001 1
92
11011001010110111101100110010110 1
93
00011001100101111010100110101100 1
94
00101101011011001011110000011101 1
95
00011010000110010110101101001011 1
96
01110011000110111001010101100101 1
97
01101101011001010110111010001011 1
98
10100011100111010111001101100101 1
99
01110100011101001010110000101100 1
100
01001101100011001011110100101000 1
101
01100110011010010110010110101101 1
102
10001100100010111110110110000110 1
103
00100110010101011111001110100010 1
104
00001000001100001011011100110010 1
105
01010110011001101001011001010110 1
106
11001010110010001011111011001100 1
107
11010011010110010101101100011001 1
108
00010111110010001000101001100000 1
109
01011100000110100001010100100101 1
110
01000110001100010000010110010010 1
111
10111001101110101011100000111000 1
112
01000001101111011100100111010010 1
113
00001100110011011110111001010000 1
114
01110100011010000110010110101000 1
115
11001001100010001000101111110101 1
116
00111001001111010100110100100010 1
117
10101001001001001010011100100101 1
118
11110101100110011011000110000101 1
119
10011101010100000000001010100101 1
120
11101010100100110010101110110011 1
121
01001101011100110110100101101111 1
122
01101110111000000110001001011100 1
123
01101001110100110001001110010011 1
124
10010011001110100101111001100000 1
125
01110010010111110001001100100011 1
126
01111110100110001001101110011101 1
127
00011010010100111000001110100011 1
128
00000011001010010010000010101100 1
129
11101100101011011110110011001011 1
130
00001100110010111100000100011001 1
131
10100101111000101011100110110111 1
132
10110110101100101111010110110001 1
133
10100101101110011101001100010001 1
134
10101100011011100001010110110001 1
135
10000101101001011011101000101110 1
136
10001110011100000110000101101110 1
137
01100100001011111010111000001100 1
138
00101110010011001011010110111001 1
139
11010001101000011001011010111001 1
140
10110100101111010011000011010111 1
141
01000110100101101111011011101110 1
142
10110010101110010011100100110111 1
143
11010111001001110011001011100000 1
144
11011001000010100111100000101010 1
145
01111110101010010011001010111011 1
146
00110100100110000011100111110000 1
147
00110001001011100011001111101001 1
148
10001001110010011100100110011101 1
149
00101111001100000011100100101111 1
150
10001001100000011100111101001100 1
151
00001100010011101000110001101001 1
152
10001001110100011000100110001100 1
153
10010000010101100111011001010110 1
154
11110110011001011000011001100101 1
155
11100000100000101100100011001001 1
156
00101100001101011100100110010101 1
157
11010001110101100010111001001101 1
158
11010101110110011000010110110001 1
159
11010110010110010110001011101000 1
160
11011111010110011001101001011001 1
161
01011011001010110010001110111011 1
162
10010011010011000001110100011001 1
163
01001011101101010110101110011011 1
164
10101011100000111000010000011011 1
165
11011100100111010010000011001100 1
166
11011110111001010101100010011000 1
167
01011000110110101110101110001011 1
168
10101011011110111010010001011001 1
169
01011100110011000110001100001011 1
170
01110001000100000110110010000101 1
171
01001001010101010111010101101110 1
172
01110011011101000101000110001110 1
173
01001101001100010110010101100100 1
174
01001110101110011011011000110000 1
175
10111001110101101000011001010111 1
176
00110010111010001000011010000101 1
177
01000000101010000011010000101001 1
178
00011010101001001100101011101100 1
179
11010011010111001101101001011011 1
180
11011011101110000001100010010111 1
181
00011001011101001100010011100100 1
182
11100100110011101001011110011000 1
183
00011100000101111100010011001000 1
184
11011011101001100000011000000111 1
185
01000110000101001100100011101000 1
186
11010100110000100100100000101011 1
187
00111011001010110111101100110010 1
188
11000011001100101111000001000110 1
189
01101001011110001001011000011010 1
190
11100110111010001110101011100001 1
191
00010110100101100100101011011100 1
192
11101010110110001101100101001011 1
193
01011100000110111101101001101011 1
194
01110011101000110010101110010111 1
195
01011000100111010101100111000011 1
196
01100100001010010100000010101001 1
197
01011010101001001100101011101100 1
198
11010011010111001101101001011011 1
199
11011011101110000001100010010111 1
200
00011000111101001100010011100100 1
201
11100100110011101001011110011000 1
202
00011100000101111100010011001000 1
203
11010111101001100100011000100111 1
204
01000110011101001100100011101000 1
205
11000000110101100100100000101011 1
206
00111011001010110111101100110010 1
207
11000011001100101111010100100101 1
208
10111001101001011101001000001101 1
209
00101100001011011000110100001110 1
210
01001101110001000011010000101000 1
211
11000000101010101001010100010111 1
212
10000110100001010000100010001000 1
213
11011010011010110111001100011011 1
214
01100011101011000101100100011001 1
215
01101001111000111001101110100011 1
216
00100101011010010110111100101110 1
217
01101000001100011010011111000100 1
218
01110101101001011011100110001101 1
219
10110010000011101010110010001100 1
220
10101011001100001000100110001110 1
221
10110011001101001011001110010111 1
222
00101000110001101000001000101010 1
223
01000110110100101101110011000111 1
224
01011011000111010101100100011001 1
225
01111010010001001100110011010010 1
226
11001011010110110001100100011100 1
227
11001011100010010111100111101100 1
228
11010101100101011011000110010001 1
229
01111110010111010000010001100001 1
230
01010000010010001001001110010100 1
231
00011001010110000101100100000000 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.