OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [target/] [ghdl/] [win.make] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 lcdsgmtr
# Copyright 2015, Jürgen Defurne
2
#
3
# This file is part of the Experimental Unstable CPU System.
4
#
5
# The Experimental Unstable CPU System Is free software: you can redistribute
6
# it and/or modify it under the terms of the GNU Lesser General Public License
7
# as published by the Free Software Foundation, either version 3 of the
8
# License, or (at your option) any later version.
9
#
10
# The Experimental Unstable CPU System is distributed in the hope that it will
11
# be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
# General Public License for more details.
14
#
15
# You should have received a copy of the GNU Lesser General Public License
16
# along with Experimental Unstable CPU System. If not, see
17
# http://www.gnu.org/licenses/lgpl.txt.
18
 
19
FIND=find
20
XARGS=xargs
21
UNISIM=D:/cygwin64/usr/local/share
22
SOURCE= ../src/file/arrayio.vhdl \
23
        ../src/multiplexer/MUX.vhdl \
24
        ../src/blockram/RAM.vhdl \
25
        ../src/components.vhdl \
26
        ../src/ALU/alu.vhdl \
27
        ../src/ALU/logic.vhdl \
28
        ../src/ALU/shift.vhdl \
29
        ../src/ALU/summation.vhdl \
30
        ../src/controllers.vhdl \
31
        ../src/uctrl.vhdl \
32
        ../src/system.vhdl \
33
        ../src/gpio_in.vhdl \
34
        ../src/gpio_out.vhdl \
35
        ../src/incr.vhdl \
36
        ../src/regf.vhdl \
37
        ../src/sync_reset.vhdl \
38
        ../src/zerof.vhdl \
39
        ../src/decoder.vhdl \
40
        ../src/system_sim.vhdl \
41
        ../src/startup_sim.vhdl \
42
        ../src/clock.vhdl \
43
        ../Xilinx/ipcore_dir/clock_core_gen.vhd \
44
        ../src/data_reg.vhdl
45
 
46
unisim: unisim-obj93.cf
47
        ghdl -a --ieee=synopsys --work=unisim --workdir=tmp $(UNISIM)/unisims/*.vhd
48
        $(FIND) $(UNISIM)/unisims/primitive/*.vhd -print0 | $(XARGS) -0 -n 1 -t ghdl -a --ieee=synopsys --work=unisim --workdir=tmp -fexplicit
49
 
50
unisim-obj93.cf:
51
 
52
analyse:
53
        ghdl -a -P./. -P./tmp --ieee=synopsys --workdir=tmp $(SOURCE)
54
 
55
build: unisim-obj93.cf analyse
56
        ghdl -e -g -P./. -P./tmp --warn-unused --ieee=synopsys --workdir=tmp startup_sim
57
 
58
run: build
59
        ghdl -r -P. startup_sim --wave=startup_sim.ghw --stop-time=2us
60
 
61
clean:
62
        -rm *.o
63
        -rm unisim*
64
 
65
init: cp_init
66
 
67
cp_init:
68
        cp uctrl-init.vhdl uctrl.vhdl
69
 
70
main: cp_main
71
 
72
cp_main:
73
        cp uctrl-main.vhdl uctrl.vhdl
74
 
75
test: cp_test
76
 
77
cp_test:
78
        cp test/$(INST).txt input_data.txt
79
 
80
# vim:set noet tw=0 ts=8:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.