OpenCores
URL https://opencores.org/ocsvn/z3/z3/trunk

Subversion Repositories z3

[/] [z3/] [trunk/] [altera/] [ZMachine.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 charcole
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 21:16:13  September 09, 2014
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               ZMachine_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C5T144C8
41
set_global_assignment -name TOP_LEVEL_ENTITY ZMachine
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:16:13  SEPTEMBER 09, 2014"
44
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
50
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
51
set_global_assignment -name VERILOG_FILE ZMachine.v
52
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
53
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
54
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55
set_global_assignment -name BDF_FILE ZMachine.bdf
56
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
57
set_location_assignment PIN_142 -to address[16]
58
set_location_assignment PIN_141 -to address[15]
59
set_location_assignment PIN_48 -to address[14]
60
set_location_assignment PIN_53 -to address[13]
61
set_location_assignment PIN_139 -to address[12]
62
set_location_assignment PIN_58 -to address[11]
63
set_location_assignment PIN_60 -to address[10]
64
set_location_assignment PIN_57 -to address[9]
65
set_location_assignment PIN_55 -to address[8]
66
set_location_assignment PIN_137 -to address[7]
67
set_location_assignment PIN_136 -to address[6]
68
set_location_assignment PIN_135 -to address[5]
69
set_location_assignment PIN_134 -to address[4]
70
set_location_assignment PIN_133 -to address[3]
71
set_location_assignment PIN_132 -to address[2]
72
set_location_assignment PIN_129 -to address[1]
73
set_location_assignment PIN_126 -to address[0]
74
set_location_assignment PIN_64 -to data[7]
75
set_location_assignment PIN_65 -to data[6]
76
set_location_assignment PIN_67 -to data[5]
77
set_location_assignment PIN_69 -to data[4]
78
set_location_assignment PIN_70 -to data[3]
79
set_location_assignment PIN_121 -to data[2]
80
set_location_assignment PIN_122 -to data[1]
81
set_location_assignment PIN_125 -to data[0]
82
set_location_assignment PIN_17 -to osc_clk
83
set_location_assignment PIN_52 -to WE
84
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
85
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
86
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
87
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
88
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
89
set_location_assignment PIN_43 -to PE
90
set_location_assignment PIN_63 -to ramCS
91
set_location_assignment PIN_120 -to romCS
92
set_global_assignment -name SDC_FILE zmachine.sdc
93
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE AREA
94
set_global_assignment -name SMART_RECOMPILE ON
95
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
96
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION ALWAYS
97
set_location_assignment PIN_3 -to led0
98
set_location_assignment PIN_7 -to led1
99
set_location_assignment PIN_9 -to led2
100
set_location_assignment PIN_143 -to A18
101
set_location_assignment PIN_118 -to A17
102
set_location_assignment PIN_119 -to romOE
103
set_location_assignment PIN_51 -to ramCE2
104
set_location_assignment PIN_59 -to ramOE
105
set_location_assignment PIN_41 -to lcdCS
106
set_location_assignment PIN_40 -to lcdReset
107
set_location_assignment PIN_42 -to lcdRS
108
set_location_assignment PIN_44 -to lcdRD
109
set_location_assignment PIN_45 -to nadcCS
110
set_location_assignment PIN_47 -to adcDout
111
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to adcDout
112
set_global_assignment -name QIP_FILE altpll0.qip
113
set_location_assignment PIN_144 -to reset
114
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to reset
115
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.