OpenCores
URL https://opencores.org/ocsvn/z3/z3/trunk

Subversion Repositories z3

[/] [z3/] [trunk/] [altera/] [altpll0_bb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 charcole
// megafunction wizard: %ALTPLL%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: altpll0.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
18
// ************************************************************
19
 
20
//Copyright (C) 1991-2013 Altera Corporation
21
//Your use of Altera Corporation's design tools, logic functions 
22
//and other software and tools, and its AMPP partner logic 
23
//functions, and any output files from any of the foregoing 
24
//(including device programming or simulation files), and any 
25
//associated documentation or information are expressly subject 
26
//to the terms and conditions of the Altera Program License 
27
//Subscription Agreement, Altera MegaCore Function License 
28
//Agreement, or other applicable license agreement, including, 
29
//without limitation, that your use is for the sole purpose of 
30
//programming logic devices manufactured by Altera and sold by 
31
//Altera or its authorized distributors.  Please refer to the 
32
//applicable agreement for further details.
33
 
34
module altpll0 (
35
        inclk0,
36
        c0,
37
        locked);
38
 
39
        input     inclk0;
40
        output    c0;
41
        output    locked;
42
 
43
endmodule
44
 
45
// ============================================================
46
// CNX file retrieval info
47
// ============================================================
48
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
49
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
50
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
51
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
52
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
53
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
54
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
55
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
56
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
57
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
58
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
59
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
60
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
61
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
62
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
63
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
64
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
65
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
66
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
67
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "10.000000"
68
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
69
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
70
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
71
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
72
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "1"
73
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
74
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
75
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
76
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
77
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
78
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
79
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
80
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
81
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
82
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
83
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
84
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
85
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
86
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
87
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
88
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
89
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
90
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
91
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
92
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "10.00000000"
93
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
94
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
95
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
96
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
97
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
98
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
99
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
100
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
101
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
102
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
103
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
104
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
105
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
106
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
107
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
108
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
109
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
110
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
111
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "altpll0.mif"
112
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
113
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
114
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
115
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
116
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
117
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
118
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
119
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
120
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
121
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
122
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
123
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
124
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
125
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
126
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
127
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
128
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
129
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
130
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
131
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "5"
132
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
133
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
134
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
135
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
136
// Retrieval info: CONSTANT: GATE_LOCK_COUNTER NUMERIC "1048575"
137
// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "YES"
138
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
139
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
140
// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
141
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
142
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
143
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
144
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
145
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
146
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
147
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
148
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
149
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
150
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
151
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
152
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
153
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
154
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
155
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
156
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
157
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
158
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
159
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
160
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
161
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
162
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
163
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
164
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
165
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
166
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
167
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
168
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
169
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
170
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
171
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
172
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
173
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
174
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
175
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
176
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
177
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
178
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
179
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
180
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
181
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
182
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
183
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
184
// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
185
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
186
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
187
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
188
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
189
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
190
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
191
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
192
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
193
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
194
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.v TRUE
195
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.ppf TRUE
196
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.inc FALSE
197
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.cmp FALSE
198
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.bsf TRUE
199
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0_inst.v FALSE
200
// Retrieval info: GEN_FILE: TYPE_NORMAL altpll0_bb.v TRUE
201
// Retrieval info: LIB_FILE: altera_mf
202
// Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.