OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.fit.rpt] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
Fitter report for CII_Starter_USB_API
2
Sun Oct 11 12:24:59 2009
3
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Fitter Summary
11
  3. Fitter Settings
12
  4. Fitter Netlist Optimizations
13
  5. Fitter Automatic Asynchronous Signal Pipelining Statistics
14
  6. Pin-Out File
15
  7. Fitter Resource Usage Summary
16
  8. Input Pins
17
  9. Output Pins
18
 10. Bidir Pins
19
 11. I/O Bank Usage
20
 12. All Package Pins
21
 13. PLL Summary
22
 14. PLL Usage
23
 15. Output Pin Default Load For Reported TCO
24
 16. Fitter Resource Utilization by Entity
25
 17. Delay Chain Summary
26
 18. Pad To Core Delay Chain Fanout
27
 19. Control Signals
28
 20. Global & Other Fast Signals
29
 21. Non-Global High Fan-Out Signals
30
 22. Fitter RAM Summary
31
 23. Interconnect Usage Summary
32
 24. LAB Logic Elements
33
 25. LAB-wide Signals
34
 26. LAB Signals Sourced
35
 27. LAB Signals Sourced Out
36
 28. LAB Distinct Inputs
37
 29. Fitter Device Options
38
 30. Operating Settings and Conditions
39
 31. Estimated Delay Added for Hold Timing
40
 32. Advanced Data - General
41
 33. Advanced Data - Placement Preparation
42
 34. Advanced Data - Placement
43
 35. Advanced Data - Routing
44
 36. Fitter Messages
45
 
46
 
47
 
48
----------------
49
; Legal Notice ;
50
----------------
51
Copyright (C) 1991-2009 Altera Corporation
52
Your use of Altera Corporation's design tools, logic functions
53
and other software and tools, and its AMPP partner logic
54
functions, and any output files from any of the foregoing
55
(including device programming or simulation files), and any
56
associated documentation or information are expressly subject
57
to the terms and conditions of the Altera Program License
58
Subscription Agreement, Altera MegaCore Function License
59
Agreement, or other applicable license agreement, including,
60
without limitation, that your use is for the sole purpose of
61
programming logic devices manufactured by Altera and sold by
62
Altera or its authorized distributors.  Please refer to the
63
applicable agreement for further details.
64
 
65
 
66
 
67
+-----------------------------------------------------------------------------------+
68
; Fitter Summary                                                                    ;
69
+------------------------------------+----------------------------------------------+
70
; Fitter Status                      ; Successful - Sun Oct 11 12:24:59 2009        ;
71
; Quartus II Version                 ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ;
72
; Revision Name                      ; CII_Starter_USB_API                          ;
73
; Top-level Entity Name              ; CII_Starter_USB_API                          ;
74
; Family                             ; Cyclone II                                   ;
75
; Device                             ; EP2C20F484C7                                 ;
76
; Timing Models                      ; Final                                        ;
77
; Total logic elements               ; 2,242 / 18,752 ( 12 % )                      ;
78
;     Total combinational functions  ; 1,995 / 18,752 ( 11 % )                      ;
79
;     Dedicated logic registers      ; 1,092 / 18,752 ( 6 % )                       ;
80
; Total registers                    ; 1093                                         ;
81
; Total pins                         ; 283 / 315 ( 90 % )                           ;
82
; Total virtual pins                 ; 0                                            ;
83
; Total memory bits                  ; 208,000 / 239,616 ( 87 % )                   ;
84
; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % )                               ;
85
; Total PLLs                         ; 2 / 4 ( 50 % )                               ;
86
+------------------------------------+----------------------------------------------+
87
 
88
 
89
+--------------------------------------------------------------------------------------------------------------------------------------+
90
; Fitter Settings                                                                                                                      ;
91
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
92
; Option                                                             ; Setting                        ; Default Value                  ;
93
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
94
; Device                                                             ; EP2C20F484C7                   ;                                ;
95
; Use TimeQuest Timing Analyzer                                      ; On                             ; Off                            ;
96
; Fit Attempts to Skip                                               ; 0                              ; 0.0                            ;
97
; Perform Physical Synthesis for Combinational Logic for Performance ; On                             ; Off                            ;
98
; Perform Register Duplication for Performance                       ; On                             ; Off                            ;
99
; Perform Register Retiming for Performance                          ; On                             ; Off                            ;
100
; Perform Asynchronous Signal Pipelining                             ; On                             ; Off                            ;
101
; Physical Synthesis Effort Level                                    ; Extra                          ; Normal                         ;
102
; Use smart compilation                                              ; Off                            ; Off                            ;
103
; Router Timing Optimization Level                                   ; Normal                         ; Normal                         ;
104
; Placement Effort Multiplier                                        ; 1.0                            ; 1.0                            ;
105
; Router Effort Multiplier                                           ; 1.0                            ; 1.0                            ;
106
; Always Enable Input Buffers                                        ; Off                            ; Off                            ;
107
; Optimize Hold Timing                                               ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
108
; Optimize Multi-Corner Timing                                       ; Off                            ; Off                            ;
109
; PowerPlay Power Optimization                                       ; Normal compilation             ; Normal compilation             ;
110
; Optimize Timing                                                    ; Normal compilation             ; Normal compilation             ;
111
; Optimize Timing for ECOs                                           ; Off                            ; Off                            ;
112
; Regenerate full fit report during ECO compiles                     ; Off                            ; Off                            ;
113
; Optimize IOC Register Placement for Timing                         ; On                             ; On                             ;
114
; Limit to One Fitting Attempt                                       ; Off                            ; Off                            ;
115
; Final Placement Optimizations                                      ; Automatically                  ; Automatically                  ;
116
; Fitter Aggressive Routability Optimizations                        ; Automatically                  ; Automatically                  ;
117
; Fitter Initial Placement Seed                                      ; 1                              ; 1                              ;
118
; PCI I/O                                                            ; Off                            ; Off                            ;
119
; Weak Pull-Up Resistor                                              ; Off                            ; Off                            ;
120
; Enable Bus-Hold Circuitry                                          ; Off                            ; Off                            ;
121
; Auto Global Memory Control Signals                                 ; Off                            ; Off                            ;
122
; Auto Packed Registers                                              ; Auto                           ; Auto                           ;
123
; Auto Delay Chains                                                  ; On                             ; On                             ;
124
; Auto Merge PLLs                                                    ; On                             ; On                             ;
125
; Ignore PLL Mode When Merging PLLs                                  ; Off                            ; Off                            ;
126
; Perform Physical Synthesis for Combinational Logic for Fitting     ; Off                            ; Off                            ;
127
; Perform Logic to Memory Mapping for Fitting                        ; Off                            ; Off                            ;
128
; Fitter Effort                                                      ; Auto Fit                       ; Auto Fit                       ;
129
; Auto Global Clock                                                  ; On                             ; On                             ;
130
; Auto Global Register Control Signals                               ; On                             ; On                             ;
131
; Stop After Congestion Map Generation                               ; Off                            ; Off                            ;
132
; Save Intermediate Fitting Results                                  ; Off                            ; Off                            ;
133
; Force Fitter to Avoid Periphery Placement Warnings                 ; Off                            ; Off                            ;
134
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
135
 
136
 
137
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
138
; Fitter Netlist Optimizations                                                                                                                                                                                                                      ;
139
+-----------------------------------------------------------------+-------------------------------+--------------------+---------------------------------+-----------+----------------+------------------+------------------+-----------------------+
140
; Node                                                            ; Action                        ; Operation          ; Reason                          ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ;
141
+-----------------------------------------------------------------+-------------------------------+--------------------+---------------------------------+-----------+----------------+------------------+------------------+-----------------------+
142
; USB_JTAG:u1|JTAG_TRANS:u1|TDO                                   ; Packed Register               ; Register Packing   ; Fast Output Register assignment ; REGOUT    ;                ; TDO              ; DATAIN           ;                       ;
143
; AUDIO_DAC:u11|FLASH_Cont[0]_OTERM51                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
144
; AUDIO_DAC:u11|FLASH_Cont[0]~45                                  ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
145
; AUDIO_DAC:u11|FLASH_Cont[1]_OTERM89                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
146
; AUDIO_DAC:u11|FLASH_Cont[2]_OTERM87                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
147
; AUDIO_DAC:u11|FLASH_Cont[3]_OTERM85                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
148
; AUDIO_DAC:u11|FLASH_Cont[4]_OTERM83                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
149
; AUDIO_DAC:u11|FLASH_Cont[5]_OTERM81                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
150
; AUDIO_DAC:u11|FLASH_Cont[6]_OTERM79                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
151
; AUDIO_DAC:u11|FLASH_Cont[7]_OTERM77                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
152
; AUDIO_DAC:u11|FLASH_Cont[8]_OTERM75                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
153
; AUDIO_DAC:u11|FLASH_Cont[9]_OTERM73                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
154
; AUDIO_DAC:u11|FLASH_Cont[10]_OTERM71                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
155
; AUDIO_DAC:u11|FLASH_Cont[11]_OTERM69                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
156
; AUDIO_DAC:u11|FLASH_Cont[12]_OTERM67                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
157
; AUDIO_DAC:u11|FLASH_Cont[13]_OTERM65                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
158
; AUDIO_DAC:u11|FLASH_Cont[14]_OTERM63                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
159
; AUDIO_DAC:u11|FLASH_Cont[15]_OTERM61                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
160
; AUDIO_DAC:u11|FLASH_Cont[16]_OTERM59                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
161
; AUDIO_DAC:u11|FLASH_Cont[17]_OTERM57                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
162
; AUDIO_DAC:u11|FLASH_Cont[18]_OTERM55                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
163
; AUDIO_DAC:u11|FLASH_Cont[19]_OTERM53                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
164
; AUDIO_DAC:u11|LessThan4~0                                       ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
165
; AUDIO_DAC:u11|LessThan4~1                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
166
; AUDIO_DAC:u11|LessThan4~1_RESYN270_BDD271                       ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
167
; AUDIO_DAC:u11|LessThan4~1_RESYN352_BDD353                       ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
168
; AUDIO_DAC:u11|LessThan5~0                                       ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
169
; AUDIO_DAC:u11|LessThan5~3                                       ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
170
; AUDIO_DAC:u11|LessThan5~4                                       ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
171
; AUDIO_DAC:u11|LessThan5~6                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
172
; AUDIO_DAC:u11|LessThan5~6_RESYN326_BDD327                       ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
173
; AUDIO_DAC:u11|LessThan5~6_RESYN354_BDD355                       ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
174
; AUDIO_DAC:u11|LessThan5~6_RESYN356_BDD357                       ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
175
; AUDIO_DAC:u11|SIN_Cont[0]_OTERM93                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
176
; AUDIO_DAC:u11|SIN_Cont[0]~13                                    ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
177
; AUDIO_DAC:u11|SIN_Cont[1]_NEW96_RESYN338_BDD339                 ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
178
; AUDIO_DAC:u11|SIN_Cont[1]_OTERM97                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
179
; AUDIO_DAC:u11|SIN_Cont[2]_OTERM91                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
180
; AUDIO_DAC:u11|SIN_Cont[3]_OTERM95                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
181
; AUDIO_DAC:u11|SIN_Cont[4]_OTERM101                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
182
; AUDIO_DAC:u11|SIN_Cont[5]_OTERM99                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
183
; CMD_Decode:u5|oCursor_X[2]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
184
; CMD_Decode:u5|oCursor_X[3]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
185
; CMD_Decode:u5|oCursor_X[4]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
186
; CMD_Decode:u5|oCursor_X[5]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
187
; CMD_Decode:u5|oCursor_X[6]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
188
; CMD_Decode:u5|oCursor_X[7]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
189
; CMD_Decode:u5|oCursor_X[8]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
190
; CMD_Decode:u5|oCursor_X[9]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
191
; CMD_Decode:u5|oCursor_Y[1]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
192
; CMD_Decode:u5|oCursor_Y[2]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
193
; CMD_Decode:u5|oCursor_Y[3]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
194
; CMD_Decode:u5|oCursor_Y[4]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
195
; CMD_Decode:u5|oCursor_Y[5]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
196
; CMD_Decode:u5|oCursor_Y[6]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
197
; CMD_Decode:u5|oCursor_Y[7]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
198
; CMD_Decode:u5|oCursor_Y[8]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
199
; CMD_Decode:u5|oCursor_Y[9]                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
200
; CMD_Decode:u5|oFL_Select[0]_OTERM103                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
201
; CMD_Decode:u5|oFL_Select[1]_OTERM107                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
202
; I2C_AV_Config:u10|I2C_Controller:u0|ACK2~0                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
203
; I2C_AV_Config:u10|I2C_Controller:u0|ACK2~1                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
204
; I2C_AV_Config:u10|I2C_Controller:u0|ACK2~1_RESYN288_BDD289      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
205
; I2C_AV_Config:u10|I2C_Controller:u0|LessThan2~0                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
206
; I2C_AV_Config:u10|I2C_Controller:u0|LessThan2~0_RESYN340_BDD341 ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
207
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~1                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
208
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~2                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
209
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~2_RESYN358_BDD359      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
210
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~3                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
211
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~4                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
212
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~5                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
213
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~6                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
214
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~6_RESYN278_BDD279      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
215
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~6_RESYN280_BDD281      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
216
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~6_RESYN282_BDD283      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
217
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~9                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
218
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~10                     ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
219
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~11                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
220
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~11_RESYN284_BDD285     ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
221
; I2C_AV_Config:u10|I2C_Controller:u0|Mux0~11_RESYN286_BDD287     ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
222
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~2                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
223
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~3                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
224
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~3_RESYN248_BDD249      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
225
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~3_RESYN292_BDD293      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
226
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~4                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
227
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK~4_RESYN294_BDD295      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
228
; I2C_AV_Config:u10|I2C_Controller:u0|SD[12]~25                   ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
229
; I2C_AV_Config:u10|I2C_Controller:u0|SD[12]~25_RESYN336_BDD337   ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
230
; I2C_AV_Config:u10|LUT_INDEX[1]~6                                ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
231
; I2C_AV_Config:u10|LUT_INDEX[2]~7                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
232
; I2C_AV_Config:u10|LUT_INDEX[2]~7_RESYN330_BDD331                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
233
; I2C_AV_Config:u10|LUT_INDEX[3]~8                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
234
; I2C_AV_Config:u10|LUT_INDEX[3]~8_RESYN332_BDD333                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
235
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[0]_OTERM121        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
236
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[1]_OTERM105        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
237
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[2]_OTERM111        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
238
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[3]_OTERM115        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
239
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[4]_OTERM119        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
240
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[5]_OTERM109        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
241
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[6]_OTERM113        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
242
; Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[7]_OTERM117        ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
243
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[0]~15_OTERM219    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
244
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[1]~8_OTERM205     ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
245
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[2]~10_OTERM209    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
246
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[3]~12_OTERM213    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
247
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[4]~14_OTERM217    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
248
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[5]~9_OTERM207     ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
249
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[6]~11_OTERM211    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
250
; Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[7]~13_OTERM215    ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
251
; Multi_Sdram:u3|Sdram_Controller:u1|Add0~1                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
252
; Multi_Sdram:u3|Sdram_Controller:u1|Selector10~0                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
253
; VGA_Controller:u8|Add5~0_OTERM247                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
254
; VGA_Controller:u8|Add5~2                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
255
; VGA_Controller:u8|Add5~2_OTERM243                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
256
; VGA_Controller:u8|Add5~2_RTM048                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
257
; VGA_Controller:u8|Add5~2_RTM0245                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
258
; VGA_Controller:u8|Add5~2_RTM0245                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
259
; VGA_Controller:u8|Add5~4                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
260
; VGA_Controller:u8|Add5~4_OTERM239                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
261
; VGA_Controller:u8|Add5~4_RTM044                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
262
; VGA_Controller:u8|Add5~4_RTM0241                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
263
; VGA_Controller:u8|Add5~4_RTM0241                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
264
; VGA_Controller:u8|Add5~6                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
265
; VGA_Controller:u8|Add5~6_OTERM235                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
266
; VGA_Controller:u8|Add5~6_RTM040                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
267
; VGA_Controller:u8|Add5~6_RTM0237                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
268
; VGA_Controller:u8|Add5~6_RTM0237                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
269
; VGA_Controller:u8|Add5~8_OTERM233                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
270
; VGA_Controller:u8|Add5~10_OTERM231                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
271
; VGA_Controller:u8|Add5~12                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
272
; VGA_Controller:u8|Add5~12_OTERM227                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
273
; VGA_Controller:u8|Add5~12_RTM032                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
274
; VGA_Controller:u8|Add5~12_RTM0229                               ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
275
; VGA_Controller:u8|Add5~12_RTM0229                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
276
; VGA_Controller:u8|Add5~14_OTERM225                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
277
; VGA_Controller:u8|Add5~16_OTERM223                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
278
; VGA_Controller:u8|Add5~18_OTERM221                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
279
; VGA_Controller:u8|Add6~0_OTERM47                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
280
; VGA_Controller:u8|Add6~0_RTM049                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
281
; VGA_Controller:u8|Add6~0_RTM049                                 ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
282
; VGA_Controller:u8|Add6~1                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
283
; VGA_Controller:u8|Add6~2_OTERM43                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
284
; VGA_Controller:u8|Add6~2_RTM045                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
285
; VGA_Controller:u8|Add6~2_RTM045                                 ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
286
; VGA_Controller:u8|Add6~4_OTERM39                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
287
; VGA_Controller:u8|Add6~4_RTM041                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
288
; VGA_Controller:u8|Add6~4_RTM041                                 ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
289
; VGA_Controller:u8|Add6~6_OTERM37                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
290
; VGA_Controller:u8|Add6~8_OTERM35                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
291
; VGA_Controller:u8|Add6~10_OTERM31                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
292
; VGA_Controller:u8|Add6~10_RTM033                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
293
; VGA_Controller:u8|Add6~10_RTM033                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
294
; VGA_Controller:u8|Add6~12_OTERM29                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
295
; VGA_Controller:u8|Add6~14_OTERM27                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
296
; VGA_Controller:u8|Add6~16_OTERM25                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
297
; VGA_Controller:u8|Add7~1                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
298
; VGA_Controller:u8|Add7~2_OTERM201                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
299
; VGA_Controller:u8|Add7~2_RTM0203                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
300
; VGA_Controller:u8|Add7~2_RTM0203                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
301
; VGA_Controller:u8|Add7~4                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
302
; VGA_Controller:u8|Add7~4_OTERM199                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
303
; VGA_Controller:u8|Add7~6                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
304
; VGA_Controller:u8|Add7~6_OTERM195                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
305
; VGA_Controller:u8|Add7~6_RTM0197                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
306
; VGA_Controller:u8|Add7~6_RTM0197                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
307
; VGA_Controller:u8|Add7~8                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
308
; VGA_Controller:u8|Add7~8_OTERM191                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
309
; VGA_Controller:u8|Add7~8_RTM0193                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
310
; VGA_Controller:u8|Add7~8_RTM0193                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
311
; VGA_Controller:u8|Add7~10_OTERM189                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
312
; VGA_Controller:u8|Add7~12_OTERM187                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
313
; VGA_Controller:u8|Add7~14                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
314
; VGA_Controller:u8|Add7~14_OTERM183                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
315
; VGA_Controller:u8|Add7~14_RTM0185                               ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
316
; VGA_Controller:u8|Add7~14_RTM0185                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
317
; VGA_Controller:u8|Add7~16_OTERM181                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
318
; VGA_Controller:u8|Add7~18_OTERM179                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
319
; VGA_Controller:u8|Add7~20_OTERM177                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
320
; VGA_Controller:u8|Add7~22_OTERM173                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
321
; VGA_Controller:u8|Add7~22_RTM0175                               ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
322
; VGA_Controller:u8|Add7~22_RTM0175                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
323
; VGA_Controller:u8|Add8~0                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
324
; VGA_Controller:u8|Add8~0_OTERM143                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
325
; VGA_Controller:u8|Add8~0_RTM0145                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
326
; VGA_Controller:u8|Add8~0_RTM0145                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
327
; VGA_Controller:u8|Add8~1                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
328
; VGA_Controller:u8|Add8~2_OTERM141                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
329
; VGA_Controller:u8|Add8~4_OTERM139                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
330
; VGA_Controller:u8|Add8~6_OTERM137                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
331
; VGA_Controller:u8|Add8~8                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
332
; VGA_Controller:u8|Add8~8_OTERM133                               ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
333
; VGA_Controller:u8|Add8~8_RTM0135                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
334
; VGA_Controller:u8|Add8~8_RTM0135                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
335
; VGA_Controller:u8|Add8~10_OTERM131                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
336
; VGA_Controller:u8|Add8~12_OTERM129                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
337
; VGA_Controller:u8|Add8~14_OTERM127                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
338
; VGA_Controller:u8|Add8~16_OTERM125                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
339
; VGA_Controller:u8|Add8~18_OTERM123                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
340
; VGA_Controller:u8|Add9~0_OTERM21                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
341
; VGA_Controller:u8|Add9~0_RTM023                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
342
; VGA_Controller:u8|Add9~0_RTM023                                 ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
343
; VGA_Controller:u8|Add9~1                                        ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
344
; VGA_Controller:u8|Add9~2_OTERM19                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
345
; VGA_Controller:u8|Add9~4_OTERM17                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
346
; VGA_Controller:u8|Add9~6_OTERM15                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
347
; VGA_Controller:u8|Add9~8_OTERM11                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
348
; VGA_Controller:u8|Add9~8_RTM013                                 ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
349
; VGA_Controller:u8|Add9~8_RTM013                                 ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
350
; VGA_Controller:u8|Add9~10_OTERM9                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
351
; VGA_Controller:u8|Add9~12_OTERM7                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
352
; VGA_Controller:u8|Add9~14_OTERM5                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
353
; VGA_Controller:u8|Add9~16_OTERM3                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
354
; VGA_Controller:u8|Add9~18_OTERM1                                ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
355
; VGA_Controller:u8|Add10~1                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
356
; VGA_Controller:u8|Add10~2                                       ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
357
; VGA_Controller:u8|Add10~2_OTERM171                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
358
; VGA_Controller:u8|Add10~2_RTM022                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
359
; VGA_Controller:u8|Add10~4_OTERM169                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
360
; VGA_Controller:u8|Add10~6_OTERM167                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
361
; VGA_Controller:u8|Add10~8_OTERM165                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
362
; VGA_Controller:u8|Add10~10                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
363
; VGA_Controller:u8|Add10~10_OTERM161                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
364
; VGA_Controller:u8|Add10~10_RTM012                               ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
365
; VGA_Controller:u8|Add10~10_RTM0163                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
366
; VGA_Controller:u8|Add10~10_RTM0163                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
367
; VGA_Controller:u8|Add10~12_OTERM159                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
368
; VGA_Controller:u8|Add10~14_OTERM157                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
369
; VGA_Controller:u8|Add10~16_OTERM155                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
370
; VGA_Controller:u8|Add10~18_OTERM153                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
371
; VGA_Controller:u8|Add10~20_OTERM151                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
372
; VGA_Controller:u8|Add10~22_OTERM147                             ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
373
; VGA_Controller:u8|Add10~22_RTM0149                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
374
; VGA_Controller:u8|Add10~22_RTM0149                              ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
375
; VGA_Controller:u8|Cur_Color_B~21                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
376
; VGA_Controller:u8|Cur_Color_B~21_RESYN346_BDD347                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
377
; VGA_Controller:u8|Cur_Color_B~21_RESYN348_BDD349                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
378
; VGA_Controller:u8|Cur_Color_B~21_RESYN350_BDD351                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
379
; VGA_Controller:u8|Cur_Color_B~26                                ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
380
; VGA_Controller:u8|Cur_Color_B~27                                ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
381
; VGA_Controller:u8|Cur_Color_B~28                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
382
; VGA_Controller:u8|Cur_Color_B~28_RESYN318_BDD319                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
383
; VGA_Controller:u8|Cur_Color_B~28_RESYN320_BDD321                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
384
; VGA_Controller:u8|Cur_Color_B~28_RESYN322_BDD323                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
385
; VGA_Controller:u8|Cur_Color_G~23                                ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
386
; VGA_Controller:u8|Cur_Color_G~23_RESYN314_BDD315                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
387
; VGA_Controller:u8|Cur_Color_G~23_RESYN316_BDD317                ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
388
; VGA_Controller:u8|Cur_Color_R[6]~20                             ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
389
; VGA_Controller:u8|Cur_Color_R[6]~20_RESYN250_BDD251             ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
390
; VGA_Controller:u8|Cur_Color_R[7]~21                             ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
391
; VGA_Controller:u8|Cur_Color_R[7]~21_RESYN266_BDD267             ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
392
; VGA_Controller:u8|Cur_Color_R[8]~22                             ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
393
; VGA_Controller:u8|Cur_Color_R[8]~22_RESYN268_BDD269             ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
394
; VGA_Controller:u8|Equal0~1                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
395
; VGA_Controller:u8|Equal0~2                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
396
; VGA_Controller:u8|Equal0~3                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
397
; VGA_Controller:u8|Equal0~5                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
398
; VGA_Controller:u8|Equal0~6                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
399
; VGA_Controller:u8|Equal0~6_RESYN304_BDD305                      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
400
; VGA_Controller:u8|Equal1~1                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
401
; VGA_Controller:u8|Equal1~1_RTM0244                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
402
; VGA_Controller:u8|Equal1~2                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
403
; VGA_Controller:u8|Equal1~2_RTM0228                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
404
; VGA_Controller:u8|Equal1~3                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
405
; VGA_Controller:u8|Equal1~3_RTM0236                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
406
; VGA_Controller:u8|Equal1~3_RTM0240                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
407
; VGA_Controller:u8|Equal1~4                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
408
; VGA_Controller:u8|Equal2~0                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
409
; VGA_Controller:u8|Equal2~1                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
410
; VGA_Controller:u8|Equal2~2                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
411
; VGA_Controller:u8|Equal2~3                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
412
; VGA_Controller:u8|Equal2~3_RTM0202                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
413
; VGA_Controller:u8|Equal2~4                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
414
; VGA_Controller:u8|Equal2~4_RTM0184                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
415
; VGA_Controller:u8|Equal2~4_RTM0196                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
416
; VGA_Controller:u8|Equal2~5                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
417
; VGA_Controller:u8|Equal2~5_RTM0192                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
418
; VGA_Controller:u8|Equal2~6                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
419
; VGA_Controller:u8|Equal2~6_RTM0174                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
420
; VGA_Controller:u8|Equal2~7                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
421
; VGA_Controller:u8|Equal2~7_RESYN260_BDD261                      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
422
; VGA_Controller:u8|Equal2~7_RESYN262_BDD263                      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
423
; VGA_Controller:u8|Equal3~2                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
424
; VGA_Controller:u8|Equal3~5                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
425
; VGA_Controller:u8|Equal3~6                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
426
; VGA_Controller:u8|Equal3~6_RESYN342_BDD343                      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
427
; VGA_Controller:u8|Equal4~2                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
428
; VGA_Controller:u8|Equal4~2_RTM0144                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
429
; VGA_Controller:u8|Equal4~5                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
430
; VGA_Controller:u8|Equal4~5_RTM0134                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
431
; VGA_Controller:u8|Equal4~6                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
432
; VGA_Controller:u8|Equal4~6_RESYN344_BDD345                      ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
433
; VGA_Controller:u8|Equal5~1                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
434
; VGA_Controller:u8|Equal5~1_RTM0162                              ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
435
; VGA_Controller:u8|Equal5~4                                      ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
436
; VGA_Controller:u8|Equal5~5                                      ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
437
; VGA_Controller:u8|always1~11                                    ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
438
; VGA_Controller:u8|always1~11_RTM0148                            ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
439
; VGA_Controller:u8|always1~12                                    ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
440
; VGA_Controller:u8|always1~12_RESYN252_BDD253                    ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
441
; VGA_Controller:u8|always1~12_RESYN298_BDD299                    ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
442
; VGA_Controller:u8|always1~12_RESYN298_RESYN360_BDD361           ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
443
; VGA_Controller:u8|always1~12_RESYN298_RESYN362_BDD363           ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
444
; VGA_Controller:u8|always1~12_RESYN298_RESYN364_BDD365           ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
445
; VGA_Controller:u8|always1~12_RESYN300_BDD301                    ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
446
; VGA_Controller:u8|always1~14                                    ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
447
; VGA_Controller:u8|always1~14_RESYN308_BDD309                    ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
448
; mVGA_R[6]~10                                                    ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
449
; mVGA_R[7]~11                                                    ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
450
; mVGA_R[8]~12                                                    ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
451
; mVIN_R[9]~0                                                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
452
; mVIN_R[9]~0_RESYN312_BDD313                                     ; Created                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
453
; AUDIO_DAC:u11|FLASH_Cont[20]_OTERM389                           ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
454
; AUDIO_DAC:u11|FLASH_Cont[21]_OTERM387                           ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
455
; AUDIO_DAC:u11|SEL_Cont[0]~3                                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
456
; AUDIO_DAC:u11|SEL_Cont[1]~0                                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
457
; AUDIO_DAC:u11|SEL_Cont[1]~0_OTERM381                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
458
; AUDIO_DAC:u11|SEL_Cont[2]~2                                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
459
; AUDIO_DAC:u11|SEL_Cont[2]~2_OTERM385                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
460
; AUDIO_DAC:u11|SEL_Cont[3]~1                                     ; Modified                      ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
461
; AUDIO_DAC:u11|SEL_Cont[3]~1_OTERM383                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
462
; CMD_Decode:u5|oPS2_TXD_Start_OTERM393                           ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
463
; CMD_Decode:u5|oPS2_TXD_Start~2                                  ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
464
; CMD_Decode:u5|oSDR_TXD_Start_OTERM399                           ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
465
; CMD_Decode:u5|oSR_TXD_Start_OTERM391                            ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
466
; CMD_Decode:u5|sel_SDR_OTERM397                                  ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
467
; CMD_Decode:u5|sel_SDR~1                                         ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
468
; CMD_Decode:u5|sel_SDR~6                                         ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
469
; CMD_Decode:u5|sel_SR_OTERM395                                   ; Retimed Register              ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
470
; Reset_Delay:d0|oRESET_OTERM371                                  ; Pipelined Asynchronous Signal ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
471
; Reset_Delay:d0|oRESET_OTERM373                                  ; Pipelined Asynchronous Signal ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
472
; Reset_Delay:d0|oRESET_OTERM375                                  ; Pipelined Asynchronous Signal ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
473
; Reset_Delay:d0|oRESET_OTERM377                                  ; Pipelined Asynchronous Signal ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
474
; Reset_Delay:d0|oRESET_OTERM379                                  ; Pipelined Asynchronous Signal ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
475
; Reset_Delay:d0|oRESET~clkctrl                                   ; Deleted                       ; Physical Synthesis ; Timing optimization             ;           ;                ;                  ;                  ;                       ;
476
+-----------------------------------------------------------------+-------------------------------+--------------------+---------------------------------+-----------+----------------+------------------+------------------+-----------------------+
477
 
478
 
479
+-------------------------------------------------------------------------------------------------------------------------+
480
; Fitter Automatic Asynchronous Signal Pipelining Statistics                                                              ;
481
+-----------------------+-----------------+-----------------------------+-------------------------------------------------+
482
; Asynchronous Signal   ; Pipeline Stages ; Pipeline Registers Inserted ; Notes                                           ;
483
+-----------------------+-----------------+-----------------------------+-------------------------------------------------+
484
; KEY[0]                ; 0               ; 0                           ; Asynchronous signal does not require pipelining ;
485
; TCS~clkctrl           ; 0               ; 0                           ; Asynchronous signal does not require pipelining ;
486
; Reset_Delay:d0|oRESET ; 3               ; 5                           ;                                                 ;
487
+-----------------------+-----------------+-----------------------------+-------------------------------------------------+
488
 
489
 
490
+--------------+
491
; Pin-Out File ;
492
+--------------+
493
The pin-out file can be found in C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/CII_Starter_USB_API.pin.
494
 
495
 
496
+--------------------------------------------------------------------------+
497
; Fitter Resource Usage Summary                                            ;
498
+---------------------------------------------+----------------------------+
499
; Resource                                    ; Usage                      ;
500
+---------------------------------------------+----------------------------+
501
; Total logic elements                        ; 2,242 / 18,752 ( 12 % )    ;
502
;     -- Combinational with no register       ; 1150                       ;
503
;     -- Register only                        ; 247                        ;
504
;     -- Combinational with a register        ; 845                        ;
505
;                                             ;                            ;
506
; Logic element usage by number of LUT inputs ;                            ;
507
;     -- 4 input functions                    ; 1088                       ;
508
;     -- 3 input functions                    ; 375                        ;
509
;     -- <=2 input functions                  ; 532                        ;
510
;     -- Register only                        ; 247                        ;
511
;                                             ;                            ;
512
; Logic elements by mode                      ;                            ;
513
;     -- normal mode                          ; 1642                       ;
514
;     -- arithmetic mode                      ; 353                        ;
515
;                                             ;                            ;
516
; Total registers*                            ; 1,093 / 19,649 ( 6 % )     ;
517
;     -- Dedicated logic registers            ; 1,092 / 18,752 ( 6 % )     ;
518
;     -- I/O registers                        ; 1 / 897 ( < 1 % )          ;
519
;                                             ;                            ;
520
; Total LABs:  partially or completely used   ; 190 / 1,172 ( 16 % )       ;
521
; User inserted logic elements                ; 0                          ;
522
; Virtual pins                                ; 0                          ;
523
; I/O pins                                    ; 283 / 315 ( 90 % )         ;
524
;     -- Clock pins                           ; 8 / 8 ( 100 % )            ;
525
; Global signals                              ; 13                         ;
526
; M4Ks                                        ; 51 / 52 ( 98 % )           ;
527
; Total block memory bits                     ; 208,000 / 239,616 ( 87 % ) ;
528
; Total block memory implementation bits      ; 235,008 / 239,616 ( 98 % ) ;
529
; Embedded Multiplier 9-bit elements          ; 0 / 52 ( 0 % )             ;
530
; PLLs                                        ; 2 / 4 ( 50 % )             ;
531
; Global clocks                               ; 13 / 16 ( 81 % )           ;
532
; JTAGs                                       ; 0 / 1 ( 0 % )              ;
533
; ASMI blocks                                 ; 0 / 1 ( 0 % )              ;
534
; CRC blocks                                  ; 0 / 1 ( 0 % )              ;
535
; Average interconnect usage (total/H/V)      ; 7% / 7% / 7%               ;
536
; Peak interconnect usage (total/H/V)         ; 13% / 13% / 13%            ;
537
; Maximum fan-out node                        ; CLOCK_50~clkctrl           ;
538
; Maximum fan-out                             ; 618                        ;
539
; Highest non-global fan-out signal           ; KEY[0]                     ;
540
; Highest non-global fan-out                  ; 588                        ;
541
; Total fan-out                               ; 11181                      ;
542
; Average fan-out                             ; 3.10                       ;
543
+---------------------------------------------+----------------------------+
544
*  Register count does not include registers inside RAM blocks or DSP blocks.
545
 
546
 
547
 
548
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
549
; Input Pins                                                                                                                                                                                                                                                        ;
550
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
551
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
552
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
553
; AUD_ADCDAT  ; B6    ; 3        ; 3            ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
554
; CLOCK_24[0] ; B12   ; 4        ; 24           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
555
; CLOCK_24[1] ; A12   ; 4        ; 24           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
556
; CLOCK_27[0] ; D12   ; 3        ; 24           ; 27           ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
557
; CLOCK_27[1] ; E12   ; 3        ; 24           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
558
; CLOCK_50    ; L1    ; 2        ; 0            ; 13           ; 0           ; 2                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
559
; EXT_CLOCK   ; M21   ; 6        ; 50           ; 14           ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
560
; KEY[0]      ; R22   ; 6        ; 50           ; 10           ; 1           ; 588                   ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
561
; KEY[1]      ; R21   ; 6        ; 50           ; 10           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
562
; KEY[2]      ; T22   ; 6        ; 50           ; 9            ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
563
; KEY[3]      ; T21   ; 6        ; 50           ; 9            ; 1           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
564
; PS2_CLK     ; H15   ; 4        ; 44           ; 27           ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
565
; PS2_DAT     ; J14   ; 4        ; 42           ; 27           ; 3           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
566
; SW[0]       ; L22   ; 5        ; 50           ; 14           ; 0           ; 2                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
567
; SW[1]       ; L21   ; 5        ; 50           ; 14           ; 1           ; 2                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
568
; SW[2]       ; M22   ; 6        ; 50           ; 14           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
569
; SW[3]       ; V12   ; 7        ; 26           ; 0            ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
570
; SW[4]       ; W12   ; 7        ; 26           ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
571
; SW[5]       ; U12   ; 8        ; 26           ; 0            ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
572
; SW[6]       ; U11   ; 8        ; 26           ; 0            ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
573
; SW[7]       ; M2    ; 1        ; 0            ; 13           ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
574
; SW[8]       ; M1    ; 1        ; 0            ; 13           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
575
; SW[9]       ; L2    ; 2        ; 0            ; 13           ; 1           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
576
; TCK         ; C7    ; 3        ; 7            ; 27           ; 2           ; 1                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
577
; TCS         ; D8    ; 3        ; 9            ; 27           ; 0           ; 1                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
578
; TDI         ; E8    ; 3        ; 11           ; 27           ; 3           ; 1                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
579
; UART_RXD    ; F14   ; 4        ; 35           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
580
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
581
 
582
 
583
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
584
; Output Pins                                                                                                                                                                                                                                                                                    ;
585
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
586
; Name          ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ;
587
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
588
; AUD_ADCLRCK   ; A6    ; 3        ; 3            ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
589
; AUD_DACDAT    ; B5    ; 3        ; 3            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
590
; AUD_DACLRCK   ; A5    ; 3        ; 3            ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
591
; AUD_XCK       ; B4    ; 3        ; 1            ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
592
; DRAM_ADDR[0]  ; W4    ; 1        ; 0            ; 3            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
593
; DRAM_ADDR[10] ; W3    ; 1        ; 0            ; 3            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
594
; DRAM_ADDR[11] ; N6    ; 1        ; 0            ; 11           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
595
; DRAM_ADDR[1]  ; W5    ; 1        ; 0            ; 2            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
596
; DRAM_ADDR[2]  ; Y3    ; 1        ; 0            ; 3            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
597
; DRAM_ADDR[3]  ; Y4    ; 1        ; 0            ; 3            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
598
; DRAM_ADDR[4]  ; R6    ; 1        ; 0            ; 7            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
599
; DRAM_ADDR[5]  ; R5    ; 1        ; 0            ; 7            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
600
; DRAM_ADDR[6]  ; P6    ; 1        ; 0            ; 9            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
601
; DRAM_ADDR[7]  ; P5    ; 1        ; 0            ; 9            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
602
; DRAM_ADDR[8]  ; P3    ; 1        ; 0            ; 10           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
603
; DRAM_ADDR[9]  ; N4    ; 1        ; 0            ; 10           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
604
; DRAM_BA_0     ; U3    ; 1        ; 0            ; 5            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
605
; DRAM_BA_1     ; V4    ; 1        ; 0            ; 2            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
606
; DRAM_CAS_N    ; T3    ; 1        ; 0            ; 5            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
607
; DRAM_CKE      ; N3    ; 1        ; 0            ; 10           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
608
; DRAM_CLK      ; U4    ; 1        ; 0            ; 2            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
609
; DRAM_CS_N     ; T6    ; 1        ; 0            ; 5            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
610
; DRAM_LDQM     ; R7    ; 1        ; 0            ; 9            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
611
; DRAM_RAS_N    ; T5    ; 1        ; 0            ; 6            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
612
; DRAM_UDQM     ; M5    ; 1        ; 0            ; 12           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
613
; DRAM_WE_N     ; R8    ; 1        ; 0            ; 9            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
614
; FL_ADDR[0]    ; AB20  ; 7        ; 48           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
615
; FL_ADDR[10]   ; R12   ; 7        ; 33           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
616
; FL_ADDR[11]   ; T12   ; 7        ; 31           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
617
; FL_ADDR[12]   ; AB14  ; 7        ; 33           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
618
; FL_ADDR[13]   ; AA13  ; 7        ; 29           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
619
; FL_ADDR[14]   ; AB13  ; 7        ; 29           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
620
; FL_ADDR[15]   ; AA12  ; 7        ; 29           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
621
; FL_ADDR[16]   ; AB12  ; 7        ; 29           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
622
; FL_ADDR[17]   ; AA20  ; 7        ; 48           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
623
; FL_ADDR[18]   ; U14   ; 7        ; 39           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
624
; FL_ADDR[19]   ; V14   ; 7        ; 37           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
625
; FL_ADDR[1]    ; AA14  ; 7        ; 33           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
626
; FL_ADDR[20]   ; U13   ; 7        ; 31           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
627
; FL_ADDR[21]   ; R13   ; 7        ; 37           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
628
; FL_ADDR[2]    ; Y16   ; 7        ; 44           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
629
; FL_ADDR[3]    ; R15   ; 7        ; 42           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
630
; FL_ADDR[4]    ; T15   ; 7        ; 39           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
631
; FL_ADDR[5]    ; U15   ; 7        ; 46           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
632
; FL_ADDR[6]    ; V15   ; 7        ; 46           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
633
; FL_ADDR[7]    ; W15   ; 7        ; 39           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
634
; FL_ADDR[8]    ; R14   ; 7        ; 42           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
635
; FL_ADDR[9]    ; Y13   ; 7        ; 31           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
636
; FL_CE_N       ; M18   ; 6        ; 50           ; 13           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ;
637
; FL_OE_N       ; AA15  ; 7        ; 35           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
638
; FL_RST_N      ; W14   ; 7        ; 35           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
639
; FL_WE_N       ; Y14   ; 7        ; 39           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
640
; HEX0[0]       ; J2    ; 2        ; 0            ; 18           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
641
; HEX0[1]       ; J1    ; 2        ; 0            ; 18           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
642
; HEX0[2]       ; H2    ; 2        ; 0            ; 19           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
643
; HEX0[3]       ; H1    ; 2        ; 0            ; 19           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
644
; HEX0[4]       ; F2    ; 2        ; 0            ; 20           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
645
; HEX0[5]       ; F1    ; 2        ; 0            ; 20           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
646
; HEX0[6]       ; E2    ; 2        ; 0            ; 20           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
647
; HEX1[0]       ; E1    ; 2        ; 0            ; 20           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
648
; HEX1[1]       ; H6    ; 2        ; 0            ; 21           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
649
; HEX1[2]       ; H5    ; 2        ; 0            ; 21           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
650
; HEX1[3]       ; H4    ; 2        ; 0            ; 21           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
651
; HEX1[4]       ; G3    ; 2        ; 0            ; 21           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
652
; HEX1[5]       ; D2    ; 2        ; 0            ; 22           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
653
; HEX1[6]       ; D1    ; 2        ; 0            ; 22           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
654
; HEX2[0]       ; G5    ; 2        ; 0            ; 22           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
655
; HEX2[1]       ; G6    ; 2        ; 0            ; 23           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
656
; HEX2[2]       ; C2    ; 2        ; 0            ; 23           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
657
; HEX2[3]       ; C1    ; 2        ; 0            ; 23           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
658
; HEX2[4]       ; E3    ; 2        ; 0            ; 24           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
659
; HEX2[5]       ; E4    ; 2        ; 0            ; 24           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
660
; HEX2[6]       ; D3    ; 2        ; 0            ; 25           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
661
; HEX3[0]       ; F4    ; 2        ; 0            ; 23           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
662
; HEX3[1]       ; D5    ; 2        ; 0            ; 24           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
663
; HEX3[2]       ; D6    ; 2        ; 0            ; 24           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
664
; HEX3[3]       ; J4    ; 2        ; 0            ; 18           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
665
; HEX3[4]       ; L8    ; 2        ; 0            ; 19           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
666
; HEX3[5]       ; F3    ; 2        ; 0            ; 22           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
667
; HEX3[6]       ; D4    ; 2        ; 0            ; 25           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
668
; I2C_SCLK      ; A3    ; 3        ; 1            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
669
; LEDG[0]       ; U22   ; 6        ; 50           ; 7            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
670
; LEDG[1]       ; U21   ; 6        ; 50           ; 7            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
671
; LEDG[2]       ; V22   ; 6        ; 50           ; 7            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
672
; LEDG[3]       ; V21   ; 6        ; 50           ; 6            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
673
; LEDG[4]       ; W22   ; 6        ; 50           ; 5            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
674
; LEDG[5]       ; W21   ; 6        ; 50           ; 4            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
675
; LEDG[6]       ; Y22   ; 6        ; 50           ; 6            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
676
; LEDG[7]       ; Y21   ; 6        ; 50           ; 6            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
677
; LEDR[0]       ; R20   ; 6        ; 50           ; 10           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
678
; LEDR[1]       ; R19   ; 6        ; 50           ; 8            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
679
; LEDR[2]       ; U19   ; 6        ; 50           ; 4            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
680
; LEDR[3]       ; Y19   ; 6        ; 50           ; 2            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
681
; LEDR[4]       ; T18   ; 6        ; 50           ; 3            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
682
; LEDR[5]       ; V19   ; 6        ; 50           ; 2            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
683
; LEDR[6]       ; Y18   ; 6        ; 50           ; 2            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
684
; LEDR[7]       ; U18   ; 6        ; 50           ; 3            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
685
; LEDR[8]       ; R18   ; 6        ; 50           ; 8            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
686
; LEDR[9]       ; R17   ; 6        ; 50           ; 5            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
687
; SD_CLK        ; F9    ; 3        ; 11           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ;
688
; SRAM_ADDR[0]  ; AA3   ; 8        ; 1            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
689
; SRAM_ADDR[10] ; R11   ; 8        ; 20           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
690
; SRAM_ADDR[11] ; T11   ; 8        ; 18           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
691
; SRAM_ADDR[12] ; Y10   ; 8        ; 15           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
692
; SRAM_ADDR[13] ; U10   ; 8        ; 13           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
693
; SRAM_ADDR[14] ; R10   ; 8        ; 13           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
694
; SRAM_ADDR[15] ; T7    ; 8        ; 5            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
695
; SRAM_ADDR[16] ; Y6    ; 8        ; 3            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
696
; SRAM_ADDR[17] ; Y5    ; 8        ; 3            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
697
; SRAM_ADDR[1]  ; AB3   ; 8        ; 1            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
698
; SRAM_ADDR[2]  ; AA4   ; 8        ; 1            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
699
; SRAM_ADDR[3]  ; AB4   ; 8        ; 1            ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
700
; SRAM_ADDR[4]  ; AA5   ; 8        ; 3            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
701
; SRAM_ADDR[5]  ; AB10  ; 8        ; 22           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
702
; SRAM_ADDR[6]  ; AA11  ; 8        ; 24           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
703
; SRAM_ADDR[7]  ; AB11  ; 8        ; 24           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
704
; SRAM_ADDR[8]  ; V11   ; 8        ; 20           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
705
; SRAM_ADDR[9]  ; W11   ; 8        ; 20           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
706
; SRAM_CE_N     ; AB5   ; 8        ; 3            ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
707
; SRAM_LB_N     ; Y7    ; 8        ; 5            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
708
; SRAM_OE_N     ; T8    ; 8        ; 5            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
709
; SRAM_UB_N     ; W7    ; 8        ; 9            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
710
; SRAM_WE_N     ; AA10  ; 8        ; 22           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
711
; TDO           ; D7    ; 3        ; 9            ; 27           ; 2           ; yes             ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
712
; UART_TXD      ; G12   ; 4        ; 31           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
713
; VGA_B[0]      ; A9    ; 3        ; 15           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
714
; VGA_B[1]      ; D11   ; 3        ; 22           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
715
; VGA_B[2]      ; A10   ; 3        ; 20           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
716
; VGA_B[3]      ; B10   ; 3        ; 20           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
717
; VGA_G[0]      ; B8    ; 3        ; 13           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
718
; VGA_G[1]      ; C10   ; 3        ; 18           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
719
; VGA_G[2]      ; B9    ; 3        ; 15           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
720
; VGA_G[3]      ; A8    ; 3        ; 13           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
721
; VGA_HS        ; A11   ; 3        ; 22           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
722
; VGA_R[0]      ; D9    ; 3        ; 13           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
723
; VGA_R[1]      ; C9    ; 3        ; 9            ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
724
; VGA_R[2]      ; A7    ; 3        ; 11           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
725
; VGA_R[3]      ; B7    ; 3        ; 11           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
726
; VGA_VS        ; B11   ; 3        ; 22           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
727
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
728
 
729
 
730
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
731
; Bidir Pins                                                                                                                                                                                                                                                                                                                                          ;
732
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
733
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ;
734
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
735
; AUD_BCLK    ; A4    ; 3        ; 1            ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
736
; DRAM_DQ[0]  ; U1    ; 1        ; 0            ; 7            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
737
; DRAM_DQ[10] ; P1    ; 1        ; 0            ; 11           ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
738
; DRAM_DQ[11] ; P2    ; 1        ; 0            ; 11           ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
739
; DRAM_DQ[12] ; R1    ; 1        ; 0            ; 8            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
740
; DRAM_DQ[13] ; R2    ; 1        ; 0            ; 8            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
741
; DRAM_DQ[14] ; T1    ; 1        ; 0            ; 8            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
742
; DRAM_DQ[15] ; T2    ; 1        ; 0            ; 8            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
743
; DRAM_DQ[1]  ; U2    ; 1        ; 0            ; 7            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
744
; DRAM_DQ[2]  ; V1    ; 1        ; 0            ; 6            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
745
; DRAM_DQ[3]  ; V2    ; 1        ; 0            ; 6            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
746
; DRAM_DQ[4]  ; W1    ; 1        ; 0            ; 4            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
747
; DRAM_DQ[5]  ; W2    ; 1        ; 0            ; 4            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
748
; DRAM_DQ[6]  ; Y1    ; 1        ; 0            ; 4            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
749
; DRAM_DQ[7]  ; Y2    ; 1        ; 0            ; 4            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
750
; DRAM_DQ[8]  ; N1    ; 1        ; 0            ; 12           ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
751
; DRAM_DQ[9]  ; N2    ; 1        ; 0            ; 12           ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
752
; FL_DQ[0]    ; AB16  ; 7        ; 35           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
753
; FL_DQ[1]    ; AA16  ; 7        ; 35           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
754
; FL_DQ[2]    ; AB17  ; 7        ; 37           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
755
; FL_DQ[3]    ; AA17  ; 7        ; 37           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
756
; FL_DQ[4]    ; AB18  ; 7        ; 42           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
757
; FL_DQ[5]    ; AA18  ; 7        ; 44           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
758
; FL_DQ[6]    ; AB19  ; 7        ; 48           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
759
; FL_DQ[7]    ; AA19  ; 7        ; 48           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
760
; GPIO_0[0]   ; A13   ; 4        ; 26           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
761
; GPIO_0[10]  ; A18   ; 4        ; 46           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
762
; GPIO_0[11]  ; B18   ; 4        ; 46           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
763
; GPIO_0[12]  ; A19   ; 4        ; 46           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
764
; GPIO_0[13]  ; B19   ; 4        ; 46           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
765
; GPIO_0[14]  ; A20   ; 4        ; 48           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
766
; GPIO_0[15]  ; B20   ; 4        ; 48           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
767
; GPIO_0[16]  ; C21   ; 5        ; 50           ; 24           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
768
; GPIO_0[17]  ; C22   ; 5        ; 50           ; 24           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
769
; GPIO_0[18]  ; D21   ; 5        ; 50           ; 21           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
770
; GPIO_0[19]  ; D22   ; 5        ; 50           ; 22           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
771
; GPIO_0[1]   ; B13   ; 4        ; 26           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
772
; GPIO_0[20]  ; E21   ; 5        ; 50           ; 21           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
773
; GPIO_0[21]  ; E22   ; 5        ; 50           ; 21           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
774
; GPIO_0[22]  ; F21   ; 5        ; 50           ; 20           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
775
; GPIO_0[23]  ; F22   ; 5        ; 50           ; 20           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
776
; GPIO_0[24]  ; G21   ; 5        ; 50           ; 19           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
777
; GPIO_0[25]  ; G22   ; 5        ; 50           ; 19           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
778
; GPIO_0[26]  ; J21   ; 5        ; 50           ; 16           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
779
; GPIO_0[27]  ; J22   ; 5        ; 50           ; 16           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
780
; GPIO_0[28]  ; K21   ; 5        ; 50           ; 15           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
781
; GPIO_0[29]  ; K22   ; 5        ; 50           ; 15           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
782
; GPIO_0[2]   ; A14   ; 4        ; 29           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
783
; GPIO_0[30]  ; J19   ; 5        ; 50           ; 17           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
784
; GPIO_0[31]  ; J20   ; 5        ; 50           ; 16           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
785
; GPIO_0[32]  ; J18   ; 5        ; 50           ; 17           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
786
; GPIO_0[33]  ; K20   ; 5        ; 50           ; 17           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
787
; GPIO_0[34]  ; L19   ; 5        ; 50           ; 15           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
788
; GPIO_0[35]  ; L18   ; 5        ; 50           ; 15           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
789
; GPIO_0[3]   ; B14   ; 4        ; 29           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
790
; GPIO_0[4]   ; A15   ; 4        ; 33           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
791
; GPIO_0[5]   ; B15   ; 4        ; 33           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
792
; GPIO_0[6]   ; A16   ; 4        ; 33           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
793
; GPIO_0[7]   ; B16   ; 4        ; 33           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
794
; GPIO_0[8]   ; A17   ; 4        ; 37           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
795
; GPIO_0[9]   ; B17   ; 4        ; 37           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
796
; GPIO_1[0]   ; H12   ; 4        ; 31           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
797
; GPIO_1[10]  ; C14   ; 4        ; 39           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
798
; GPIO_1[11]  ; D14   ; 4        ; 35           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
799
; GPIO_1[12]  ; D15   ; 4        ; 39           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
800
; GPIO_1[13]  ; D16   ; 4        ; 42           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
801
; GPIO_1[14]  ; C17   ; 4        ; 48           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
802
; GPIO_1[15]  ; C18   ; 4        ; 48           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
803
; GPIO_1[16]  ; C19   ; 5        ; 50           ; 24           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
804
; GPIO_1[17]  ; C20   ; 5        ; 50           ; 25           ; 4           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
805
; GPIO_1[18]  ; D19   ; 5        ; 50           ; 25           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
806
; GPIO_1[19]  ; D20   ; 5        ; 50           ; 25           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
807
; GPIO_1[1]   ; H13   ; 4        ; 37           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
808
; GPIO_1[20]  ; E20   ; 5        ; 50           ; 23           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
809
; GPIO_1[21]  ; F20   ; 5        ; 50           ; 23           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
810
; GPIO_1[22]  ; E19   ; 5        ; 50           ; 25           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
811
; GPIO_1[23]  ; E18   ; 5        ; 50           ; 25           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
812
; GPIO_1[24]  ; G20   ; 5        ; 50           ; 23           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
813
; GPIO_1[25]  ; G18   ; 5        ; 50           ; 22           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
814
; GPIO_1[26]  ; G17   ; 5        ; 50           ; 22           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
815
; GPIO_1[27]  ; H17   ; 5        ; 50           ; 20           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
816
; GPIO_1[28]  ; J15   ; 5        ; 50           ; 18           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
817
; GPIO_1[29]  ; H18   ; 5        ; 50           ; 20           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
818
; GPIO_1[2]   ; H14   ; 4        ; 42           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
819
; GPIO_1[30]  ; N22   ; 6        ; 50           ; 12           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
820
; GPIO_1[31]  ; N21   ; 6        ; 50           ; 12           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
821
; GPIO_1[32]  ; P15   ; 6        ; 50           ; 11           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
822
; GPIO_1[33]  ; N15   ; 6        ; 50           ; 11           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
823
; GPIO_1[34]  ; P17   ; 6        ; 50           ; 8            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
824
; GPIO_1[35]  ; P18   ; 6        ; 50           ; 9            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
825
; GPIO_1[3]   ; G15   ; 4        ; 39           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
826
; GPIO_1[4]   ; E14   ; 4        ; 35           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
827
; GPIO_1[5]   ; E15   ; 4        ; 42           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
828
; GPIO_1[6]   ; F15   ; 4        ; 39           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
829
; GPIO_1[7]   ; G16   ; 4        ; 44           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
830
; GPIO_1[8]   ; F12   ; 4        ; 31           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
831
; GPIO_1[9]   ; F13   ; 4        ; 35           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
832
; I2C_SDAT    ; B3    ; 3        ; 1            ; 27           ; 3           ; 3                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
833
; SD_CMD      ; C16   ; 4        ; 44           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ;
834
; SD_DAT      ; E7    ; 3        ; 5            ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ;
835
; SD_DAT3     ; G11   ; 3        ; 20           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ;
836
; SRAM_DQ[0]  ; AA6   ; 8        ; 7            ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
837
; SRAM_DQ[10] ; V9    ; 8        ; 9            ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
838
; SRAM_DQ[11] ; U9    ; 8        ; 13           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
839
; SRAM_DQ[12] ; R9    ; 8        ; 13           ; 0            ; 0           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
840
; SRAM_DQ[13] ; W8    ; 8        ; 9            ; 0            ; 1           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
841
; SRAM_DQ[14] ; V8    ; 8        ; 9            ; 0            ; 3           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
842
; SRAM_DQ[15] ; U8    ; 8        ; 5            ; 0            ; 1           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
843
; SRAM_DQ[1]  ; AB6   ; 8        ; 7            ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
844
; SRAM_DQ[2]  ; AA7   ; 8        ; 11           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
845
; SRAM_DQ[3]  ; AB7   ; 8        ; 11           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
846
; SRAM_DQ[4]  ; AA8   ; 8        ; 15           ; 0            ; 1           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
847
; SRAM_DQ[5]  ; AB8   ; 8        ; 15           ; 0            ; 2           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
848
; SRAM_DQ[6]  ; AA9   ; 8        ; 18           ; 0            ; 1           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
849
; SRAM_DQ[7]  ; AB9   ; 8        ; 18           ; 0            ; 2           ; 2                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
850
; SRAM_DQ[8]  ; Y9    ; 8        ; 11           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
851
; SRAM_DQ[9]  ; W9    ; 8        ; 11           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ;
852
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+
853
 
854
 
855
+------------------------------------------------------------+
856
; I/O Bank Usage                                             ;
857
+----------+------------------+---------------+--------------+
858
; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
859
+----------+------------------+---------------+--------------+
860
; 1        ; 40 / 41 ( 98 % ) ; 3.3V          ; --           ;
861
; 2        ; 32 / 33 ( 97 % ) ; 3.3V          ; --           ;
862
; 3        ; 31 / 43 ( 72 % ) ; 3.3V          ; --           ;
863
; 4        ; 39 / 40 ( 98 % ) ; 3.3V          ; --           ;
864
; 5        ; 36 / 39 ( 92 % ) ; 3.3V          ; --           ;
865
; 6        ; 32 / 36 ( 89 % ) ; 3.3V          ; --           ;
866
; 7        ; 35 / 40 ( 88 % ) ; 3.3V          ; --           ;
867
; 8        ; 41 / 43 ( 95 % ) ; 3.3V          ; --           ;
868
+----------+------------------+---------------+--------------+
869
 
870
 
871
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
872
; All Package Pins                                                                                                                                                       ;
873
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
874
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
875
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
876
; A1       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
877
; A2       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
878
; A3       ; 325        ; 3        ; I2C_SCLK                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
879
; A4       ; 324        ; 3        ; AUD_BCLK                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
880
; A5       ; 322        ; 3        ; AUD_DACLRCK                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
881
; A6       ; 320        ; 3        ; AUD_ADCLRCK                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
882
; A7       ; 306        ; 3        ; VGA_R[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
883
; A8       ; 304        ; 3        ; VGA_G[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
884
; A9       ; 298        ; 3        ; VGA_B[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
885
; A10      ; 293        ; 3        ; VGA_B[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
886
; A11      ; 287        ; 3        ; VGA_HS                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
887
; A12      ; 283        ; 4        ; CLOCK_24[1]                              ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
888
; A13      ; 281        ; 4        ; GPIO_0[0]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
889
; A14      ; 279        ; 4        ; GPIO_0[2]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
890
; A15      ; 273        ; 4        ; GPIO_0[4]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
891
; A16      ; 271        ; 4        ; GPIO_0[6]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
892
; A17      ; 265        ; 4        ; GPIO_0[8]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
893
; A18      ; 251        ; 4        ; GPIO_0[10]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
894
; A19      ; 249        ; 4        ; GPIO_0[12]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
895
; A20      ; 247        ; 4        ; GPIO_0[14]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
896
; A21      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
897
; A22      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
898
; AA1      ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
899
; AA2      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
900
; AA3      ; 82         ; 8        ; SRAM_ADDR[0]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
901
; AA4      ; 85         ; 8        ; SRAM_ADDR[2]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
902
; AA5      ; 89         ; 8        ; SRAM_ADDR[4]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
903
; AA6      ; 97         ; 8        ; SRAM_DQ[0]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
904
; AA7      ; 103        ; 8        ; SRAM_DQ[2]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
905
; AA8      ; 111        ; 8        ; SRAM_DQ[4]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
906
; AA9      ; 114        ; 8        ; SRAM_DQ[6]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
907
; AA10     ; 120        ; 8        ; SRAM_WE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
908
; AA11     ; 122        ; 8        ; SRAM_ADDR[6]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
909
; AA12     ; 128        ; 7        ; FL_ADDR[15]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
910
; AA13     ; 130        ; 7        ; FL_ADDR[13]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
911
; AA14     ; 136        ; 7        ; FL_ADDR[1]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
912
; AA15     ; 138        ; 7        ; FL_OE_N                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
913
; AA16     ; 140        ; 7        ; FL_DQ[1]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
914
; AA17     ; 144        ; 7        ; FL_DQ[3]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
915
; AA18     ; 153        ; 7        ; FL_DQ[5]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
916
; AA19     ; 162        ; 7        ; FL_DQ[7]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
917
; AA20     ; 164        ; 7        ; FL_ADDR[17]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
918
; AA21     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
919
; AA22     ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
920
; AB1      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
921
; AB2      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
922
; AB3      ; 83         ; 8        ; SRAM_ADDR[1]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
923
; AB4      ; 84         ; 8        ; SRAM_ADDR[3]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
924
; AB5      ; 88         ; 8        ; SRAM_CE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
925
; AB6      ; 96         ; 8        ; SRAM_DQ[1]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
926
; AB7      ; 102        ; 8        ; SRAM_DQ[3]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
927
; AB8      ; 110        ; 8        ; SRAM_DQ[5]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
928
; AB9      ; 113        ; 8        ; SRAM_DQ[7]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
929
; AB10     ; 119        ; 8        ; SRAM_ADDR[5]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
930
; AB11     ; 121        ; 8        ; SRAM_ADDR[7]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
931
; AB12     ; 127        ; 7        ; FL_ADDR[16]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
932
; AB13     ; 129        ; 7        ; FL_ADDR[14]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
933
; AB14     ; 135        ; 7        ; FL_ADDR[12]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
934
; AB15     ; 137        ; 7        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
935
; AB16     ; 139        ; 7        ; FL_DQ[0]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
936
; AB17     ; 143        ; 7        ; FL_DQ[2]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
937
; AB18     ; 152        ; 7        ; FL_DQ[4]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
938
; AB19     ; 161        ; 7        ; FL_DQ[6]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
939
; AB20     ; 163        ; 7        ; FL_ADDR[0]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
940
; AB21     ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
941
; AB22     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
942
; B1       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
943
; B2       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
944
; B3       ; 326        ; 3        ; I2C_SDAT                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
945
; B4       ; 323        ; 3        ; AUD_XCK                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
946
; B5       ; 321        ; 3        ; AUD_DACDAT                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
947
; B6       ; 319        ; 3        ; AUD_ADCDAT                               ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
948
; B7       ; 305        ; 3        ; VGA_R[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
949
; B8       ; 303        ; 3        ; VGA_G[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
950
; B9       ; 297        ; 3        ; VGA_G[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
951
; B10      ; 292        ; 3        ; VGA_B[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
952
; B11      ; 286        ; 3        ; VGA_VS                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
953
; B12      ; 282        ; 4        ; CLOCK_24[0]                              ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
954
; B13      ; 280        ; 4        ; GPIO_0[1]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
955
; B14      ; 278        ; 4        ; GPIO_0[3]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
956
; B15      ; 272        ; 4        ; GPIO_0[5]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
957
; B16      ; 270        ; 4        ; GPIO_0[7]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
958
; B17      ; 264        ; 4        ; GPIO_0[9]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
959
; B18      ; 250        ; 4        ; GPIO_0[11]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
960
; B19      ; 248        ; 4        ; GPIO_0[13]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
961
; B20      ; 246        ; 4        ; GPIO_0[15]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
962
; B21      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
963
; B22      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
964
; C1       ; 8          ; 2        ; HEX2[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
965
; C2       ; 9          ; 2        ; HEX2[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
966
; C3       ; 1          ; 2        ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
967
; C4       ; 0          ; 2        ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
968
; C5       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
969
; C6       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
970
; C7       ; 315        ; 3        ; TCK                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
971
; C8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
972
; C9       ; 310        ; 3        ; VGA_R[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
973
; C10      ; 296        ; 3        ; VGA_G[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
974
; C11      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
975
; C12      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
976
; C13      ; 275        ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
977
; C14      ; 260        ; 4        ; GPIO_1[10]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
978
; C15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
979
; C16      ; 254        ; 4        ; SD_CMD                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
980
; C17      ; 245        ; 4        ; GPIO_1[14]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
981
; C18      ; 244        ; 4        ; GPIO_1[15]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
982
; C19      ; 238        ; 5        ; GPIO_1[16]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
983
; C20      ; 239        ; 5        ; GPIO_1[17]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
984
; C21      ; 236        ; 5        ; GPIO_0[16]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
985
; C22      ; 237        ; 5        ; GPIO_0[17]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
986
; D1       ; 14         ; 2        ; HEX1[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
987
; D2       ; 15         ; 2        ; HEX1[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
988
; D3       ; 2          ; 2        ; HEX2[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
989
; D4       ; 3          ; 2        ; HEX3[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
990
; D5       ; 4          ; 2        ; HEX3[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
991
; D6       ; 5          ; 2        ; HEX3[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
992
; D7       ; 311        ; 3        ; TDO                                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
993
; D8       ; 309        ; 3        ; TCS                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
994
; D9       ; 302        ; 3        ; VGA_R[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
995
; D10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
996
; D11      ; 289        ; 3        ; VGA_B[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
997
; D12      ; 284        ; 3        ; CLOCK_27[0]                              ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
998
; D13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
999
; D14      ; 267        ; 4        ; GPIO_1[11]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1000
; D15      ; 259        ; 4        ; GPIO_1[12]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1001
; D16      ; 255        ; 4        ; GPIO_1[13]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1002
; D17      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1003
; D18      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1004
; D19      ; 240        ; 5        ; GPIO_1[18]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1005
; D20      ; 241        ; 5        ; GPIO_1[19]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1006
; D21      ; 229        ; 5        ; GPIO_0[18]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1007
; D22      ; 230        ; 5        ; GPIO_0[19]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1008
; E1       ; 20         ; 2        ; HEX1[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1009
; E2       ; 21         ; 2        ; HEX0[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1010
; E3       ; 6          ; 2        ; HEX2[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1011
; E4       ; 7          ; 2        ; HEX2[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1012
; E5       ;            ;          ; VCCD_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1013
; E6       ;            ;          ; VCCA_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1014
; E7       ; 316        ; 3        ; SD_DAT                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
1015
; E8       ; 308        ; 3        ; TDI                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1016
; E9       ; 301        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1017
; E10      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1018
; E11      ; 288        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1019
; E12      ; 285        ; 3        ; CLOCK_27[1]                              ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1020
; E13      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1021
; E14      ; 266        ; 4        ; GPIO_1[4]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1022
; E15      ; 256        ; 4        ; GPIO_1[5]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1023
; E16      ;            ;          ; GNDA_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1024
; E17      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1025
; E18      ; 243        ; 5        ; GPIO_1[23]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1026
; E19      ; 242        ; 5        ; GPIO_1[22]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1027
; E20      ; 234        ; 5        ; GPIO_1[20]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1028
; E21      ; 227        ; 5        ; GPIO_0[20]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1029
; E22      ; 228        ; 5        ; GPIO_0[21]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1030
; F1       ; 22         ; 2        ; HEX0[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1031
; F2       ; 23         ; 2        ; HEX0[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1032
; F3       ; 13         ; 2        ; HEX3[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1033
; F4       ; 10         ; 2        ; HEX3[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1034
; F5       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1035
; F6       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1036
; F7       ;            ;          ; GNDA_PLL3                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1037
; F8       ; 312        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1038
; F9       ; 307        ; 3        ; SD_CLK                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
1039
; F10      ; 295        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1040
; F11      ; 294        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1041
; F12      ; 276        ; 4        ; GPIO_1[8]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1042
; F13      ; 269        ; 4        ; GPIO_1[9]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1043
; F14      ; 268        ; 4        ; UART_RXD                                 ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1044
; F15      ; 262        ; 4        ; GPIO_1[6]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1045
; F16      ;            ;          ; VCCA_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1046
; F17      ;            ;          ; VCCD_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1047
; F18      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1048
; F19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1049
; F20      ; 235        ; 5        ; GPIO_1[21]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1050
; F21      ; 223        ; 5        ; GPIO_0[22]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1051
; F22      ; 224        ; 5        ; GPIO_0[23]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1052
; G1       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1053
; G2       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1054
; G3       ; 16         ; 2        ; HEX1[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1055
; G4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1056
; G5       ; 12         ; 2        ; HEX2[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1057
; G6       ; 11         ; 2        ; HEX2[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1058
; G7       ; 317        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1059
; G8       ; 313        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1060
; G9       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1061
; G10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1062
; G11      ; 291        ; 3        ; SD_DAT3                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
1063
; G12      ; 277        ; 4        ; UART_TXD                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1064
; G13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1065
; G14      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1066
; G15      ; 261        ; 4        ; GPIO_1[3]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1067
; G16      ; 252        ; 4        ; GPIO_1[7]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1068
; G17      ; 231        ; 5        ; GPIO_1[26]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1069
; G18      ; 232        ; 5        ; GPIO_1[25]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1070
; G19      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1071
; G20      ; 233        ; 5        ; GPIO_1[24]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1072
; G21      ; 221        ; 5        ; GPIO_0[24]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1073
; G22      ; 222        ; 5        ; GPIO_0[25]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1074
; H1       ; 24         ; 2        ; HEX0[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1075
; H2       ; 25         ; 2        ; HEX0[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1076
; H3       ; 27         ; 2        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1077
; H4       ; 17         ; 2        ; HEX1[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1078
; H5       ; 18         ; 2        ; HEX1[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1079
; H6       ; 19         ; 2        ; HEX1[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1080
; H7       ; 318        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1081
; H8       ; 314        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1082
; H9       ; 300        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1083
; H10      ; 299        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1084
; H11      ; 290        ; 3        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1085
; H12      ; 274        ; 4        ; GPIO_1[0]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1086
; H13      ; 263        ; 4        ; GPIO_1[1]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1087
; H14      ; 257        ; 4        ; GPIO_1[2]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1088
; H15      ; 253        ; 4        ; PS2_CLK                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1089
; H16      ; 219        ; 5        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1090
; H17      ; 226        ; 5        ; GPIO_1[27]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1091
; H18      ; 225        ; 5        ; GPIO_1[29]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1092
; H19      ; 214        ; 5        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1093
; H20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1094
; H21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1095
; H22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1096
; J1       ; 29         ; 2        ; HEX0[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1097
; J2       ; 30         ; 2        ; HEX0[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1098
; J3       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1099
; J4       ; 28         ; 2        ; HEX3[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1100
; J5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1101
; J6       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1102
; J7       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1103
; J8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1104
; J9       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1105
; J10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1106
; J11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1107
; J12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1108
; J13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1109
; J14      ; 258        ; 4        ; PS2_DAT                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1110
; J15      ; 220        ; 5        ; GPIO_1[28]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1111
; J16      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1112
; J17      ; 218        ; 5        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1113
; J18      ; 217        ; 5        ; GPIO_0[32]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1114
; J19      ; 216        ; 5        ; GPIO_0[30]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1115
; J20      ; 213        ; 5        ; GPIO_0[31]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1116
; J21      ; 211        ; 5        ; GPIO_0[26]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1117
; J22      ; 212        ; 5        ; GPIO_0[27]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1118
; K1       ; 37         ; 2        ; ^nCE                                     ;        ;              ;         ; --         ;                 ; --       ; --           ;
1119
; K2       ; 32         ; 2        ; #TCK                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1120
; K3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1121
; K4       ; 36         ; 2        ; ^DATA0                                   ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1122
; K5       ; 31         ; 2        ; #TDI                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1123
; K6       ; 33         ; 2        ; #TMS                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1124
; K7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1125
; K8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1126
; K9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1127
; K10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1128
; K11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1129
; K12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1130
; K13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1131
; K14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1132
; K15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1133
; K16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1134
; K17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1135
; K18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1136
; K19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1137
; K20      ; 215        ; 5        ; GPIO_0[33]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1138
; K21      ; 209        ; 5        ; GPIO_0[28]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1139
; K22      ; 210        ; 5        ; GPIO_0[29]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1140
; L1       ; 38         ; 2        ; CLOCK_50                                 ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1141
; L2       ; 39         ; 2        ; SW[9]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1142
; L3       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1143
; L4       ; 40         ; 2        ; ^nCONFIG                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
1144
; L5       ; 34         ; 2        ; #TDO                                     ; output ;              ;         ; --         ;                 ; --       ; --           ;
1145
; L6       ; 35         ; 2        ; ^DCLK                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1146
; L7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1147
; L8       ; 26         ; 2        ; HEX3[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1148
; L9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1149
; L10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1150
; L11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1151
; L12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1152
; L13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1153
; L14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1154
; L15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1155
; L16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1156
; L17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1157
; L18      ; 208        ; 5        ; GPIO_0[35]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1158
; L19      ; 207        ; 5        ; GPIO_0[34]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1159
; L20      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1160
; L21      ; 205        ; 5        ; SW[1]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1161
; L22      ; 206        ; 5        ; SW[0]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1162
; M1       ; 41         ; 1        ; SW[8]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1163
; M2       ; 42         ; 1        ; SW[7]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1164
; M3       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1165
; M4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1166
; M5       ; 43         ; 1        ; DRAM_UDQM                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1167
; M6       ; 44         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1168
; M7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1169
; M8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1170
; M9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1171
; M10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1172
; M11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1173
; M12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1174
; M13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1175
; M14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1176
; M15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1177
; M16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1178
; M17      ; 198        ; 6        ; ^MSEL0                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
1179
; M18      ; 202        ; 6        ; FL_CE_N                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
1180
; M19      ; 201        ; 6        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1181
; M20      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1182
; M21      ; 203        ; 6        ; EXT_CLOCK                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1183
; M22      ; 204        ; 6        ; SW[2]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1184
; N1       ; 45         ; 1        ; DRAM_DQ[8]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1185
; N2       ; 46         ; 1        ; DRAM_DQ[9]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1186
; N3       ; 51         ; 1        ; DRAM_CKE                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1187
; N4       ; 52         ; 1        ; DRAM_ADDR[9]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1188
; N5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1189
; N6       ; 49         ; 1        ; DRAM_ADDR[11]                            ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1190
; N7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1191
; N8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1192
; N9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1193
; N10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1194
; N11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1195
; N12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1196
; N13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1197
; N14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1198
; N15      ; 194        ; 6        ; GPIO_1[33]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1199
; N16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1200
; N17      ; 197        ; 6        ; ^MSEL1                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
1201
; N18      ; 196        ; 6        ; ^CONF_DONE                               ;        ;              ;         ; --         ;                 ; --       ; --           ;
1202
; N19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1203
; N20      ; 195        ; 6        ; ^nSTATUS                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
1204
; N21      ; 199        ; 6        ; GPIO_1[31]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1205
; N22      ; 200        ; 6        ; GPIO_1[30]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1206
; P1       ; 47         ; 1        ; DRAM_DQ[10]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1207
; P2       ; 48         ; 1        ; DRAM_DQ[11]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1208
; P3       ; 50         ; 1        ; DRAM_ADDR[8]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1209
; P4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1210
; P5       ; 55         ; 1        ; DRAM_ADDR[7]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1211
; P6       ; 56         ; 1        ; DRAM_ADDR[6]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1212
; P7       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1213
; P8       ; 95         ; 8        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1214
; P9       ; 94         ; 8        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1215
; P10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1216
; P11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1217
; P12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1218
; P13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1219
; P14      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1220
; P15      ; 193        ; 6        ; GPIO_1[32]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1221
; P16      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1222
; P17      ; 186        ; 6        ; GPIO_1[34]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1223
; P18      ; 187        ; 6        ; GPIO_1[35]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1224
; P19      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1225
; P20      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1226
; P21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1227
; P22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1228
; R1       ; 57         ; 1        ; DRAM_DQ[12]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1229
; R2       ; 58         ; 1        ; DRAM_DQ[13]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1230
; R3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1231
; R4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1232
; R5       ; 63         ; 1        ; DRAM_ADDR[5]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1233
; R6       ; 64         ; 1        ; DRAM_ADDR[4]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1234
; R7       ; 54         ; 1        ; DRAM_LDQM                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1235
; R8       ; 53         ; 1        ; DRAM_WE_N                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1236
; R9       ; 109        ; 8        ; SRAM_DQ[12]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1237
; R10      ; 108        ; 8        ; SRAM_ADDR[14]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1238
; R11      ; 116        ; 8        ; SRAM_ADDR[10]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1239
; R12      ; 134        ; 7        ; FL_ADDR[10]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1240
; R13      ; 145        ; 7        ; FL_ADDR[21]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1241
; R14      ; 150        ; 7        ; FL_ADDR[8]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1242
; R15      ; 151        ; 7        ; FL_ADDR[3]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1243
; R16      ; 155        ; 7        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1244
; R17      ; 177        ; 6        ; LEDR[9]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1245
; R18      ; 184        ; 6        ; LEDR[8]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1246
; R19      ; 185        ; 6        ; LEDR[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1247
; R20      ; 192        ; 6        ; LEDR[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1248
; R21      ; 190        ; 6        ; KEY[1]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1249
; R22      ; 191        ; 6        ; KEY[0]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1250
; T1       ; 59         ; 1        ; DRAM_DQ[14]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1251
; T2       ; 60         ; 1        ; DRAM_DQ[15]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1252
; T3       ; 69         ; 1        ; DRAM_CAS_N                               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1253
; T4       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1254
; T5       ; 67         ; 1        ; DRAM_RAS_N                               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1255
; T6       ; 68         ; 1        ; DRAM_CS_N                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1256
; T7       ; 91         ; 8        ; SRAM_ADDR[15]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1257
; T8       ; 90         ; 8        ; SRAM_OE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1258
; T9       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1259
; T10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1260
; T11      ; 115        ; 8        ; SRAM_ADDR[11]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1261
; T12      ; 131        ; 7        ; FL_ADDR[11]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1262
; T13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1263
; T14      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1264
; T15      ; 147        ; 7        ; FL_ADDR[4]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1265
; T16      ; 156        ; 7        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1266
; T17      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1267
; T18      ; 171        ; 6        ; LEDR[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1268
; T19      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1269
; T20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1270
; T21      ; 188        ; 6        ; KEY[3]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1271
; T22      ; 189        ; 6        ; KEY[2]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1272
; U1       ; 61         ; 1        ; DRAM_DQ[0]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1273
; U2       ; 62         ; 1        ; DRAM_DQ[1]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1274
; U3       ; 70         ; 1        ; DRAM_BA_0                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1275
; U4       ; 80         ; 1        ; DRAM_CLK                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1276
; U5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1277
; U6       ;            ;          ; VCCD_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1278
; U7       ;            ;          ; VCCA_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1279
; U8       ; 92         ; 8        ; SRAM_DQ[15]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1280
; U9       ; 106        ; 8        ; SRAM_DQ[11]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1281
; U10      ; 107        ; 8        ; SRAM_ADDR[13]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1282
; U11      ; 123        ; 8        ; SW[6]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1283
; U12      ; 124        ; 8        ; SW[5]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1284
; U13      ; 132        ; 7        ; FL_ADDR[20]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1285
; U14      ; 146        ; 7        ; FL_ADDR[18]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1286
; U15      ; 157        ; 7        ; FL_ADDR[5]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1287
; U16      ;            ;          ; VCCA_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1288
; U17      ;            ;          ; VCCD_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1289
; U18      ; 170        ; 6        ; LEDR[7]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1290
; U19      ; 172        ; 6        ; LEDR[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1291
; U20      ; 176        ; 6        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1292
; U21      ; 182        ; 6        ; LEDG[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1293
; U22      ; 183        ; 6        ; LEDG[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1294
; V1       ; 65         ; 1        ; DRAM_DQ[2]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1295
; V2       ; 66         ; 1        ; DRAM_DQ[3]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1296
; V3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1297
; V4       ; 81         ; 1        ; DRAM_BA_1                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1298
; V5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1299
; V6       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1300
; V7       ;            ;          ; GNDA_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1301
; V8       ; 98         ; 8        ; SRAM_DQ[14]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1302
; V9       ; 101        ; 8        ; SRAM_DQ[10]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1303
; V10      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1304
; V11      ; 118        ; 8        ; SRAM_ADDR[8]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1305
; V12      ; 126        ; 7        ; SW[3]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1306
; V13      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1307
; V14      ; 142        ; 7        ; FL_ADDR[19]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1308
; V15      ; 158        ; 7        ; FL_ADDR[6]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1309
; V16      ;            ;          ; GNDA_PLL4                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1310
; V17      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1311
; V18      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1312
; V19      ; 166        ; 6        ; LEDR[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1313
; V20      ; 173        ; 6        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1314
; V21      ; 180        ; 6        ; LEDG[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1315
; V22      ; 181        ; 6        ; LEDG[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1316
; W1       ; 71         ; 1        ; DRAM_DQ[4]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1317
; W2       ; 72         ; 1        ; DRAM_DQ[5]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1318
; W3       ; 75         ; 1        ; DRAM_ADDR[10]                            ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1319
; W4       ; 76         ; 1        ; DRAM_ADDR[0]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1320
; W5       ; 79         ; 1        ; DRAM_ADDR[1]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1321
; W6       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1322
; W7       ; 99         ; 8        ; SRAM_UB_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1323
; W8       ; 100        ; 8        ; SRAM_DQ[13]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1324
; W9       ; 105        ; 8        ; SRAM_DQ[9]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1325
; W10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1326
; W11      ; 117        ; 8        ; SRAM_ADDR[9]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1327
; W12      ; 125        ; 7        ; SW[4]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1328
; W13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1329
; W14      ; 141        ; 7        ; FL_RST_N                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1330
; W15      ; 149        ; 7        ; FL_ADDR[7]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1331
; W16      ; 160        ; 7        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1332
; W17      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1333
; W18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
1334
; W19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1335
; W20      ; 167        ; 6        ; ~LVDS91p/nCEO~                           ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
1336
; W21      ; 174        ; 6        ; LEDG[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1337
; W22      ; 175        ; 6        ; LEDG[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1338
; Y1       ; 73         ; 1        ; DRAM_DQ[6]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1339
; Y2       ; 74         ; 1        ; DRAM_DQ[7]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1340
; Y3       ; 77         ; 1        ; DRAM_ADDR[2]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1341
; Y4       ; 78         ; 1        ; DRAM_ADDR[3]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1342
; Y5       ; 86         ; 8        ; SRAM_ADDR[17]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1343
; Y6       ; 87         ; 8        ; SRAM_ADDR[16]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1344
; Y7       ; 93         ; 8        ; SRAM_LB_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1345
; Y8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1346
; Y9       ; 104        ; 8        ; SRAM_DQ[8]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1347
; Y10      ; 112        ; 8        ; SRAM_ADDR[12]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1348
; Y11      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1349
; Y12      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1350
; Y13      ; 133        ; 7        ; FL_ADDR[9]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1351
; Y14      ; 148        ; 7        ; FL_WE_N                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1352
; Y15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1353
; Y16      ; 154        ; 7        ; FL_ADDR[2]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1354
; Y17      ; 159        ; 7        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
1355
; Y18      ; 165        ; 6        ; LEDR[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1356
; Y19      ; 168        ; 6        ; LEDR[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1357
; Y20      ; 169        ; 6        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
1358
; Y21      ; 178        ; 6        ; LEDG[7]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1359
; Y22      ; 179        ; 6        ; LEDG[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1360
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
1361
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
1362
 
1363
 
1364
+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1365
; PLL Summary                                                                                                                                                      ;
1366
+----------------------------------+--------------------------------------------------------------------------------+----------------------------------------------+
1367
; Name                             ; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|pll ; VGA_Audio_PLL:p1|altpll:altpll_component|pll ;
1368
+----------------------------------+--------------------------------------------------------------------------------+----------------------------------------------+
1369
; SDC pin name                     ; u3|u1|sdram_pll1|altpll_component|pll                                          ; p1|altpll_component|pll                      ;
1370
; PLL mode                         ; Normal                                                                         ; Normal                                       ;
1371
; Compensate clock                 ; clock0                                                                         ; clock0                                       ;
1372
; Compensated input/output pins    ; --                                                                             ; --                                           ;
1373
; Self reset on gated loss of lock ; Off                                                                            ; Off                                          ;
1374
; Gate lock counter                ; --                                                                             ; --                                           ;
1375
; Input frequency 0                ; 50.0 MHz                                                                       ; 27.0 MHz                                     ;
1376
; Input frequency 1                ; --                                                                             ; --                                           ;
1377
; Nominal PFD frequency            ; 50.0 MHz                                                                       ; 27.0 MHz                                     ;
1378
; Nominal VCO frequency            ; 800.0 MHz                                                                      ; 377.9 MHz                                    ;
1379
; VCO post scale                   ; --                                                                             ; 2                                            ;
1380
; VCO multiply                     ; --                                                                             ; --                                           ;
1381
; VCO divide                       ; --                                                                             ; --                                           ;
1382
; Freq min lock                    ; 31.25 MHz                                                                      ; 21.43 MHz                                    ;
1383
; Freq max lock                    ; 62.5 MHz                                                                       ; 35.71 MHz                                    ;
1384
; M VCO Tap                        ; 0                                                                              ; 0                                            ;
1385
; M Initial                        ; 1                                                                              ; 1                                            ;
1386
; M value                          ; 16                                                                             ; 14                                           ;
1387
; N value                          ; 1                                                                              ; 1                                            ;
1388
; Preserve PLL counter order       ; Off                                                                            ; Off                                          ;
1389
; PLL location                     ; PLL_1                                                                          ; PLL_3                                        ;
1390
; Inclk0 signal                    ; CLOCK_50                                                                       ; CLOCK_27[0]                                  ;
1391
; Inclk1 signal                    ; --                                                                             ; --                                           ;
1392
; Inclk0 signal type               ; Dedicated Pin                                                                  ; Dedicated Pin                                ;
1393
; Inclk1 signal type               ; --                                                                             ; --                                           ;
1394
+----------------------------------+--------------------------------------------------------------------------------+----------------------------------------------+
1395
 
1396
 
1397
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1398
; PLL Usage                                                                                                                                                                                                                                                            ;
1399
+----------------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------+---------+---------------+------------+---------+---------+----------------------------------------------+
1400
; Name                                                                             ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Initial ; VCO Tap ; SDC Pin Name                                 ;
1401
+----------------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------+---------+---------------+------------+---------+---------+----------------------------------------------+
1402
; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0 ; clock0       ; 1    ; 1   ; 50.0 MHz         ; 0 (0 ps)    ; 50/50      ; C0      ; 16            ; 8/8 Even   ; 1       ; 0       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1403
; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk2 ; clock2       ; 1    ; 1   ; 50.0 MHz         ; 0 (0 ps)    ; 50/50      ; C2      ; 16            ; 8/8 Even   ; 1       ; 0       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
1404
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; clock0       ; 14   ; 15  ; 25.2 MHz         ; 0 (0 ps)    ; 50/50      ; C0      ; 15            ; 8/7 Odd    ; 1       ; 0       ; p1|altpll_component|pll|clk[0]               ;
1405
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk1                                   ; clock1       ; 2    ; 3   ; 18.0 MHz         ; 0 (0 ps)    ; 50/50      ; C1      ; 21            ; 11/10 Odd  ; 1       ; 0       ; p1|altpll_component|pll|clk[1]               ;
1406
+----------------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------+---------+---------------+------------+---------+---------+----------------------------------------------+
1407
 
1408
 
1409
+-------------------------------------------------------------------------------+
1410
; Output Pin Default Load For Reported TCO                                      ;
1411
+----------------------------------+-------+------------------------------------+
1412
; I/O Standard                     ; Load  ; Termination Resistance             ;
1413
+----------------------------------+-------+------------------------------------+
1414
; 3.3-V LVTTL                      ; 0 pF  ; Not Available                      ;
1415
; 3.3-V LVCMOS                     ; 0 pF  ; Not Available                      ;
1416
; 2.5 V                            ; 0 pF  ; Not Available                      ;
1417
; 1.8 V                            ; 0 pF  ; Not Available                      ;
1418
; 1.5 V                            ; 0 pF  ; Not Available                      ;
1419
; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
1420
; 3.3-V PCI-X                      ; 10 pF ; 25 Ohm (Parallel)                  ;
1421
; SSTL-2 Class I                   ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
1422
; SSTL-2 Class II                  ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
1423
; SSTL-18 Class I                  ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
1424
; SSTL-18 Class II                 ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
1425
; 1.5-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
1426
; 1.5-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
1427
; 1.8-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
1428
; 1.8-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
1429
; Differential SSTL-2              ; 0 pF  ; (See SSTL-2)                       ;
1430
; Differential 2.5-V SSTL Class II ; 0 pF  ; (See SSTL-2 Class II)              ;
1431
; Differential 1.8-V SSTL Class I  ; 0 pF  ; (See 1.8-V SSTL Class I)           ;
1432
; Differential 1.8-V SSTL Class II ; 0 pF  ; (See 1.8-V SSTL Class II)          ;
1433
; Differential 1.5-V HSTL Class I  ; 0 pF  ; (See 1.5-V HSTL Class I)           ;
1434
; Differential 1.5-V HSTL Class II ; 0 pF  ; (See 1.5-V HSTL Class II)          ;
1435
; Differential 1.8-V HSTL Class I  ; 0 pF  ; (See 1.8-V HSTL Class I)           ;
1436
; Differential 1.8-V HSTL Class II ; 0 pF  ; (See 1.8-V HSTL Class II)          ;
1437
; LVDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
1438
; mini-LVDS                        ; 0 pF  ; 100 Ohm (Differential)             ;
1439
; RSDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
1440
; Simple RSDS                      ; 0 pF  ; Not Available                      ;
1441
; Differential LVPECL              ; 0 pF  ; 100 Ohm (Differential)             ;
1442
+----------------------------------+-------+------------------------------------+
1443
Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
1444
 
1445
 
1446
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1447
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                                                                            ;
1448
+-------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
1449
; Compilation Hierarchy Node                                        ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                                                           ; Library Name ;
1450
+-------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
1451
; |CII_Starter_USB_API                                              ; 2242 (2)    ; 1092 (0)                  ; 1 (1)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 283  ; 0            ; 1150 (2)     ; 247 (0)           ; 845 (1)          ; |CII_Starter_USB_API                                                                                                                                          ; work         ;
1452
;    |AUDIO_DAC:u11|                                                ; 220 (220)   ; 98 (98)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 122 (122)    ; 24 (24)           ; 74 (74)          ; |CII_Starter_USB_API|AUDIO_DAC:u11                                                                                                                            ; work         ;
1453
;    |CLK_LOCK:p0|                                                  ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|CLK_LOCK:p0                                                                                                                              ; work         ;
1454
;       |CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component                                                                    ; work         ;
1455
;    |CMD_Decode:u5|                                                ; 381 (381)   ; 299 (299)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 75 (75)      ; 124 (124)         ; 182 (182)        ; |CII_Starter_USB_API|CMD_Decode:u5                                                                                                                            ; work         ;
1456
;    |I2C_AV_Config:u10|                                            ; 93 (45)     ; 57 (35)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 36 (10)      ; 3 (0)             ; 54 (35)          ; |CII_Starter_USB_API|I2C_AV_Config:u10                                                                                                                        ; work         ;
1457
;       |I2C_Controller:u0|                                         ; 48 (48)     ; 22 (22)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 26 (26)      ; 3 (3)             ; 19 (19)          ; |CII_Starter_USB_API|I2C_AV_Config:u10|I2C_Controller:u0                                                                                                      ; work         ;
1458
;    |Multi_Flash:u2|                                               ; 186 (0)     ; 120 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 62 (0)       ; 8 (0)             ; 116 (0)          ; |CII_Starter_USB_API|Multi_Flash:u2                                                                                                                           ; work         ;
1459
;       |Flash_Controller:u1|                                       ; 157 (157)   ; 99 (99)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 58 (58)      ; 8 (8)             ; 91 (91)          ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1                                                                                                       ; work         ;
1460
;       |Flash_Multiplexer:u0|                                      ; 66 (66)     ; 21 (21)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 62 (62)          ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Multiplexer:u0                                                                                                      ; work         ;
1461
;    |Multi_Sdram:u3|                                               ; 295 (0)     ; 220 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 73 (0)       ; 50 (0)            ; 172 (0)          ; |CII_Starter_USB_API|Multi_Sdram:u3                                                                                                                           ; work         ;
1462
;       |Sdram_Controller:u1|                                       ; 286 (100)   ; 215 (73)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 71 (27)      ; 50 (29)           ; 165 (44)         ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1                                                                                                       ; work         ;
1463
;          |PLL1:sdram_pll1|                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1                                                                                       ; work         ;
1464
;             |altpll:altpll_component|                             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component                                                               ; work         ;
1465
;          |command:command1|                                       ; 67 (67)     ; 48 (48)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (19)      ; 5 (5)             ; 43 (43)          ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1                                                                                      ; work         ;
1466
;          |control_interface:control1|                             ; 87 (87)     ; 62 (62)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 25 (25)      ; 0 (0)             ; 62 (62)          ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1                                                                            ; work         ;
1467
;          |sdr_data_path:data_path1|                               ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 16 (16)           ; 16 (16)          ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1                                                                              ; work         ;
1468
;       |Sdram_Multiplexer:u0|                                      ; 49 (49)     ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 47 (47)          ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Multiplexer:u0                                                                                                      ; work         ;
1469
;    |Multi_Sram:u6|                                                ; 38 (38)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 35 (35)          ; |CII_Starter_USB_API|Multi_Sram:u6                                                                                                                            ; work         ;
1470
;    |Reset_Delay:d0|                                               ; 33 (33)     ; 26 (26)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 5 (5)             ; 21 (21)          ; |CII_Starter_USB_API|Reset_Delay:d0                                                                                                                           ; work         ;
1471
;    |SEG7_LUT_4:u0|                                                ; 28 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 0 (0)             ; 16 (0)           ; |CII_Starter_USB_API|SEG7_LUT_4:u0                                                                                                                            ; work         ;
1472
;       |SEG7_LUT:u0|                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u0                                                                                                                ; work         ;
1473
;       |SEG7_LUT:u1|                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u1                                                                                                                ; work         ;
1474
;       |SEG7_LUT:u2|                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u2                                                                                                                ; work         ;
1475
;       |SEG7_LUT:u3|                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u3                                                                                                                ; work         ;
1476
;    |USB_JTAG:u1|                                                  ; 42 (13)     ; 36 (13)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 25 (10)           ; 11 (3)           ; |CII_Starter_USB_API|USB_JTAG:u1                                                                                                                              ; work         ;
1477
;       |JTAG_REC:u0|                                               ; 20 (20)     ; 19 (19)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 15 (15)           ; 4 (4)            ; |CII_Starter_USB_API|USB_JTAG:u1|JTAG_REC:u0                                                                                                                  ; work         ;
1478
;       |JTAG_TRANS:u1|                                             ; 9 (9)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 4 (4)            ; |CII_Starter_USB_API|USB_JTAG:u1|JTAG_TRANS:u1                                                                                                                ; work         ;
1479
;    |VGA_Audio_PLL:p1|                                             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|VGA_Audio_PLL:p1                                                                                                                         ; work         ;
1480
;       |altpll:altpll_component|                                   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|VGA_Audio_PLL:p1|altpll:altpll_component                                                                                                 ; work         ;
1481
;    |VGA_Controller:u8|                                            ; 281 (281)   ; 134 (134)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 131 (131)    ; 7 (7)             ; 143 (143)        ; |CII_Starter_USB_API|VGA_Controller:u8                                                                                                                        ; work         ;
1482
;    |VGA_OSD_RAM:u9|                                               ; 460 (102)   ; 43 (31)                   ; 0 (0)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 0    ; 0            ; 416 (66)     ; 1 (1)             ; 43 (17)          ; |CII_Starter_USB_API|VGA_OSD_RAM:u9                                                                                                                           ; work         ;
1483
;       |Img_RAM:u0|                                                ; 382 (0)     ; 12 (0)                    ; 0 (0)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 0    ; 0            ; 350 (0)      ; 0 (0)             ; 32 (0)           ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0                                                                                                                ; work         ;
1484
;          |altsyncram:altsyncram_component|                        ; 382 (0)     ; 12 (0)                    ; 0 (0)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 0    ; 0            ; 350 (0)      ; 0 (0)             ; 32 (0)           ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component                                                                                ; work         ;
1485
;             |altsyncram_f7o1:auto_generated|                      ; 382 (0)     ; 12 (0)                    ; 0 (0)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 0    ; 0            ; 350 (0)      ; 0 (0)             ; 32 (0)           ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated                                                 ; work         ;
1486
;                |altsyncram_e132:altsyncram1|                      ; 382 (12)    ; 12 (12)                   ; 0 (0)         ; 208000      ; 51   ; 0            ; 0       ; 0         ; 0    ; 0            ; 350 (0)      ; 0 (0)             ; 32 (6)           ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1                     ; work         ;
1487
;                   |decode_qpa:decode_a|                           ; 65 (65)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 65 (65)      ; 0 (0)             ; 0 (0)            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a ; work         ;
1488
;                   |mux_akb:mux5|                                  ; 311 (311)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 285 (285)    ; 0 (0)             ; 26 (26)          ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|mux_akb:mux5        ; work         ;
1489
;    |ps2_keyboard:u4|                                              ; 264 (264)   ; 59 (59)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 205 (205)    ; 0 (0)             ; 59 (59)          ; |CII_Starter_USB_API|ps2_keyboard:u4                                                                                                                          ; work         ;
1490
+-------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
1491
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
1492
 
1493
 
1494
+----------------------------------------------------------------------------------------+
1495
; Delay Chain Summary                                                                    ;
1496
+---------------+----------+---------------+---------------+-----------------------+-----+
1497
; Name          ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ;
1498
+---------------+----------+---------------+---------------+-----------------------+-----+
1499
; CLOCK_24[0]   ; Input    ; 0             ; 0             ; --                    ; --  ;
1500
; CLOCK_24[1]   ; Input    ; 0             ; 0             ; --                    ; --  ;
1501
; CLOCK_27[1]   ; Input    ; 0             ; 0             ; --                    ; --  ;
1502
; EXT_CLOCK     ; Input    ; 0             ; 0             ; --                    ; --  ;
1503
; KEY[1]        ; Input    ; 0             ; 0             ; --                    ; --  ;
1504
; KEY[2]        ; Input    ; 0             ; 0             ; --                    ; --  ;
1505
; KEY[3]        ; Input    ; 0             ; 0             ; --                    ; --  ;
1506
; SW[2]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1507
; SW[3]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1508
; SW[4]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1509
; SW[5]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1510
; SW[6]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1511
; SW[7]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1512
; SW[8]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1513
; SW[9]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1514
; UART_RXD      ; Input    ; 0             ; 0             ; --                    ; --  ;
1515
; AUD_ADCDAT    ; Input    ; 0             ; 0             ; --                    ; --  ;
1516
; SW[1]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1517
; SW[0]         ; Input    ; 0             ; 0             ; --                    ; --  ;
1518
; CLOCK_50      ; Input    ; --            ; --            ; --                    ; --  ;
1519
; KEY[0]        ; Input    ; 6             ; 6             ; --                    ; --  ;
1520
; TCS           ; Input    ; 0             ; 0             ; --                    ; --  ;
1521
; CLOCK_27[0]   ; Input    ; --            ; --            ; --                    ; --  ;
1522
; TCK           ; Input    ; 0             ; 0             ; --                    ; --  ;
1523
; TDI           ; Input    ; 0             ; 0             ; --                    ; --  ;
1524
; PS2_CLK       ; Input    ; 6             ; 6             ; --                    ; --  ;
1525
; PS2_DAT       ; Input    ; 6             ; 6             ; --                    ; --  ;
1526
; HEX0[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1527
; HEX0[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1528
; HEX0[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1529
; HEX0[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1530
; HEX0[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1531
; HEX0[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1532
; HEX0[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1533
; HEX1[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1534
; HEX1[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1535
; HEX1[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1536
; HEX1[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1537
; HEX1[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1538
; HEX1[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1539
; HEX1[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1540
; HEX2[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1541
; HEX2[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1542
; HEX2[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1543
; HEX2[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1544
; HEX2[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1545
; HEX2[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1546
; HEX2[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1547
; HEX3[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1548
; HEX3[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1549
; HEX3[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1550
; HEX3[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1551
; HEX3[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1552
; HEX3[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1553
; HEX3[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1554
; LEDG[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1555
; LEDG[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1556
; LEDG[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1557
; LEDG[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1558
; LEDG[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1559
; LEDG[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1560
; LEDG[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1561
; LEDG[7]       ; Output   ; --            ; --            ; --                    ; --  ;
1562
; LEDR[0]       ; Output   ; --            ; --            ; --                    ; --  ;
1563
; LEDR[1]       ; Output   ; --            ; --            ; --                    ; --  ;
1564
; LEDR[2]       ; Output   ; --            ; --            ; --                    ; --  ;
1565
; LEDR[3]       ; Output   ; --            ; --            ; --                    ; --  ;
1566
; LEDR[4]       ; Output   ; --            ; --            ; --                    ; --  ;
1567
; LEDR[5]       ; Output   ; --            ; --            ; --                    ; --  ;
1568
; LEDR[6]       ; Output   ; --            ; --            ; --                    ; --  ;
1569
; LEDR[7]       ; Output   ; --            ; --            ; --                    ; --  ;
1570
; LEDR[8]       ; Output   ; --            ; --            ; --                    ; --  ;
1571
; LEDR[9]       ; Output   ; --            ; --            ; --                    ; --  ;
1572
; UART_TXD      ; Output   ; --            ; --            ; --                    ; --  ;
1573
; DRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ;
1574
; DRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ;
1575
; DRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ;
1576
; DRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ;
1577
; DRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ;
1578
; DRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ;
1579
; DRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ;
1580
; DRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ;
1581
; DRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ;
1582
; DRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ;
1583
; DRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ;
1584
; DRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ;
1585
; DRAM_LDQM     ; Output   ; --            ; --            ; --                    ; --  ;
1586
; DRAM_UDQM     ; Output   ; --            ; --            ; --                    ; --  ;
1587
; DRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ;
1588
; DRAM_CAS_N    ; Output   ; --            ; --            ; --                    ; --  ;
1589
; DRAM_RAS_N    ; Output   ; --            ; --            ; --                    ; --  ;
1590
; DRAM_CS_N     ; Output   ; --            ; --            ; --                    ; --  ;
1591
; DRAM_BA_0     ; Output   ; --            ; --            ; --                    ; --  ;
1592
; DRAM_BA_1     ; Output   ; --            ; --            ; --                    ; --  ;
1593
; DRAM_CLK      ; Output   ; --            ; --            ; --                    ; --  ;
1594
; DRAM_CKE      ; Output   ; --            ; --            ; --                    ; --  ;
1595
; FL_ADDR[0]    ; Output   ; --            ; --            ; --                    ; --  ;
1596
; FL_ADDR[1]    ; Output   ; --            ; --            ; --                    ; --  ;
1597
; FL_ADDR[2]    ; Output   ; --            ; --            ; --                    ; --  ;
1598
; FL_ADDR[3]    ; Output   ; --            ; --            ; --                    ; --  ;
1599
; FL_ADDR[4]    ; Output   ; --            ; --            ; --                    ; --  ;
1600
; FL_ADDR[5]    ; Output   ; --            ; --            ; --                    ; --  ;
1601
; FL_ADDR[6]    ; Output   ; --            ; --            ; --                    ; --  ;
1602
; FL_ADDR[7]    ; Output   ; --            ; --            ; --                    ; --  ;
1603
; FL_ADDR[8]    ; Output   ; --            ; --            ; --                    ; --  ;
1604
; FL_ADDR[9]    ; Output   ; --            ; --            ; --                    ; --  ;
1605
; FL_ADDR[10]   ; Output   ; --            ; --            ; --                    ; --  ;
1606
; FL_ADDR[11]   ; Output   ; --            ; --            ; --                    ; --  ;
1607
; FL_ADDR[12]   ; Output   ; --            ; --            ; --                    ; --  ;
1608
; FL_ADDR[13]   ; Output   ; --            ; --            ; --                    ; --  ;
1609
; FL_ADDR[14]   ; Output   ; --            ; --            ; --                    ; --  ;
1610
; FL_ADDR[15]   ; Output   ; --            ; --            ; --                    ; --  ;
1611
; FL_ADDR[16]   ; Output   ; --            ; --            ; --                    ; --  ;
1612
; FL_ADDR[17]   ; Output   ; --            ; --            ; --                    ; --  ;
1613
; FL_ADDR[18]   ; Output   ; --            ; --            ; --                    ; --  ;
1614
; FL_ADDR[19]   ; Output   ; --            ; --            ; --                    ; --  ;
1615
; FL_ADDR[20]   ; Output   ; --            ; --            ; --                    ; --  ;
1616
; FL_ADDR[21]   ; Output   ; --            ; --            ; --                    ; --  ;
1617
; FL_WE_N       ; Output   ; --            ; --            ; --                    ; --  ;
1618
; FL_RST_N      ; Output   ; --            ; --            ; --                    ; --  ;
1619
; FL_OE_N       ; Output   ; --            ; --            ; --                    ; --  ;
1620
; FL_CE_N       ; Output   ; --            ; --            ; --                    ; --  ;
1621
; SRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ;
1622
; SRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ;
1623
; SRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ;
1624
; SRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ;
1625
; SRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ;
1626
; SRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ;
1627
; SRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ;
1628
; SRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ;
1629
; SRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ;
1630
; SRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ;
1631
; SRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ;
1632
; SRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ;
1633
; SRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ;
1634
; SRAM_ADDR[13] ; Output   ; --            ; --            ; --                    ; --  ;
1635
; SRAM_ADDR[14] ; Output   ; --            ; --            ; --                    ; --  ;
1636
; SRAM_ADDR[15] ; Output   ; --            ; --            ; --                    ; --  ;
1637
; SRAM_ADDR[16] ; Output   ; --            ; --            ; --                    ; --  ;
1638
; SRAM_ADDR[17] ; Output   ; --            ; --            ; --                    ; --  ;
1639
; SRAM_UB_N     ; Output   ; --            ; --            ; --                    ; --  ;
1640
; SRAM_LB_N     ; Output   ; --            ; --            ; --                    ; --  ;
1641
; SRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ;
1642
; SRAM_CE_N     ; Output   ; --            ; --            ; --                    ; --  ;
1643
; SRAM_OE_N     ; Output   ; --            ; --            ; --                    ; --  ;
1644
; SD_CLK        ; Output   ; --            ; --            ; --                    ; --  ;
1645
; TDO           ; Output   ; --            ; --            ; --                    ; 0   ;
1646
; I2C_SCLK      ; Output   ; --            ; --            ; --                    ; --  ;
1647
; VGA_HS        ; Output   ; --            ; --            ; --                    ; --  ;
1648
; VGA_VS        ; Output   ; --            ; --            ; --                    ; --  ;
1649
; VGA_R[0]      ; Output   ; --            ; --            ; --                    ; --  ;
1650
; VGA_R[1]      ; Output   ; --            ; --            ; --                    ; --  ;
1651
; VGA_R[2]      ; Output   ; --            ; --            ; --                    ; --  ;
1652
; VGA_R[3]      ; Output   ; --            ; --            ; --                    ; --  ;
1653
; VGA_G[0]      ; Output   ; --            ; --            ; --                    ; --  ;
1654
; VGA_G[1]      ; Output   ; --            ; --            ; --                    ; --  ;
1655
; VGA_G[2]      ; Output   ; --            ; --            ; --                    ; --  ;
1656
; VGA_G[3]      ; Output   ; --            ; --            ; --                    ; --  ;
1657
; VGA_B[0]      ; Output   ; --            ; --            ; --                    ; --  ;
1658
; VGA_B[1]      ; Output   ; --            ; --            ; --                    ; --  ;
1659
; VGA_B[2]      ; Output   ; --            ; --            ; --                    ; --  ;
1660
; VGA_B[3]      ; Output   ; --            ; --            ; --                    ; --  ;
1661
; AUD_ADCLRCK   ; Output   ; --            ; --            ; --                    ; --  ;
1662
; AUD_DACLRCK   ; Output   ; --            ; --            ; --                    ; --  ;
1663
; AUD_DACDAT    ; Output   ; --            ; --            ; --                    ; --  ;
1664
; AUD_XCK       ; Output   ; --            ; --            ; --                    ; --  ;
1665
; SD_DAT3       ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1666
; SD_CMD        ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1667
; DRAM_DQ[0]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1668
; DRAM_DQ[1]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1669
; DRAM_DQ[2]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1670
; DRAM_DQ[3]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1671
; DRAM_DQ[4]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1672
; DRAM_DQ[5]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1673
; DRAM_DQ[6]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1674
; DRAM_DQ[7]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1675
; DRAM_DQ[8]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1676
; DRAM_DQ[9]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1677
; DRAM_DQ[10]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1678
; DRAM_DQ[11]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1679
; DRAM_DQ[12]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1680
; DRAM_DQ[13]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1681
; DRAM_DQ[14]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1682
; DRAM_DQ[15]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1683
; FL_DQ[0]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1684
; FL_DQ[1]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1685
; FL_DQ[2]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1686
; FL_DQ[3]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1687
; FL_DQ[4]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1688
; FL_DQ[5]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1689
; FL_DQ[6]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1690
; FL_DQ[7]      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1691
; SRAM_DQ[0]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1692
; SRAM_DQ[1]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1693
; SRAM_DQ[2]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1694
; SRAM_DQ[3]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1695
; SRAM_DQ[4]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1696
; SRAM_DQ[5]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1697
; SRAM_DQ[6]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1698
; SRAM_DQ[7]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1699
; SRAM_DQ[8]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1700
; SRAM_DQ[9]    ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1701
; SRAM_DQ[10]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1702
; SRAM_DQ[11]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1703
; SRAM_DQ[12]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1704
; SRAM_DQ[13]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1705
; SRAM_DQ[14]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1706
; SRAM_DQ[15]   ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1707
; SD_DAT        ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1708
; I2C_SDAT      ; Bidir    ; 6             ; 6             ; --                    ; --  ;
1709
; AUD_BCLK      ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1710
; GPIO_0[0]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1711
; GPIO_0[1]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1712
; GPIO_0[2]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1713
; GPIO_0[3]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1714
; GPIO_0[4]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1715
; GPIO_0[5]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1716
; GPIO_0[6]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1717
; GPIO_0[7]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1718
; GPIO_0[8]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1719
; GPIO_0[9]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1720
; GPIO_0[10]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1721
; GPIO_0[11]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1722
; GPIO_0[12]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1723
; GPIO_0[13]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1724
; GPIO_0[14]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1725
; GPIO_0[15]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1726
; GPIO_0[16]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1727
; GPIO_0[17]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1728
; GPIO_0[18]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1729
; GPIO_0[19]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1730
; GPIO_0[20]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1731
; GPIO_0[21]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1732
; GPIO_0[22]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1733
; GPIO_0[23]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1734
; GPIO_0[24]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1735
; GPIO_0[25]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1736
; GPIO_0[26]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1737
; GPIO_0[27]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1738
; GPIO_0[28]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1739
; GPIO_0[29]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1740
; GPIO_0[30]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1741
; GPIO_0[31]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1742
; GPIO_0[32]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1743
; GPIO_0[33]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1744
; GPIO_0[34]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1745
; GPIO_0[35]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1746
; GPIO_1[0]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1747
; GPIO_1[1]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1748
; GPIO_1[2]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1749
; GPIO_1[3]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1750
; GPIO_1[4]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1751
; GPIO_1[5]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1752
; GPIO_1[6]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1753
; GPIO_1[7]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1754
; GPIO_1[8]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1755
; GPIO_1[9]     ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1756
; GPIO_1[10]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1757
; GPIO_1[11]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1758
; GPIO_1[12]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1759
; GPIO_1[13]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1760
; GPIO_1[14]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1761
; GPIO_1[15]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1762
; GPIO_1[16]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1763
; GPIO_1[17]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1764
; GPIO_1[18]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1765
; GPIO_1[19]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1766
; GPIO_1[20]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1767
; GPIO_1[21]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1768
; GPIO_1[22]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1769
; GPIO_1[23]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1770
; GPIO_1[24]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1771
; GPIO_1[25]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1772
; GPIO_1[26]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1773
; GPIO_1[27]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1774
; GPIO_1[28]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1775
; GPIO_1[29]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1776
; GPIO_1[30]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1777
; GPIO_1[31]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1778
; GPIO_1[32]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1779
; GPIO_1[33]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1780
; GPIO_1[34]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1781
; GPIO_1[35]    ; Bidir    ; 0             ; 0             ; --                    ; --  ;
1782
+---------------+----------+---------------+---------------+-----------------------+-----+
1783
 
1784
 
1785
+---------------------------------------------------------------------------------------------------------------------+
1786
; Pad To Core Delay Chain Fanout                                                                                      ;
1787
+---------------------------------------------------------------------------------------+-------------------+---------+
1788
; Source Pin / Fanout                                                                   ; Pad To Core Index ; Setting ;
1789
+---------------------------------------------------------------------------------------+-------------------+---------+
1790
; CLOCK_24[0]                                                                           ;                   ;         ;
1791
; CLOCK_24[1]                                                                           ;                   ;         ;
1792
; CLOCK_27[1]                                                                           ;                   ;         ;
1793
; EXT_CLOCK                                                                             ;                   ;         ;
1794
; KEY[1]                                                                                ;                   ;         ;
1795
; KEY[2]                                                                                ;                   ;         ;
1796
; KEY[3]                                                                                ;                   ;         ;
1797
; SW[2]                                                                                 ;                   ;         ;
1798
; SW[3]                                                                                 ;                   ;         ;
1799
; SW[4]                                                                                 ;                   ;         ;
1800
; SW[5]                                                                                 ;                   ;         ;
1801
; SW[6]                                                                                 ;                   ;         ;
1802
; SW[7]                                                                                 ;                   ;         ;
1803
; SW[8]                                                                                 ;                   ;         ;
1804
; SW[9]                                                                                 ;                   ;         ;
1805
; UART_RXD                                                                              ;                   ;         ;
1806
; AUD_ADCDAT                                                                            ;                   ;         ;
1807
; SW[1]                                                                                 ;                   ;         ;
1808
; SW[0]                                                                                 ;                   ;         ;
1809
; CLOCK_50                                                                              ;                   ;         ;
1810
; KEY[0]                                                                                ;                   ;         ;
1811
;      - I2C_AV_Config:u10|mI2C_CTRL_CLK                                                ; 1                 ; 6       ;
1812
;      - CMD_Decode:u5|CMD_Tmp[8]                                                       ; 1                 ; 6       ;
1813
;      - CMD_Decode:u5|oSR_Select[0]                                                    ; 1                 ; 6       ;
1814
;      - CMD_Decode:u5|oSR_Select[1]                                                    ; 1                 ; 6       ;
1815
;      - CMD_Decode:u5|mSR_Start                                                        ; 1                 ; 6       ;
1816
;      - CMD_Decode:u5|mSDR_WRn                                                         ; 1                 ; 6       ;
1817
;      - CMD_Decode:u5|oLED_GREEN[0]                                                    ; 1                 ; 6       ;
1818
;      - CMD_Decode:u5|oLED_GREEN[1]                                                    ; 1                 ; 6       ;
1819
;      - CMD_Decode:u5|oLED_GREEN[2]                                                    ; 1                 ; 6       ;
1820
;      - CMD_Decode:u5|oLED_GREEN[3]                                                    ; 1                 ; 6       ;
1821
;      - CMD_Decode:u5|oLED_GREEN[4]                                                    ; 1                 ; 6       ;
1822
;      - CMD_Decode:u5|oLED_GREEN[5]                                                    ; 1                 ; 6       ;
1823
;      - CMD_Decode:u5|oLED_GREEN[6]                                                    ; 1                 ; 6       ;
1824
;      - CMD_Decode:u5|oLED_GREEN[7]                                                    ; 1                 ; 6       ;
1825
;      - CMD_Decode:u5|oLED_RED[0]                                                      ; 1                 ; 6       ;
1826
;      - CMD_Decode:u5|oLED_RED[1]                                                      ; 1                 ; 6       ;
1827
;      - CMD_Decode:u5|oLED_RED[2]                                                      ; 1                 ; 6       ;
1828
;      - CMD_Decode:u5|oLED_RED[3]                                                      ; 1                 ; 6       ;
1829
;      - CMD_Decode:u5|oLED_RED[4]                                                      ; 1                 ; 6       ;
1830
;      - CMD_Decode:u5|oLED_RED[5]                                                      ; 1                 ; 6       ;
1831
;      - CMD_Decode:u5|oLED_RED[6]                                                      ; 1                 ; 6       ;
1832
;      - CMD_Decode:u5|oLED_RED[7]                                                      ; 1                 ; 6       ;
1833
;      - CMD_Decode:u5|oLED_RED[8]                                                      ; 1                 ; 6       ;
1834
;      - CMD_Decode:u5|oLED_RED[9]                                                      ; 1                 ; 6       ;
1835
;      - CMD_Decode:u5|oSEG7_DIG[15]                                                    ; 1                 ; 6       ;
1836
;      - CMD_Decode:u5|oSEG7_DIG[14]                                                    ; 1                 ; 6       ;
1837
;      - CMD_Decode:u5|oSEG7_DIG[13]                                                    ; 1                 ; 6       ;
1838
;      - CMD_Decode:u5|oSEG7_DIG[12]                                                    ; 1                 ; 6       ;
1839
;      - CMD_Decode:u5|oSEG7_DIG[11]                                                    ; 1                 ; 6       ;
1840
;      - CMD_Decode:u5|oSEG7_DIG[10]                                                    ; 1                 ; 6       ;
1841
;      - CMD_Decode:u5|oSEG7_DIG[9]                                                     ; 1                 ; 6       ;
1842
;      - CMD_Decode:u5|oSEG7_DIG[8]                                                     ; 1                 ; 6       ;
1843
;      - CMD_Decode:u5|oSEG7_DIG[7]                                                     ; 1                 ; 6       ;
1844
;      - CMD_Decode:u5|oSEG7_DIG[6]                                                     ; 1                 ; 6       ;
1845
;      - CMD_Decode:u5|oSEG7_DIG[5]                                                     ; 1                 ; 6       ;
1846
;      - CMD_Decode:u5|oSEG7_DIG[4]                                                     ; 1                 ; 6       ;
1847
;      - CMD_Decode:u5|oSEG7_DIG[3]                                                     ; 1                 ; 6       ;
1848
;      - CMD_Decode:u5|oSEG7_DIG[2]                                                     ; 1                 ; 6       ;
1849
;      - CMD_Decode:u5|oSEG7_DIG[1]                                                     ; 1                 ; 6       ;
1850
;      - CMD_Decode:u5|oSEG7_DIG[0]                                                     ; 1                 ; 6       ;
1851
;      - Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[4]                             ; 1                 ; 6       ;
1852
;      - Multi_Flash:u2|Flash_Controller:u1|ST.IDEL                                     ; 1                 ; 6       ;
1853
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P1                                       ; 1                 ; 6       ;
1854
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P2                                       ; 1                 ; 6       ;
1855
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P3                                       ; 1                 ; 6       ;
1856
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P4                                       ; 1                 ; 6       ;
1857
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P3_PRG                                   ; 1                 ; 6       ;
1858
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P3_DEV                                   ; 1                 ; 6       ;
1859
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P4_PRG                                   ; 1                 ; 6       ;
1860
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P6_CHP_ERA                               ; 1                 ; 6       ;
1861
;      - Multi_Flash:u2|Flash_Controller:u1|ST.READ                                     ; 1                 ; 6       ;
1862
;      - Multi_Flash:u2|Flash_Controller:u1|ST.P5                                       ; 1                 ; 6       ;
1863
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[5]                              ; 1                 ; 6       ;
1864
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[2]                              ; 1                 ; 6       ;
1865
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[3]                              ; 1                 ; 6       ;
1866
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[0]                              ; 1                 ; 6       ;
1867
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[1]                              ; 1                 ; 6       ;
1868
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[4]                              ; 1                 ; 6       ;
1869
;      - I2C_AV_Config:u10|I2C_Controller:u0|SCLK                                       ; 1                 ; 6       ;
1870
;      - CMD_Decode:u5|f_SEG7                                                           ; 1                 ; 6       ;
1871
;      - CMD_Decode:u5|CMD_Tmp[63]                                                      ; 1                 ; 6       ;
1872
;      - CMD_Decode:u5|CMD_Tmp[62]                                                      ; 1                 ; 6       ;
1873
;      - CMD_Decode:u5|CMD_Tmp[61]                                                      ; 1                 ; 6       ;
1874
;      - CMD_Decode:u5|CMD_Tmp[59]                                                      ; 1                 ; 6       ;
1875
;      - CMD_Decode:u5|CMD_Tmp[56]                                                      ; 1                 ; 6       ;
1876
;      - CMD_Decode:u5|CMD_Tmp[57]                                                      ; 1                 ; 6       ;
1877
;      - CMD_Decode:u5|CMD_Tmp[60]                                                      ; 1                 ; 6       ;
1878
;      - CMD_Decode:u5|CMD_Tmp[58]                                                      ; 1                 ; 6       ;
1879
;      - CMD_Decode:u5|CMD_Tmp[7]                                                       ; 1                 ; 6       ;
1880
;      - CMD_Decode:u5|CMD_Tmp[3]                                                       ; 1                 ; 6       ;
1881
;      - CMD_Decode:u5|CMD_Tmp[4]                                                       ; 1                 ; 6       ;
1882
;      - CMD_Decode:u5|CMD_Tmp[0]                                                       ; 1                 ; 6       ;
1883
;      - CMD_Decode:u5|CMD_Tmp[6]                                                       ; 1                 ; 6       ;
1884
;      - CMD_Decode:u5|CMD_Tmp[2]                                                       ; 1                 ; 6       ;
1885
;      - CMD_Decode:u5|CMD_Tmp[5]                                                       ; 1                 ; 6       ;
1886
;      - CMD_Decode:u5|CMD_Tmp[1]                                                       ; 1                 ; 6       ;
1887
;      - CMD_Decode:u5|CMD_Tmp[9]                                                       ; 1                 ; 6       ;
1888
;      - CMD_Decode:u5|CMD_Tmp[10]                                                      ; 1                 ; 6       ;
1889
;      - CMD_Decode:u5|CMD_Tmp[11]                                                      ; 1                 ; 6       ;
1890
;      - CMD_Decode:u5|CMD_Tmp[12]                                                      ; 1                 ; 6       ;
1891
;      - CMD_Decode:u5|CMD_Tmp[13]                                                      ; 1                 ; 6       ;
1892
;      - CMD_Decode:u5|CMD_Tmp[14]                                                      ; 1                 ; 6       ;
1893
;      - CMD_Decode:u5|CMD_Tmp[15]                                                      ; 1                 ; 6       ;
1894
;      - CMD_Decode:u5|CMD_Tmp[16]                                                      ; 1                 ; 6       ;
1895
;      - CMD_Decode:u5|CMD_Tmp[17]                                                      ; 1                 ; 6       ;
1896
;      - CMD_Decode:u5|CMD_Tmp[18]                                                      ; 1                 ; 6       ;
1897
;      - CMD_Decode:u5|CMD_Tmp[19]                                                      ; 1                 ; 6       ;
1898
;      - CMD_Decode:u5|CMD_Tmp[20]                                                      ; 1                 ; 6       ;
1899
;      - CMD_Decode:u5|CMD_Tmp[21]                                                      ; 1                 ; 6       ;
1900
;      - CMD_Decode:u5|CMD_Tmp[22]                                                      ; 1                 ; 6       ;
1901
;      - CMD_Decode:u5|CMD_Tmp[23]                                                      ; 1                 ; 6       ;
1902
;      - CMD_Decode:u5|f_LED                                                            ; 1                 ; 6       ;
1903
;      - CMD_Decode:u5|CMD_Tmp[24]                                                      ; 1                 ; 6       ;
1904
;      - CMD_Decode:u5|CMD_Tmp[25]                                                      ; 1                 ; 6       ;
1905
;      - CMD_Decode:u5|CMD_Tmp[26]                                                      ; 1                 ; 6       ;
1906
;      - CMD_Decode:u5|CMD_Tmp[27]                                                      ; 1                 ; 6       ;
1907
;      - CMD_Decode:u5|CMD_Tmp[28]                                                      ; 1                 ; 6       ;
1908
;      - CMD_Decode:u5|CMD_Tmp[29]                                                      ; 1                 ; 6       ;
1909
;      - CMD_Decode:u5|CMD_Tmp[30]                                                      ; 1                 ; 6       ;
1910
;      - CMD_Decode:u5|CMD_Tmp[31]                                                      ; 1                 ; 6       ;
1911
;      - CMD_Decode:u5|CMD_Tmp[32]                                                      ; 1                 ; 6       ;
1912
;      - CMD_Decode:u5|CMD_Tmp[33]                                                      ; 1                 ; 6       ;
1913
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[0]                      ; 1                 ; 6       ;
1914
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[6]                                       ; 1                 ; 6       ;
1915
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[8]                                       ; 1                 ; 6       ;
1916
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[4]                                       ; 1                 ; 6       ;
1917
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[5]~45                                    ; 1                 ; 6       ;
1918
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[2]                                       ; 1                 ; 6       ;
1919
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[0]                                       ; 1                 ; 6       ;
1920
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[1]                                       ; 1                 ; 6       ;
1921
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[3]                                       ; 1                 ; 6       ;
1922
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[1]                      ; 1                 ; 6       ;
1923
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[2]                      ; 1                 ; 6       ;
1924
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[4]                      ; 1                 ; 6       ;
1925
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[5]                      ; 1                 ; 6       ;
1926
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[8]                      ; 1                 ; 6       ;
1927
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[9]                      ; 1                 ; 6       ;
1928
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[10]                     ; 1                 ; 6       ;
1929
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[11]                     ; 1                 ; 6       ;
1930
;      - Multi_Sdram:u3|Sdram_Controller:u1|Write                                       ; 1                 ; 6       ;
1931
;      - Multi_Sdram:u3|Sdram_Controller:u1|Read                                        ; 1                 ; 6       ;
1932
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|WE_N                       ; 1                 ; 6       ;
1933
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|CAS_N                      ; 1                 ; 6       ;
1934
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|RAS_N                      ; 1                 ; 6       ;
1935
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|BA[0]                      ; 1                 ; 6       ;
1936
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|BA[1]                      ; 1                 ; 6       ;
1937
;      - CMD_Decode:u5|oFL_Select[0]                                                    ; 1                 ; 6       ;
1938
;      - CMD_Decode:u5|oFL_Select[1]                                                    ; 1                 ; 6       ;
1939
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_Start                                  ; 1                 ; 6       ;
1940
;      - CMD_Decode:u5|oFL_Start                                                        ; 1                 ; 6       ;
1941
;      - Multi_Flash:u2|Flash_Controller:u1|preStart                                    ; 1                 ; 6       ;
1942
;      - Multi_Flash:u2|Flash_Controller:u1|r_ADDR[19]~22                               ; 1                 ; 6       ;
1943
;      - Multi_Flash:u2|Flash_Controller:u1|mStart                                      ; 1                 ; 6       ;
1944
;      - Multi_Flash:u2|Flash_Controller:u1|mACT                                        ; 1                 ; 6       ;
1945
;      - Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[3]                             ; 1                 ; 6       ;
1946
;      - CMD_Decode:u5|f_SRAM                                                           ; 1                 ; 6       ;
1947
;      - CMD_Decode:u5|oSR_ADDR[0]~56                                                   ; 1                 ; 6       ;
1948
;      - CMD_Decode:u5|CMD_Tmp[55]                                                      ; 1                 ; 6       ;
1949
;      - CMD_Decode:u5|CMD_Tmp[51]                                                      ; 1                 ; 6       ;
1950
;      - CMD_Decode:u5|CMD_Tmp[53]                                                      ; 1                 ; 6       ;
1951
;      - CMD_Decode:u5|CMD_Tmp[50]                                                      ; 1                 ; 6       ;
1952
;      - CMD_Decode:u5|CMD_Tmp[54]                                                      ; 1                 ; 6       ;
1953
;      - CMD_Decode:u5|CMD_Tmp[49]                                                      ; 1                 ; 6       ;
1954
;      - CMD_Decode:u5|CMD_Tmp[48]                                                      ; 1                 ; 6       ;
1955
;      - CMD_Decode:u5|CMD_Tmp[52]                                                      ; 1                 ; 6       ;
1956
;      - CMD_Decode:u5|mSR_ST.000                                                       ; 1                 ; 6       ;
1957
;      - CMD_Decode:u5|f_SR_SEL                                                         ; 1                 ; 6       ;
1958
;      - CMD_Decode:u5|CMD_Tmp[41]                                                      ; 1                 ; 6       ;
1959
;      - CMD_Decode:u5|CMD_Tmp[44]                                                      ; 1                 ; 6       ;
1960
;      - CMD_Decode:u5|CMD_Tmp[45]                                                      ; 1                 ; 6       ;
1961
;      - CMD_Decode:u5|CMD_Tmp[47]                                                      ; 1                 ; 6       ;
1962
;      - CMD_Decode:u5|CMD_Tmp[46]                                                      ; 1                 ; 6       ;
1963
;      - CMD_Decode:u5|CMD_Tmp[43]                                                      ; 1                 ; 6       ;
1964
;      - CMD_Decode:u5|CMD_Tmp[42]                                                      ; 1                 ; 6       ;
1965
;      - CMD_Decode:u5|CMD_Tmp[37]                                                      ; 1                 ; 6       ;
1966
;      - CMD_Decode:u5|CMD_Tmp[40]                                                      ; 1                 ; 6       ;
1967
;      - CMD_Decode:u5|CMD_Tmp[39]                                                      ; 1                 ; 6       ;
1968
;      - CMD_Decode:u5|CMD_Tmp[38]                                                      ; 1                 ; 6       ;
1969
;      - CMD_Decode:u5|CMD_Tmp[36]                                                      ; 1                 ; 6       ;
1970
;      - CMD_Decode:u5|CMD_Tmp[34]                                                      ; 1                 ; 6       ;
1971
;      - CMD_Decode:u5|CMD_Tmp[35]                                                      ; 1                 ; 6       ;
1972
;      - CMD_Decode:u5|mSR_ST.010                                                       ; 1                 ; 6       ;
1973
;      - CMD_Decode:u5|mSR_ST.011                                                       ; 1                 ; 6       ;
1974
;      - CMD_Decode:u5|mSR_ST.001                                                       ; 1                 ; 6       ;
1975
;      - USB_JTAG:u1|oTxD_Done                                                          ; 1                 ; 6       ;
1976
;      - CMD_Decode:u5|mSR_ST.101                                                       ; 1                 ; 6       ;
1977
;      - CMD_Decode:u5|mSR_ST.100                                                       ; 1                 ; 6       ;
1978
;      - CMD_Decode:u5|oFL_TXD_Start                                                    ; 1                 ; 6       ;
1979
;      - CMD_Decode:u5|sel_SDR                                                          ; 1                 ; 6       ;
1980
;      - CMD_Decode:u5|oSDR_TXD_Start                                                   ; 1                 ; 6       ;
1981
;      - CMD_Decode:u5|oSR_TXD_Start                                                    ; 1                 ; 6       ;
1982
;      - CMD_Decode:u5|oPS2_TXD_Start                                                   ; 1                 ; 6       ;
1983
;      - CMD_Decode:u5|sel_SR                                                           ; 1                 ; 6       ;
1984
;      - CMD_Decode:u5|sel_FL                                                           ; 1                 ; 6       ;
1985
;      - I2C_AV_Config:u10|mI2C_GO                                                      ; 1                 ; 6       ;
1986
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[11]                                             ; 1                 ; 6       ;
1987
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[2]                                              ; 1                 ; 6       ;
1988
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[3]                                              ; 1                 ; 6       ;
1989
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[4]                                              ; 1                 ; 6       ;
1990
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[5]                                              ; 1                 ; 6       ;
1991
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[6]                                              ; 1                 ; 6       ;
1992
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[7]                                              ; 1                 ; 6       ;
1993
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[8]                                              ; 1                 ; 6       ;
1994
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[9]                                              ; 1                 ; 6       ;
1995
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[10]                                             ; 1                 ; 6       ;
1996
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[12]                                             ; 1                 ; 6       ;
1997
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[13]                                             ; 1                 ; 6       ;
1998
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[14]                                             ; 1                 ; 6       ;
1999
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[15]                                             ; 1                 ; 6       ;
2000
;      - VGA_OSD_RAM:u9|oBlue[9]                                                        ; 1                 ; 6       ;
2001
;      - VGA_OSD_RAM:u9|oRed[9]~34                                                      ; 1                 ; 6       ;
2002
;      - VGA_OSD_RAM:u9|oRed[9]~23                                                      ; 1                 ; 6       ;
2003
;      - CMD_Decode:u5|oOSD_CUR_EN[1]                                                   ; 1                 ; 6       ;
2004
;      - CMD_Decode:u5|oCursor_R[6]                                                     ; 1                 ; 6       ;
2005
;      - CMD_Decode:u5|oOSD_CUR_EN[0]                                                   ; 1                 ; 6       ;
2006
;      - CMD_Decode:u5|oCursor_X[0]                                                     ; 1                 ; 6       ;
2007
;      - CMD_Decode:u5|oCursor_X[1]                                                     ; 1                 ; 6       ;
2008
;      - CMD_Decode:u5|oCursor_Y[0]                                                     ; 1                 ; 6       ;
2009
;      - CMD_Decode:u5|oCursor_R[7]                                                     ; 1                 ; 6       ;
2010
;      - CMD_Decode:u5|oCursor_R[8]                                                     ; 1                 ; 6       ;
2011
;      - CMD_Decode:u5|oCursor_R[9]                                                     ; 1                 ; 6       ;
2012
;      - CMD_Decode:u5|oCursor_G[6]                                                     ; 1                 ; 6       ;
2013
;      - CMD_Decode:u5|oCursor_G[7]                                                     ; 1                 ; 6       ;
2014
;      - CMD_Decode:u5|oCursor_G[8]                                                     ; 1                 ; 6       ;
2015
;      - CMD_Decode:u5|oCursor_G[9]                                                     ; 1                 ; 6       ;
2016
;      - CMD_Decode:u5|oCursor_B[6]                                                     ; 1                 ; 6       ;
2017
;      - CMD_Decode:u5|oCursor_B[7]                                                     ; 1                 ; 6       ;
2018
;      - CMD_Decode:u5|oCursor_B[8]                                                     ; 1                 ; 6       ;
2019
;      - CMD_Decode:u5|oCursor_B[9]                                                     ; 1                 ; 6       ;
2020
;      - USB_JTAG:u1|oRxD_Ready                                                         ; 1                 ; 6       ;
2021
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[0]         ; 1                 ; 6       ;
2022
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[8]         ; 1                 ; 6       ;
2023
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_reada                   ; 1                 ; 6       ;
2024
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_writea                  ; 1                 ; 6       ;
2025
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_load_mode               ; 1                 ; 6       ;
2026
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[1]~42                   ; 1                 ; 6       ;
2027
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[5]                                       ; 1                 ; 6       ;
2028
;      - Multi_Sdram:u3|Sdram_Controller:u1|ST[7]                                       ; 1                 ; 6       ;
2029
;      - CMD_Decode:u5|mSDR_Start                                                       ; 1                 ; 6       ;
2030
;      - CMD_Decode:u5|oSDR_Select[1]                                                   ; 1                 ; 6       ;
2031
;      - CMD_Decode:u5|oSDR_Select[0]                                                   ; 1                 ; 6       ;
2032
;      - Multi_Sdram:u3|Sdram_Controller:u1|Pre_WR                                      ; 1                 ; 6       ;
2033
;      - Multi_Sdram:u3|Sdram_Multiplexer:u0|mSDR_RD                                    ; 1                 ; 6       ;
2034
;      - Multi_Sdram:u3|Sdram_Controller:u1|Pre_RD                                      ; 1                 ; 6       ;
2035
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|CMD_ACK          ; 1                 ; 6       ;
2036
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[1]         ; 1                 ; 6       ;
2037
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[9]         ; 1                 ; 6       ;
2038
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[2]         ; 1                 ; 6       ;
2039
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[10]        ; 1                 ; 6       ;
2040
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[11]        ; 1                 ; 6       ;
2041
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[3]         ; 1                 ; 6       ;
2042
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[4]         ; 1                 ; 6       ;
2043
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[12]        ; 1                 ; 6       ;
2044
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[5]         ; 1                 ; 6       ;
2045
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[13]        ; 1                 ; 6       ;
2046
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[14]        ; 1                 ; 6       ;
2047
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[6]         ; 1                 ; 6       ;
2048
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[15]        ; 1                 ; 6       ;
2049
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[7]         ; 1                 ; 6       ;
2050
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[16]        ; 1                 ; 6       ;
2051
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[17]        ; 1                 ; 6       ;
2052
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_precharge               ; 1                 ; 6       ;
2053
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[18]        ; 1                 ; 6       ;
2054
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_rw                      ; 1                 ; 6       ;
2055
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[19]        ; 1                 ; 6       ;
2056
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_refresh                 ; 1                 ; 6       ;
2057
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rw_flag                    ; 1                 ; 6       ;
2058
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|oe4                        ; 1                 ; 6       ;
2059
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[20]        ; 1                 ; 6       ;
2060
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[21]        ; 1                 ; 6       ;
2061
;      - CMD_Decode:u5|f_FLASH                                                          ; 1                 ; 6       ;
2062
;      - CMD_Decode:u5|oFL_TXD_DATA[7]~16                                               ; 1                 ; 6       ;
2063
;      - CMD_Decode:u5|mFL_ST.000                                                       ; 1                 ; 6       ;
2064
;      - CMD_Decode:u5|f_FL_SEL                                                         ; 1                 ; 6       ;
2065
;      - Multi_Flash:u2|Flash_Multiplexer:u0|ST.10                                      ; 1                 ; 6       ;
2066
;      - Multi_Flash:u2|Flash_Multiplexer:u0|ST.11                                      ; 1                 ; 6       ;
2067
;      - Multi_Flash:u2|Flash_Multiplexer:u0|ST.01                                      ; 1                 ; 6       ;
2068
;      - Multi_Flash:u2|Flash_Controller:u1|mFinish                                     ; 1                 ; 6       ;
2069
;      - CMD_Decode:u5|mFL_ST.101                                                       ; 1                 ; 6       ;
2070
;      - CMD_Decode:u5|mFL_ST.011                                                       ; 1                 ; 6       ;
2071
;      - CMD_Decode:u5|mFL_ST.001                                                       ; 1                 ; 6       ;
2072
;      - CMD_Decode:u5|mFL_ST.110                                                       ; 1                 ; 6       ;
2073
;      - CMD_Decode:u5|mFL_ST.111                                                       ; 1                 ; 6       ;
2074
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[3]                              ; 1                 ; 6       ;
2075
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[4]                              ; 1                 ; 6       ;
2076
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[5]                              ; 1                 ; 6       ;
2077
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[6]                              ; 1                 ; 6       ;
2078
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[7]                              ; 1                 ; 6       ;
2079
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[8]                              ; 1                 ; 6       ;
2080
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[9]                              ; 1                 ; 6       ;
2081
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[10]                             ; 1                 ; 6       ;
2082
;      - Multi_Flash:u2|Flash_Controller:u1|mCLK                                        ; 1                 ; 6       ;
2083
;      - Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[1]                             ; 1                 ; 6       ;
2084
;      - CMD_Decode:u5|oFL_CMD[0]                                                       ; 1                 ; 6       ;
2085
;      - CMD_Decode:u5|oFL_CMD[2]                                                       ; 1                 ; 6       ;
2086
;      - Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[2]                             ; 1                 ; 6       ;
2087
;      - USB_JTAG:u1|Pre_TxD_Done                                                       ; 1                 ; 6       ;
2088
;      - CMD_Decode:u5|f_SETUP                                                          ; 1                 ; 6       ;
2089
;      - CMD_Decode:u5|mSDR_ST.000                                                      ; 1                 ; 6       ;
2090
;      - CMD_Decode:u5|mSDR_ST.001                                                      ; 1                 ; 6       ;
2091
;      - CMD_Decode:u5|mSDR_ST.101                                                      ; 1                 ; 6       ;
2092
;      - CMD_Decode:u5|mSDR_ST.011                                                      ; 1                 ; 6       ;
2093
;      - CMD_Decode:u5|f_SDRAM                                                          ; 1                 ; 6       ;
2094
;      - CMD_Decode:u5|mPS2_ST.001                                                      ; 1                 ; 6       ;
2095
;      - CMD_Decode:u5|f_PS2                                                            ; 1                 ; 6       ;
2096
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[5]                                    ; 1                 ; 6       ;
2097
;      - CMD_Decode:u5|oFL_TXD_DATA[7]~17                                               ; 1                 ; 6       ;
2098
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[13]                                 ; 1                 ; 6       ;
2099
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[5]                                  ; 1                 ; 6       ;
2100
;      - CMD_Decode:u5|mSDR_ST.100                                                      ; 1                 ; 6       ;
2101
;      - CMD_Decode:u5|mSDR_ST.010                                                      ; 1                 ; 6       ;
2102
;      - CMD_Decode:u5|oSDR_TXD_DATA[7]~8                                               ; 1                 ; 6       ;
2103
;      - CMD_Decode:u5|oSR_TXD_DATA[7]~8                                                ; 1                 ; 6       ;
2104
;      - ps2_keyboard:u4|rx_ascii[5]                                                    ; 1                 ; 6       ;
2105
;      - ps2_keyboard:u4|m2_state                                                       ; 1                 ; 6       ;
2106
;      - ps2_keyboard:u4|rx_ascii[6]                                                    ; 1                 ; 6       ;
2107
;      - ps2_keyboard:u4|rx_ascii[0]                                                    ; 1                 ; 6       ;
2108
;      - ps2_keyboard:u4|rx_ascii[2]                                                    ; 1                 ; 6       ;
2109
;      - ps2_keyboard:u4|rx_ascii[4]                                                    ; 1                 ; 6       ;
2110
;      - ps2_keyboard:u4|rx_ascii[1]                                                    ; 1                 ; 6       ;
2111
;      - ps2_keyboard:u4|rx_ascii[3]                                                    ; 1                 ; 6       ;
2112
;      - CMD_Decode:u5|oPS2_TXD_DATA[6]~8                                               ; 1                 ; 6       ;
2113
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[6]                                    ; 1                 ; 6       ;
2114
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[14]                                 ; 1                 ; 6       ;
2115
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[6]                                  ; 1                 ; 6       ;
2116
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[4]                                    ; 1                 ; 6       ;
2117
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[12]                                 ; 1                 ; 6       ;
2118
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[4]                                  ; 1                 ; 6       ;
2119
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[7]                                    ; 1                 ; 6       ;
2120
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[15]                                 ; 1                 ; 6       ;
2121
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[7]                                  ; 1                 ; 6       ;
2122
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[2]                                    ; 1                 ; 6       ;
2123
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[10]                                 ; 1                 ; 6       ;
2124
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[2]                                  ; 1                 ; 6       ;
2125
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[1]                                    ; 1                 ; 6       ;
2126
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[9]                                  ; 1                 ; 6       ;
2127
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[1]                                  ; 1                 ; 6       ;
2128
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[0]                                    ; 1                 ; 6       ;
2129
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[8]                                  ; 1                 ; 6       ;
2130
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[0]                                  ; 1                 ; 6       ;
2131
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[3]                                    ; 1                 ; 6       ;
2132
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[11]                                 ; 1                 ; 6       ;
2133
;      - Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[3]                                  ; 1                 ; 6       ;
2134
;      - I2C_AV_Config:u10|I2C_Controller:u0|END                                        ; 1                 ; 6       ;
2135
;      - I2C_AV_Config:u10|mSetup_ST.10                                                 ; 1                 ; 6       ;
2136
;      - I2C_AV_Config:u10|mSetup_ST.01                                                 ; 1                 ; 6       ;
2137
;      - I2C_AV_Config:u10|LUT_INDEX[1]                                                 ; 1                 ; 6       ;
2138
;      - I2C_AV_Config:u10|LUT_INDEX[0]                                                 ; 1                 ; 6       ;
2139
;      - I2C_AV_Config:u10|LUT_INDEX[2]                                                 ; 1                 ; 6       ;
2140
;      - I2C_AV_Config:u10|LUT_INDEX[3]                                                 ; 1                 ; 6       ;
2141
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[1]                                              ; 1                 ; 6       ;
2142
;      - I2C_AV_Config:u10|mI2C_CLK_DIV[0]                                              ; 1                 ; 6       ;
2143
;      - VGA_OSD_RAM:u9|ADDR_dd[2]                                                      ; 1                 ; 6       ;
2144
;      - VGA_OSD_RAM:u9|ADDR_dd[0]                                                      ; 1                 ; 6       ;
2145
;      - VGA_OSD_RAM:u9|ADDR_dd[1]                                                      ; 1                 ; 6       ;
2146
;      - CMD_Decode:u5|f_VGA                                                            ; 1                 ; 6       ;
2147
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[1]                                ; 1                 ; 6       ;
2148
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[5]                                ; 1                 ; 6       ;
2149
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[2]                                ; 1                 ; 6       ;
2150
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[6]                                ; 1                 ; 6       ;
2151
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[3]                                ; 1                 ; 6       ;
2152
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[7]                                ; 1                 ; 6       ;
2153
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[4]                                ; 1                 ; 6       ;
2154
;      - Multi_Flash:u2|Flash_Multiplexer:u0|mFL_DATA[0]                                ; 1                 ; 6       ;
2155
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[0]            ; 1                 ; 6       ;
2156
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|OE                         ; 1                 ; 6       ;
2157
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[1]            ; 1                 ; 6       ;
2158
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[2]            ; 1                 ; 6       ;
2159
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[3]            ; 1                 ; 6       ;
2160
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[4]            ; 1                 ; 6       ;
2161
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[5]            ; 1                 ; 6       ;
2162
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[6]            ; 1                 ; 6       ;
2163
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[7]            ; 1                 ; 6       ;
2164
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[8]            ; 1                 ; 6       ;
2165
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[9]            ; 1                 ; 6       ;
2166
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[10]           ; 1                 ; 6       ;
2167
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[11]           ; 1                 ; 6       ;
2168
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[12]           ; 1                 ; 6       ;
2169
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[13]           ; 1                 ; 6       ;
2170
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[14]           ; 1                 ; 6       ;
2171
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN2[15]           ; 1                 ; 6       ;
2172
;      - I2C_AV_Config:u10|I2C_Controller:u0|SDO                                        ; 1                 ; 6       ;
2173
;      - USB_JTAG:u1|Pre_RxD_Ready                                                      ; 1                 ; 6       ;
2174
;      - USB_JTAG:u1|oRxD_DATA[7]~8                                                     ; 1                 ; 6       ;
2175
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|READA            ; 1                 ; 6       ;
2176
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_done               ; 1                 ; 6       ;
2177
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_done                    ; 1                 ; 6       ;
2178
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|REF_REQ          ; 1                 ; 6       ;
2179
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|INIT_REQ         ; 1                 ; 6       ;
2180
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|WRITEA           ; 1                 ; 6       ;
2181
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|LOAD_MODE        ; 1                 ; 6       ;
2182
;      - Multi_Sdram:u3|Sdram_Controller:u1|DONE                                        ; 1                 ; 6       ;
2183
;      - CMD_Decode:u5|f_SDR_SEL                                                        ; 1                 ; 6       ;
2184
;      - Multi_Sdram:u3|Sdram_Multiplexer:u0|ST.10                                      ; 1                 ; 6       ;
2185
;      - Multi_Sdram:u3|Sdram_Multiplexer:u0|ST.11                                      ; 1                 ; 6       ;
2186
;      - Multi_Sdram:u3|Sdram_Multiplexer:u0|ST.01                                      ; 1                 ; 6       ;
2187
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|CM_ACK                     ; 1                 ; 6       ;
2188
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|PRECHARGE        ; 1                 ; 6       ;
2189
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rw_shift[0]                ; 1                 ; 6       ;
2190
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|REFRESH          ; 1                 ; 6       ;
2191
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|do_initial                 ; 1                 ; 6       ;
2192
;      - Multi_Flash:u2|Flash_Multiplexer:u0|ST.00                                      ; 1                 ; 6       ;
2193
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[16]                             ; 1                 ; 6       ;
2194
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[15]                             ; 1                 ; 6       ;
2195
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[14]                             ; 1                 ; 6       ;
2196
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[17]                             ; 1                 ; 6       ;
2197
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[18]                             ; 1                 ; 6       ;
2198
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[19]                             ; 1                 ; 6       ;
2199
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[9]                              ; 1                 ; 6       ;
2200
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[8]                              ; 1                 ; 6       ;
2201
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[7]                              ; 1                 ; 6       ;
2202
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[6]                              ; 1                 ; 6       ;
2203
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[5]                              ; 1                 ; 6       ;
2204
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[4]                              ; 1                 ; 6       ;
2205
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[3]                              ; 1                 ; 6       ;
2206
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[10]                             ; 1                 ; 6       ;
2207
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[11]                             ; 1                 ; 6       ;
2208
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[12]                             ; 1                 ; 6       ;
2209
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[13]                             ; 1                 ; 6       ;
2210
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[1]                              ; 1                 ; 6       ;
2211
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[0]                              ; 1                 ; 6       ;
2212
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[2]                              ; 1                 ; 6       ;
2213
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[20]                             ; 1                 ; 6       ;
2214
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_Finish[21]                             ; 1                 ; 6       ;
2215
;      - CMD_Decode:u5|mFL_ST.100                                                       ; 1                 ; 6       ;
2216
;      - CMD_Decode:u5|mFL_ST.010                                                       ; 1                 ; 6       ;
2217
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[2]                              ; 1                 ; 6       ;
2218
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[1]                              ; 1                 ; 6       ;
2219
;      - Multi_Flash:u2|Flash_Controller:u1|Start_Delay[0]                              ; 1                 ; 6       ;
2220
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[2]                                 ; 1                 ; 6       ;
2221
;      - ps2_keyboard:u4|left_shift_key                                                 ; 1                 ; 6       ;
2222
;      - ps2_keyboard:u4|right_shift_key                                                ; 1                 ; 6       ;
2223
;      - ps2_keyboard:u4|rx_ascii[0]~16                                                 ; 1                 ; 6       ;
2224
;      - I2C_AV_Config:u10|I2C_Controller:u0|ACK3                                       ; 1                 ; 6       ;
2225
;      - I2C_AV_Config:u10|I2C_Controller:u0|ACK1                                       ; 1                 ; 6       ;
2226
;      - I2C_AV_Config:u10|I2C_Controller:u0|ACK2                                       ; 1                 ; 6       ;
2227
;      - I2C_AV_Config:u10|mSetup_ST.00                                                 ; 1                 ; 6       ;
2228
;      - VGA_OSD_RAM:u9|ADDR_d[2]                                                       ; 1                 ; 6       ;
2229
;      - VGA_OSD_RAM:u9|ADDR_d[0]                                                       ; 1                 ; 6       ;
2230
;      - VGA_OSD_RAM:u9|ADDR_d[1]                                                       ; 1                 ; 6       ;
2231
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[0]            ; 1                 ; 6       ;
2232
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[1]            ; 1                 ; 6       ;
2233
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[2]            ; 1                 ; 6       ;
2234
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[3]            ; 1                 ; 6       ;
2235
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[4]            ; 1                 ; 6       ;
2236
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[5]            ; 1                 ; 6       ;
2237
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[6]            ; 1                 ; 6       ;
2238
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[7]            ; 1                 ; 6       ;
2239
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[8]            ; 1                 ; 6       ;
2240
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[9]            ; 1                 ; 6       ;
2241
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[10]           ; 1                 ; 6       ;
2242
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[11]           ; 1                 ; 6       ;
2243
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[12]           ; 1                 ; 6       ;
2244
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[13]           ; 1                 ; 6       ;
2245
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[14]           ; 1                 ; 6       ;
2246
;      - Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1|DIN1[15]           ; 1                 ; 6       ;
2247
;      - CMD_Decode:u5|oSDR_ADDR[0]~66                                                  ; 1                 ; 6       ;
2248
;      - Multi_Sdram:u3|Sdram_Controller:u1|CMD[0]                                      ; 1                 ; 6       ;
2249
;      - Multi_Sdram:u3|Sdram_Controller:u1|CMD[1]                                      ; 1                 ; 6       ;
2250
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[0]           ; 1                 ; 6       ;
2251
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_shift[0]                ; 1                 ; 6       ;
2252
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|ex_read                    ; 1                 ; 6       ;
2253
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|ex_write                   ; 1                 ; 6       ;
2254
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[0]         ; 1                 ; 6       ;
2255
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[1]         ; 1                 ; 6       ;
2256
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[2]         ; 1                 ; 6       ;
2257
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[3]         ; 1                 ; 6       ;
2258
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[4]         ; 1                 ; 6       ;
2259
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[5]         ; 1                 ; 6       ;
2260
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[6]         ; 1                 ; 6       ;
2261
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[7]         ; 1                 ; 6       ;
2262
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[8]         ; 1                 ; 6       ;
2263
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[9]         ; 1                 ; 6       ;
2264
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[10]        ; 1                 ; 6       ;
2265
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[11]        ; 1                 ; 6       ;
2266
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[12]        ; 1                 ; 6       ;
2267
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[13]        ; 1                 ; 6       ;
2268
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[14]        ; 1                 ; 6       ;
2269
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[15]        ; 1                 ; 6       ;
2270
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|REF_ACK                    ; 1                 ; 6       ;
2271
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[6]    ; 1                 ; 6       ;
2272
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[7]    ; 1                 ; 6       ;
2273
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[8]    ; 1                 ; 6       ;
2274
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[9]    ; 1                 ; 6       ;
2275
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[10]   ; 1                 ; 6       ;
2276
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[11]   ; 1                 ; 6       ;
2277
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[12]   ; 1                 ; 6       ;
2278
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[13]   ; 1                 ; 6       ;
2279
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[14]   ; 1                 ; 6       ;
2280
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[15]   ; 1                 ; 6       ;
2281
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[0]    ; 1                 ; 6       ;
2282
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[1]    ; 1                 ; 6       ;
2283
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[4]    ; 1                 ; 6       ;
2284
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[5]    ; 1                 ; 6       ;
2285
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[3]    ; 1                 ; 6       ;
2286
;      - Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|init_timer[2]    ; 1                 ; 6       ;
2287
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDONE                                       ; 1                 ; 6       ;
2288
;      - Multi_Sdram:u3|Sdram_Controller:u1|Pre_DONE                                    ; 1                 ; 6       ;
2289
;      - Multi_Sdram:u3|Sdram_Multiplexer:u0|ST.00                                      ; 1                 ; 6       ;
2290
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rw_shift[1]                ; 1                 ; 6       ;
2291
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[0]                                 ; 1                 ; 6       ;
2292
;      - Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[1]                                 ; 1                 ; 6       ;
2293
;      - ps2_keyboard:u4|q~35                                                           ; 1                 ; 6       ;
2294
;      - ps2_keyboard:u4|q[8]~36                                                        ; 1                 ; 6       ;
2295
;      - ps2_keyboard:u4|q~37                                                           ; 1                 ; 6       ;
2296
;      - ps2_keyboard:u4|q~38                                                           ; 1                 ; 6       ;
2297
;      - ps2_keyboard:u4|q~39                                                           ; 1                 ; 6       ;
2298
;      - ps2_keyboard:u4|always11~4                                                     ; 1                 ; 6       ;
2299
;      - ps2_keyboard:u4|q~40                                                           ; 1                 ; 6       ;
2300
;      - ps2_keyboard:u4|Equal70~99                                                     ; 1                 ; 6       ;
2301
;      - ps2_keyboard:u4|q~41                                                           ; 1                 ; 6       ;
2302
;      - ps2_keyboard:u4|m1_state.m1_tx_wait_keyboard_ack                               ; 1                 ; 6       ;
2303
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[1]           ; 1                 ; 6       ;
2304
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_shift[1]                ; 1                 ; 6       ;
2305
;      - ps2_keyboard:u4|m1_state.m1_tx_wait_clk_h                                      ; 1                 ; 6       ;
2306
;      - ps2_keyboard:u4|m1_state~39                                                    ; 1                 ; 6       ;
2307
;      - ps2_keyboard:u4|m1_state~41                                                    ; 1                 ; 6       ;
2308
;      - ps2_keyboard:u4|q~42                                                           ; 1                 ; 6       ;
2309
;      - ps2_keyboard:u4|q~43                                                           ; 1                 ; 6       ;
2310
;      - ps2_keyboard:u4|q~44                                                           ; 1                 ; 6       ;
2311
;      - ps2_keyboard:u4|m1_state.m1_tx_error_no_keyboard_ack                           ; 1                 ; 6       ;
2312
;      - ps2_keyboard:u4|m1_state.m1_tx_done_recovery                                   ; 1                 ; 6       ;
2313
;      - ps2_keyboard:u4|m1_state~43                                                    ; 1                 ; 6       ;
2314
;      - ps2_keyboard:u4|m1_state.m1_rx_clk_l                                           ; 1                 ; 6       ;
2315
;      - ps2_keyboard:u4|m1_state.m1_tx_clk_h                                           ; 1                 ; 6       ;
2316
;      - I2C_AV_Config:u10|mI2C_DATA[12]~96                                             ; 1                 ; 6       ;
2317
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[2]           ; 1                 ; 6       ;
2318
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_shift[2]                ; 1                 ; 6       ;
2319
;      - ps2_keyboard:u4|m1_state.m1_tx_clk_l                                           ; 1                 ; 6       ;
2320
;      - ps2_keyboard:u4|q~45                                                           ; 1                 ; 6       ;
2321
;      - ps2_keyboard:u4|m1_state~45                                                    ; 1                 ; 6       ;
2322
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[3]           ; 1                 ; 6       ;
2323
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_shift[3]                ; 1                 ; 6       ;
2324
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[4]           ; 1                 ; 6       ;
2325
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[5]           ; 1                 ; 6       ;
2326
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[6]           ; 1                 ; 6       ;
2327
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[7]           ; 1                 ; 6       ;
2328
;      - Multi_Sdram:u3|Sdram_Controller:u1|command:command1|CS_N[0]~4                  ; 1                 ; 6       ;
2329
;      - VGA_Controller:u8|Add9~18_NEW_REG0                                             ; 1                 ; 6       ;
2330
;      - VGA_Controller:u8|Add9~16_NEW_REG2                                             ; 1                 ; 6       ;
2331
;      - VGA_Controller:u8|Add9~14_NEW_REG4                                             ; 1                 ; 6       ;
2332
;      - VGA_Controller:u8|Add9~12_NEW_REG6                                             ; 1                 ; 6       ;
2333
;      - VGA_Controller:u8|Add9~10_NEW_REG8                                             ; 1                 ; 6       ;
2334
;      - VGA_Controller:u8|Add9~8_NEW_REG10                                             ; 1                 ; 6       ;
2335
;      - VGA_Controller:u8|Add9~6_NEW_REG14                                             ; 1                 ; 6       ;
2336
;      - VGA_Controller:u8|Add9~4_NEW_REG16                                             ; 1                 ; 6       ;
2337
;      - VGA_Controller:u8|Add9~2_NEW_REG18                                             ; 1                 ; 6       ;
2338
;      - VGA_Controller:u8|Add9~0_NEW_REG20                                             ; 1                 ; 6       ;
2339
;      - VGA_Controller:u8|Add6~16_NEW_REG24                                            ; 1                 ; 6       ;
2340
;      - VGA_Controller:u8|Add6~14_NEW_REG26                                            ; 1                 ; 6       ;
2341
;      - VGA_Controller:u8|Add6~12_NEW_REG28                                            ; 1                 ; 6       ;
2342
;      - VGA_Controller:u8|Add6~10_NEW_REG30                                            ; 1                 ; 6       ;
2343
;      - VGA_Controller:u8|Add6~8_NEW_REG34                                             ; 1                 ; 6       ;
2344
;      - VGA_Controller:u8|Add6~6_NEW_REG36                                             ; 1                 ; 6       ;
2345
;      - VGA_Controller:u8|Add6~4_NEW_REG38                                             ; 1                 ; 6       ;
2346
;      - VGA_Controller:u8|Add6~2_NEW_REG42                                             ; 1                 ; 6       ;
2347
;      - VGA_Controller:u8|Add6~0_NEW_REG46                                             ; 1                 ; 6       ;
2348
;      - VGA_Controller:u8|Add8~18_NEW_REG122                                           ; 1                 ; 6       ;
2349
;      - VGA_Controller:u8|Add8~16_NEW_REG124                                           ; 1                 ; 6       ;
2350
;      - VGA_Controller:u8|Add8~14_NEW_REG126                                           ; 1                 ; 6       ;
2351
;      - VGA_Controller:u8|Add8~12_NEW_REG128                                           ; 1                 ; 6       ;
2352
;      - VGA_Controller:u8|Add8~10_NEW_REG130                                           ; 1                 ; 6       ;
2353
;      - VGA_Controller:u8|Add8~8_NEW_REG132                                            ; 1                 ; 6       ;
2354
;      - VGA_Controller:u8|Add8~6_NEW_REG136                                            ; 1                 ; 6       ;
2355
;      - VGA_Controller:u8|Add8~4_NEW_REG138                                            ; 1                 ; 6       ;
2356
;      - VGA_Controller:u8|Add8~2_NEW_REG140                                            ; 1                 ; 6       ;
2357
;      - VGA_Controller:u8|Add8~0_NEW_REG142                                            ; 1                 ; 6       ;
2358
;      - VGA_Controller:u8|Add10~22_NEW_REG146                                          ; 1                 ; 6       ;
2359
;      - VGA_Controller:u8|Add10~20_NEW_REG150                                          ; 1                 ; 6       ;
2360
;      - VGA_Controller:u8|Add10~18_NEW_REG152                                          ; 1                 ; 6       ;
2361
;      - VGA_Controller:u8|Add10~16_NEW_REG154                                          ; 1                 ; 6       ;
2362
;      - VGA_Controller:u8|Add10~14_NEW_REG156                                          ; 1                 ; 6       ;
2363
;      - VGA_Controller:u8|Add10~12_NEW_REG158                                          ; 1                 ; 6       ;
2364
;      - VGA_Controller:u8|Add10~10_NEW_REG160                                          ; 1                 ; 6       ;
2365
;      - VGA_Controller:u8|Add10~8_NEW_REG164                                           ; 1                 ; 6       ;
2366
;      - VGA_Controller:u8|Add10~6_NEW_REG166                                           ; 1                 ; 6       ;
2367
;      - VGA_Controller:u8|Add10~4_NEW_REG168                                           ; 1                 ; 6       ;
2368
;      - VGA_Controller:u8|Add10~2_NEW_REG170                                           ; 1                 ; 6       ;
2369
;      - VGA_Controller:u8|Add7~22_NEW_REG172                                           ; 1                 ; 6       ;
2370
;      - VGA_Controller:u8|Add7~20_NEW_REG176                                           ; 1                 ; 6       ;
2371
;      - VGA_Controller:u8|Add7~18_NEW_REG178                                           ; 1                 ; 6       ;
2372
;      - VGA_Controller:u8|Add7~16_NEW_REG180                                           ; 1                 ; 6       ;
2373
;      - VGA_Controller:u8|Add7~14_NEW_REG182                                           ; 1                 ; 6       ;
2374
;      - VGA_Controller:u8|Add7~12_NEW_REG186                                           ; 1                 ; 6       ;
2375
;      - VGA_Controller:u8|Add7~10_NEW_REG188                                           ; 1                 ; 6       ;
2376
;      - VGA_Controller:u8|Add7~8_NEW_REG190                                            ; 1                 ; 6       ;
2377
;      - VGA_Controller:u8|Add7~6_NEW_REG194                                            ; 1                 ; 6       ;
2378
;      - VGA_Controller:u8|Add7~4_NEW_REG198                                            ; 1                 ; 6       ;
2379
;      - VGA_Controller:u8|Add7~2_NEW_REG200                                            ; 1                 ; 6       ;
2380
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[1]~8_NEW_REG204                  ; 1                 ; 6       ;
2381
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[5]~9_NEW_REG206                  ; 1                 ; 6       ;
2382
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[2]~10_NEW_REG208                 ; 1                 ; 6       ;
2383
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[6]~11_NEW_REG210                 ; 1                 ; 6       ;
2384
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[3]~12_NEW_REG212                 ; 1                 ; 6       ;
2385
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[7]~13_NEW_REG214                 ; 1                 ; 6       ;
2386
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[4]~14_NEW_REG216                 ; 1                 ; 6       ;
2387
;      - Multi_Flash:u2|Flash_Multiplexer:u0|oAS1_DATA[0]~15_NEW_REG218                 ; 1                 ; 6       ;
2388
;      - VGA_Controller:u8|Add5~18_NEW_REG220                                           ; 1                 ; 6       ;
2389
;      - VGA_Controller:u8|Add5~16_NEW_REG222                                           ; 1                 ; 6       ;
2390
;      - VGA_Controller:u8|Add5~14_NEW_REG224                                           ; 1                 ; 6       ;
2391
;      - VGA_Controller:u8|Add5~12_NEW_REG226                                           ; 1                 ; 6       ;
2392
;      - VGA_Controller:u8|Add5~10_NEW_REG230                                           ; 1                 ; 6       ;
2393
;      - VGA_Controller:u8|Add5~8_NEW_REG232                                            ; 1                 ; 6       ;
2394
;      - VGA_Controller:u8|Add5~6_NEW_REG234                                            ; 1                 ; 6       ;
2395
;      - VGA_Controller:u8|Add5~4_NEW_REG238                                            ; 1                 ; 6       ;
2396
;      - VGA_Controller:u8|Add5~2_NEW_REG242                                            ; 1                 ; 6       ;
2397
;      - VGA_Controller:u8|Add5~0_NEW_REG246                                            ; 1                 ; 6       ;
2398
;      - I2C_AV_Config:u10|I2C_Controller:u0|SD[12]~25_RESYN336                         ; 1                 ; 6       ;
2399
; TCS                                                                                   ;                   ;         ;
2400
;      - TCS~clkctrl                                                                    ; 0                 ; 0       ;
2401
; CLOCK_27[0]                                                                           ;                   ;         ;
2402
; TCK                                                                                   ;                   ;         ;
2403
;      - CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component|clkctrl1 ; 0                 ; 0       ;
2404
; TDI                                                                                   ;                   ;         ;
2405
;      - TDI~clkctrl                                                                    ; 1                 ; 0       ;
2406
; PS2_CLK                                                                               ;                   ;         ;
2407
;      - ps2_keyboard:u4|ps2_clk_s                                                      ; 1                 ; 6       ;
2408
; PS2_DAT                                                                               ;                   ;         ;
2409
;      - ps2_keyboard:u4|ps2_data_s                                                     ; 0                 ; 6       ;
2410
; SD_DAT3                                                                               ;                   ;         ;
2411
; SD_CMD                                                                                ;                   ;         ;
2412
; DRAM_DQ[0]                                                                            ;                   ;         ;
2413
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[0]                                 ; 0                 ; 6       ;
2414
; DRAM_DQ[1]                                                                            ;                   ;         ;
2415
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[1]                                 ; 1                 ; 6       ;
2416
; DRAM_DQ[2]                                                                            ;                   ;         ;
2417
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[2]                                 ; 1                 ; 6       ;
2418
; DRAM_DQ[3]                                                                            ;                   ;         ;
2419
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[3]~feeder                          ; 0                 ; 6       ;
2420
; DRAM_DQ[4]                                                                            ;                   ;         ;
2421
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[4]                                 ; 1                 ; 6       ;
2422
; DRAM_DQ[5]                                                                            ;                   ;         ;
2423
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[5]                                 ; 1                 ; 6       ;
2424
; DRAM_DQ[6]                                                                            ;                   ;         ;
2425
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[6]~feeder                          ; 1                 ; 6       ;
2426
; DRAM_DQ[7]                                                                            ;                   ;         ;
2427
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[7]~feeder                          ; 1                 ; 6       ;
2428
; DRAM_DQ[8]                                                                            ;                   ;         ;
2429
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[8]~feeder                          ; 0                 ; 6       ;
2430
; DRAM_DQ[9]                                                                            ;                   ;         ;
2431
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[9]                                 ; 1                 ; 6       ;
2432
; DRAM_DQ[10]                                                                           ;                   ;         ;
2433
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[10]                                ; 1                 ; 6       ;
2434
; DRAM_DQ[11]                                                                           ;                   ;         ;
2435
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[11]~feeder                         ; 1                 ; 6       ;
2436
; DRAM_DQ[12]                                                                           ;                   ;         ;
2437
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[12]                                ; 1                 ; 6       ;
2438
; DRAM_DQ[13]                                                                           ;                   ;         ;
2439
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[13]~feeder                         ; 1                 ; 6       ;
2440
; DRAM_DQ[14]                                                                           ;                   ;         ;
2441
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[14]                                ; 1                 ; 6       ;
2442
; DRAM_DQ[15]                                                                           ;                   ;         ;
2443
;      - Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[15]~feeder                         ; 1                 ; 6       ;
2444
; FL_DQ[0]                                                                              ;                   ;         ;
2445
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[0]                                    ; 1                 ; 6       ;
2446
; FL_DQ[1]                                                                              ;                   ;         ;
2447
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[1]                                    ; 1                 ; 6       ;
2448
; FL_DQ[2]                                                                              ;                   ;         ;
2449
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[2]~feeder                             ; 1                 ; 6       ;
2450
; FL_DQ[3]                                                                              ;                   ;         ;
2451
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[3]                                    ; 1                 ; 6       ;
2452
; FL_DQ[4]                                                                              ;                   ;         ;
2453
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[4]                                    ; 1                 ; 6       ;
2454
; FL_DQ[5]                                                                              ;                   ;         ;
2455
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[5]~feeder                             ; 0                 ; 6       ;
2456
; FL_DQ[6]                                                                              ;                   ;         ;
2457
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[6]                                    ; 0                 ; 6       ;
2458
; FL_DQ[7]                                                                              ;                   ;         ;
2459
;      - Multi_Flash:u2|Flash_Controller:u1|oDATA[7]~feeder                             ; 0                 ; 6       ;
2460
; SRAM_DQ[0]                                                                            ;                   ;         ;
2461
;      - CMD_Decode:u5|Selector46~0                                                     ; 0                 ; 6       ;
2462
; SRAM_DQ[1]                                                                            ;                   ;         ;
2463
;      - CMD_Decode:u5|Selector45~0                                                     ; 1                 ; 6       ;
2464
; SRAM_DQ[2]                                                                            ;                   ;         ;
2465
;      - CMD_Decode:u5|Selector44~0                                                     ; 1                 ; 6       ;
2466
; SRAM_DQ[3]                                                                            ;                   ;         ;
2467
;      - CMD_Decode:u5|Selector43~0                                                     ; 0                 ; 6       ;
2468
; SRAM_DQ[4]                                                                            ;                   ;         ;
2469
;      - CMD_Decode:u5|Selector42~0                                                     ; 0                 ; 6       ;
2470
;      - VGA_Controller:u8|Cur_Color_R[6]~20_RESYN250                                   ; 0                 ; 6       ;
2471
; SRAM_DQ[5]                                                                            ;                   ;         ;
2472
;      - CMD_Decode:u5|Selector41~0                                                     ; 0                 ; 6       ;
2473
;      - VGA_Controller:u8|Cur_Color_R[7]~21_RESYN266                                   ; 0                 ; 6       ;
2474
; SRAM_DQ[6]                                                                            ;                   ;         ;
2475
;      - CMD_Decode:u5|Selector40~0                                                     ; 0                 ; 6       ;
2476
;      - VGA_Controller:u8|Cur_Color_R[8]~22_RESYN268                                   ; 0                 ; 6       ;
2477
; SRAM_DQ[7]                                                                            ;                   ;         ;
2478
;      - CMD_Decode:u5|Selector39~0                                                     ; 0                 ; 6       ;
2479
;      - mVIN_R[9]~0_RESYN312                                                           ; 0                 ; 6       ;
2480
; SRAM_DQ[8]                                                                            ;                   ;         ;
2481
;      - CMD_Decode:u5|Selector46~0                                                     ; 1                 ; 6       ;
2482
; SRAM_DQ[9]                                                                            ;                   ;         ;
2483
;      - CMD_Decode:u5|Selector45~0                                                     ; 0                 ; 6       ;
2484
; SRAM_DQ[10]                                                                           ;                   ;         ;
2485
;      - CMD_Decode:u5|Selector44~0                                                     ; 0                 ; 6       ;
2486
; SRAM_DQ[11]                                                                           ;                   ;         ;
2487
;      - CMD_Decode:u5|Selector43~0                                                     ; 0                 ; 6       ;
2488
; SRAM_DQ[12]                                                                           ;                   ;         ;
2489
;      - CMD_Decode:u5|Selector42~0                                                     ; 0                 ; 6       ;
2490
;      - VGA_Controller:u8|Cur_Color_R[6]~20_RESYN250                                   ; 0                 ; 6       ;
2491
; SRAM_DQ[13]                                                                           ;                   ;         ;
2492
;      - CMD_Decode:u5|Selector41~0                                                     ; 0                 ; 6       ;
2493
;      - VGA_Controller:u8|Cur_Color_R[7]~21_RESYN266                                   ; 0                 ; 6       ;
2494
; SRAM_DQ[14]                                                                           ;                   ;         ;
2495
;      - CMD_Decode:u5|Selector40~0                                                     ; 0                 ; 6       ;
2496
;      - VGA_Controller:u8|Cur_Color_R[8]~22_RESYN268                                   ; 0                 ; 6       ;
2497
; SRAM_DQ[15]                                                                           ;                   ;         ;
2498
;      - CMD_Decode:u5|Selector39~0                                                     ; 1                 ; 6       ;
2499
;      - mVIN_R[9]~0_RESYN312                                                           ; 1                 ; 6       ;
2500
; SD_DAT                                                                                ;                   ;         ;
2501
; I2C_SDAT                                                                              ;                   ;         ;
2502
;      - I2C_AV_Config:u10|I2C_Controller:u0|ACK3~1                                     ; 0                 ; 6       ;
2503
;      - I2C_AV_Config:u10|I2C_Controller:u0|Selector4~0                                ; 0                 ; 6       ;
2504
;      - I2C_AV_Config:u10|I2C_Controller:u0|ACK2~2                                     ; 0                 ; 6       ;
2505
; AUD_BCLK                                                                              ;                   ;         ;
2506
; GPIO_0[0]                                                                             ;                   ;         ;
2507
; GPIO_0[1]                                                                             ;                   ;         ;
2508
; GPIO_0[2]                                                                             ;                   ;         ;
2509
; GPIO_0[3]                                                                             ;                   ;         ;
2510
; GPIO_0[4]                                                                             ;                   ;         ;
2511
; GPIO_0[5]                                                                             ;                   ;         ;
2512
; GPIO_0[6]                                                                             ;                   ;         ;
2513
; GPIO_0[7]                                                                             ;                   ;         ;
2514
; GPIO_0[8]                                                                             ;                   ;         ;
2515
; GPIO_0[9]                                                                             ;                   ;         ;
2516
; GPIO_0[10]                                                                            ;                   ;         ;
2517
; GPIO_0[11]                                                                            ;                   ;         ;
2518
; GPIO_0[12]                                                                            ;                   ;         ;
2519
; GPIO_0[13]                                                                            ;                   ;         ;
2520
; GPIO_0[14]                                                                            ;                   ;         ;
2521
; GPIO_0[15]                                                                            ;                   ;         ;
2522
; GPIO_0[16]                                                                            ;                   ;         ;
2523
; GPIO_0[17]                                                                            ;                   ;         ;
2524
; GPIO_0[18]                                                                            ;                   ;         ;
2525
; GPIO_0[19]                                                                            ;                   ;         ;
2526
; GPIO_0[20]                                                                            ;                   ;         ;
2527
; GPIO_0[21]                                                                            ;                   ;         ;
2528
; GPIO_0[22]                                                                            ;                   ;         ;
2529
; GPIO_0[23]                                                                            ;                   ;         ;
2530
; GPIO_0[24]                                                                            ;                   ;         ;
2531
; GPIO_0[25]                                                                            ;                   ;         ;
2532
; GPIO_0[26]                                                                            ;                   ;         ;
2533
; GPIO_0[27]                                                                            ;                   ;         ;
2534
; GPIO_0[28]                                                                            ;                   ;         ;
2535
; GPIO_0[29]                                                                            ;                   ;         ;
2536
; GPIO_0[30]                                                                            ;                   ;         ;
2537
; GPIO_0[31]                                                                            ;                   ;         ;
2538
; GPIO_0[32]                                                                            ;                   ;         ;
2539
; GPIO_0[33]                                                                            ;                   ;         ;
2540
; GPIO_0[34]                                                                            ;                   ;         ;
2541
; GPIO_0[35]                                                                            ;                   ;         ;
2542
; GPIO_1[0]                                                                             ;                   ;         ;
2543
; GPIO_1[1]                                                                             ;                   ;         ;
2544
; GPIO_1[2]                                                                             ;                   ;         ;
2545
; GPIO_1[3]                                                                             ;                   ;         ;
2546
; GPIO_1[4]                                                                             ;                   ;         ;
2547
; GPIO_1[5]                                                                             ;                   ;         ;
2548
; GPIO_1[6]                                                                             ;                   ;         ;
2549
; GPIO_1[7]                                                                             ;                   ;         ;
2550
; GPIO_1[8]                                                                             ;                   ;         ;
2551
; GPIO_1[9]                                                                             ;                   ;         ;
2552
; GPIO_1[10]                                                                            ;                   ;         ;
2553
; GPIO_1[11]                                                                            ;                   ;         ;
2554
; GPIO_1[12]                                                                            ;                   ;         ;
2555
; GPIO_1[13]                                                                            ;                   ;         ;
2556
; GPIO_1[14]                                                                            ;                   ;         ;
2557
; GPIO_1[15]                                                                            ;                   ;         ;
2558
; GPIO_1[16]                                                                            ;                   ;         ;
2559
; GPIO_1[17]                                                                            ;                   ;         ;
2560
; GPIO_1[18]                                                                            ;                   ;         ;
2561
; GPIO_1[19]                                                                            ;                   ;         ;
2562
; GPIO_1[20]                                                                            ;                   ;         ;
2563
; GPIO_1[21]                                                                            ;                   ;         ;
2564
; GPIO_1[22]                                                                            ;                   ;         ;
2565
; GPIO_1[23]                                                                            ;                   ;         ;
2566
; GPIO_1[24]                                                                            ;                   ;         ;
2567
; GPIO_1[25]                                                                            ;                   ;         ;
2568
; GPIO_1[26]                                                                            ;                   ;         ;
2569
; GPIO_1[27]                                                                            ;                   ;         ;
2570
; GPIO_1[28]                                                                            ;                   ;         ;
2571
; GPIO_1[29]                                                                            ;                   ;         ;
2572
; GPIO_1[30]                                                                            ;                   ;         ;
2573
; GPIO_1[31]                                                                            ;                   ;         ;
2574
; GPIO_1[32]                                                                            ;                   ;         ;
2575
; GPIO_1[33]                                                                            ;                   ;         ;
2576
; GPIO_1[34]                                                                            ;                   ;         ;
2577
; GPIO_1[35]                                                                            ;                   ;         ;
2578
+---------------------------------------------------------------------------------------+-------------------+---------+
2579
 
2580
 
2581
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2582
; Control Signals                                                                                                                                                                                                                                                                                                                ;
2583
+------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-----------------------------------------------------+--------+----------------------+------------------+---------------------------+
2584
; Name                                                                                                                                                       ; Location           ; Fan-Out ; Usage                                               ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2585
+------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-----------------------------------------------------+--------+----------------------+------------------+---------------------------+
2586
; AUDIO_DAC:u11|FLASH_Cont[0]                                                                                                                                ; LCFF_X39_Y14_N5    ; 20      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2587
; AUDIO_DAC:u11|LRCK_1X                                                                                                                                      ; LCFF_X27_Y1_N13    ; 6       ; Clock                                               ; yes    ; Global Clock         ; GCLK13           ; --                        ;
2588
; AUDIO_DAC:u11|LRCK_2X                                                                                                                                      ; LCFF_X49_Y14_N7    ; 16      ; Clock                                               ; yes    ; Global Clock         ; GCLK6            ; --                        ;
2589
; AUDIO_DAC:u11|LRCK_4X                                                                                                                                      ; LCFF_X26_Y1_N17    ; 38      ; Clock                                               ; yes    ; Global Clock         ; GCLK14           ; --                        ;
2590
; AUDIO_DAC:u11|LessThan1~2                                                                                                                                  ; LCCOMB_X27_Y1_N0   ; 9       ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2591
; AUDIO_DAC:u11|LessThan2~2                                                                                                                                  ; LCCOMB_X49_Y14_N12 ; 8       ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2592
; AUDIO_DAC:u11|LessThan3~1                                                                                                                                  ; LCCOMB_X26_Y1_N0   ; 8       ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2593
; AUDIO_DAC:u11|oAUD_BCK                                                                                                                                     ; LCFF_X27_Y2_N13    ; 7       ; Clock                                               ; yes    ; Global Clock         ; GCLK12           ; --                        ;
2594
; CLOCK_27[0]                                                                                                                                                ; PIN_D12            ; 1       ; Clock                                               ; no     ; --                   ; --               ; --                        ;
2595
; CLOCK_50                                                                                                                                                   ; PIN_L1             ; 2       ; Clock                                               ; no     ; --                   ; --               ; --                        ;
2596
; CLOCK_50                                                                                                                                                   ; PIN_L1             ; 618     ; Clock                                               ; yes    ; Global Clock         ; GCLK2            ; --                        ;
2597
; CMD_Decode:u5|Decoder1~1                                                                                                                                   ; LCCOMB_X25_Y14_N0  ; 2       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2598
; CMD_Decode:u5|Decoder1~3                                                                                                                                   ; LCCOMB_X25_Y14_N16 ; 4       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2599
; CMD_Decode:u5|Decoder1~4                                                                                                                                   ; LCCOMB_X25_Y14_N28 ; 32      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2600
; CMD_Decode:u5|Decoder1~5                                                                                                                                   ; LCCOMB_X25_Y14_N20 ; 32      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2601
; CMD_Decode:u5|Decoder1~6                                                                                                                                   ; LCCOMB_X26_Y14_N4  ; 4       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2602
; CMD_Decode:u5|Decoder1~7                                                                                                                                   ; LCCOMB_X25_Y14_N18 ; 4       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2603
; CMD_Decode:u5|f_FLASH                                                                                                                                      ; LCFF_X27_Y15_N19   ; 13      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2604
; CMD_Decode:u5|f_PS2                                                                                                                                        ; LCFF_X29_Y17_N31   ; 3       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2605
; CMD_Decode:u5|f_SDRAM                                                                                                                                      ; LCFF_X29_Y16_N31   ; 11      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2606
; CMD_Decode:u5|f_SRAM                                                                                                                                       ; LCFF_X26_Y16_N11   ; 11      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2607
; CMD_Decode:u5|oFL_ADDR[0]~66                                                                                                                               ; LCCOMB_X26_Y15_N20 ; 30      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2608
; CMD_Decode:u5|oFL_TXD_DATA[7]~17                                                                                                                           ; LCCOMB_X27_Y15_N16 ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2609
; CMD_Decode:u5|oLED_GREEN[0]~9                                                                                                                              ; LCCOMB_X25_Y14_N4  ; 18      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2610
; CMD_Decode:u5|oPS2_TXD_DATA[6]~8                                                                                                                           ; LCCOMB_X32_Y15_N26 ; 7       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2611
; CMD_Decode:u5|oSDR_ADDR[0]~67                                                                                                                              ; LCCOMB_X29_Y16_N20 ; 38      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2612
; CMD_Decode:u5|oSDR_Select[1]~2                                                                                                                             ; LCCOMB_X24_Y14_N8  ; 2       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2613
; CMD_Decode:u5|oSDR_TXD_DATA[7]~8                                                                                                                           ; LCCOMB_X29_Y15_N16 ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2614
; CMD_Decode:u5|oSEG7_DIG[0]~32                                                                                                                              ; LCCOMB_X25_Y14_N24 ; 16      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2615
; CMD_Decode:u5|oSR_ADDR[0]~57                                                                                                                               ; LCCOMB_X26_Y16_N0  ; 34      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2616
; CMD_Decode:u5|oSR_Select[1]~2                                                                                                                              ; LCCOMB_X31_Y15_N8  ; 2       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2617
; CMD_Decode:u5|oSR_TXD_DATA[7]~8                                                                                                                            ; LCCOMB_X26_Y16_N24 ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2618
; CMD_Decode:u5|sel_SDR~5                                                                                                                                    ; LCCOMB_X31_Y15_N12 ; 3       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2619
; I2C_AV_Config:u10|I2C_Controller:u0|SD[12]~25                                                                                                              ; LCCOMB_X12_Y13_N4  ; 10      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2620
; I2C_AV_Config:u10|LessThan0~4                                                                                                                              ; LCCOMB_X11_Y13_N8  ; 16      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2621
; I2C_AV_Config:u10|LessThan1~0                                                                                                                              ; LCCOMB_X10_Y13_N0  ; 9       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2622
; I2C_AV_Config:u10|mI2C_CTRL_CLK                                                                                                                            ; LCFF_X11_Y13_N21   ; 40      ; Clock                                               ; yes    ; Global Clock         ; GCLK0            ; --                        ;
2623
; I2C_AV_Config:u10|mI2C_DATA[12]~96                                                                                                                         ; LCCOMB_X10_Y13_N12 ; 10      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2624
; I2C_AV_Config:u10|mI2C_GO                                                                                                                                  ; LCFF_X10_Y13_N9    ; 7       ; Sync. load                                          ; no     ; --                   ; --               ; --                        ;
2625
; KEY[0]                                                                                                                                                     ; PIN_R22            ; 588     ; Async. clear, Clock enable, Sync. clear, Sync. load ; no     ; --                   ; --               ; --                        ;
2626
; Multi_Flash:u2|Flash_Controller:u1|Equal1~0                                                                                                                ; LCCOMB_X27_Y15_N22 ; 11      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2627
; Multi_Flash:u2|Flash_Controller:u1|ST.READ                                                                                                                 ; LCFF_X37_Y15_N23   ; 28      ; Output enable                                       ; no     ; --                   ; --               ; --                        ;
2628
; Multi_Flash:u2|Flash_Controller:u1|ST~90                                                                                                                   ; LCCOMB_X37_Y15_N30 ; 2       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2629
; Multi_Flash:u2|Flash_Controller:u1|always3~0                                                                                                               ; LCCOMB_X36_Y14_N14 ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2630
; Multi_Flash:u2|Flash_Controller:u1|mACT                                                                                                                    ; LCFF_X37_Y16_N9    ; 34      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2631
; Multi_Flash:u2|Flash_Controller:u1|mStart                                                                                                                  ; LCFF_X27_Y15_N15   ; 39      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2632
; Multi_Flash:u2|Flash_Controller:u1|r_ADDR[19]~22                                                                                                           ; LCCOMB_X27_Y15_N20 ; 32      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2633
; Multi_Sdram:u3|Sdram_Controller:u1|CMD[1]~9                                                                                                                ; LCCOMB_X30_Y18_N14 ; 2       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2634
; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0                                                                           ; PLL_1              ; 197     ; Clock                                               ; yes    ; Global Clock         ; GCLK3            ; --                        ;
2635
; Multi_Sdram:u3|Sdram_Controller:u1|command:command1|OE                                                                                                     ; LCFF_X16_Y11_N5    ; 16      ; Output enable                                       ; no     ; --                   ; --               ; --                        ;
2636
; Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[1]~42                                                                                               ; LCCOMB_X19_Y12_N28 ; 8       ; Sync. load                                          ; no     ; --                   ; --               ; --                        ;
2637
; Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_done~6                                                                                              ; LCCOMB_X18_Y12_N28 ; 4       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2638
; Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|INIT_REQ                                                                                     ; LCFF_X13_Y12_N9    ; 26      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2639
; Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|LessThan0~3                                                                                  ; LCCOMB_X12_Y12_N30 ; 15      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2640
; Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|REF_REQ~5                                                                                    ; LCCOMB_X20_Y10_N4  ; 16      ; Sync. load                                          ; no     ; --                   ; --               ; --                        ;
2641
; Multi_Sdram:u3|Sdram_Multiplexer:u0|oSDR_RD~2                                                                                                              ; LCCOMB_X29_Y18_N16 ; 17      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2642
; Multi_Sram:u6|SRAM_WE_N~3                                                                                                                                  ; LCCOMB_X23_Y12_N28 ; 17      ; Output enable                                       ; no     ; --                   ; --               ; --                        ;
2643
; Reset_Delay:d0|Equal0~6                                                                                                                                    ; LCCOMB_X24_Y26_N4  ; 20      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2644
; Reset_Delay:d0|oRESET_OTERM371                                                                                                                             ; LCFF_X25_Y26_N17   ; 84      ; Async. clear                                        ; no     ; --                   ; --               ; --                        ;
2645
; Reset_Delay:d0|oRESET_OTERM373                                                                                                                             ; LCFF_X49_Y1_N1     ; 88      ; Async. clear                                        ; no     ; --                   ; --               ; --                        ;
2646
; TCK                                                                                                                                                        ; PIN_C7             ; 6       ; Clock                                               ; yes    ; Global Clock         ; GCLK8            ; VCC                       ;
2647
; TCS                                                                                                                                                        ; PIN_D8             ; 17      ; Async. clear, Clock enable                          ; yes    ; Global Clock         ; GCLK7            ; --                        ;
2648
; USB_JTAG:u1|JTAG_REC:u0|oRxD_DATA[7]~8                                                                                                                     ; LCCOMB_X31_Y16_N0  ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2649
; USB_JTAG:u1|mTCK                                                                                                                                           ; LCFF_X1_Y13_N29    ; 19      ; Clock                                               ; yes    ; Global Clock         ; GCLK1            ; --                        ;
2650
; USB_JTAG:u1|oRxD_DATA[7]~8                                                                                                                                 ; LCCOMB_X30_Y16_N14 ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2651
; USB_JTAG:u1|oRxD_Ready                                                                                                                                     ; LCFF_X30_Y16_N11   ; 68      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2652
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                                                                                             ; PLL_3              ; 167     ; Clock                                               ; yes    ; Global Clock         ; GCLK11           ; --                        ;
2653
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk1                                                                                                             ; PLL_3              ; 32      ; Clock                                               ; yes    ; Global Clock         ; GCLK10           ; --                        ;
2654
; VGA_Controller:u8|Cur_Color_B~21                                                                                                                           ; LCCOMB_X30_Y12_N10 ; 4       ; Sync. load                                          ; no     ; --                   ; --               ; --                        ;
2655
; VGA_Controller:u8|Equal6~5                                                                                                                                 ; LCCOMB_X29_Y13_N14 ; 11      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2656
; VGA_Controller:u8|LessThan10~2                                                                                                                             ; LCCOMB_X32_Y10_N30 ; 10      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2657
; VGA_Controller:u8|LessThan8~5                                                                                                                              ; LCCOMB_X29_Y11_N4  ; 10      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2658
; VGA_Controller:u8|always0~5                                                                                                                                ; LCCOMB_X29_Y12_N16 ; 39      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2659
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2842w[3]   ; LCCOMB_X33_Y15_N4  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2660
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2859w[3]   ; LCCOMB_X33_Y15_N2  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2661
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2869w[3]~2 ; LCCOMB_X32_Y14_N0  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2662
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2879w[3]   ; LCCOMB_X33_Y15_N6  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2663
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2889w[3]~2 ; LCCOMB_X33_Y15_N24 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2664
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2899w[3]   ; LCCOMB_X33_Y15_N22 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2665
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2909w[3]~1 ; LCCOMB_X33_Y14_N16 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2666
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2919w[3]   ; LCCOMB_X33_Y14_N2  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2667
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2942w[3]   ; LCCOMB_X33_Y9_N8   ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2668
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2953w[3]   ; LCCOMB_X33_Y14_N28 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2669
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2963w[3]   ; LCCOMB_X32_Y14_N18 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2670
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2973w[3]   ; LCCOMB_X33_Y14_N8  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2671
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2983w[3]   ; LCCOMB_X33_Y9_N14  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2672
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode2993w[3]   ; LCCOMB_X32_Y14_N20 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2673
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3003w[3]   ; LCCOMB_X33_Y9_N26  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2674
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3013w[3]   ; LCCOMB_X33_Y14_N14 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2675
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3035w[3]   ; LCCOMB_X33_Y9_N24  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2676
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3046w[3]   ; LCCOMB_X33_Y15_N20 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2677
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3056w[3]   ; LCCOMB_X32_Y14_N22 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2678
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3066w[3]   ; LCCOMB_X33_Y15_N14 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2679
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3076w[3]   ; LCCOMB_X33_Y9_N22  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2680
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3086w[3]   ; LCCOMB_X33_Y15_N16 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2681
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3096w[3]   ; LCCOMB_X33_Y9_N20  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2682
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3106w[3]   ; LCCOMB_X33_Y15_N26 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2683
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3128w[3]   ; LCCOMB_X33_Y9_N10  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2684
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3139w[3]   ; LCCOMB_X33_Y14_N20 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2685
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3149w[3]   ; LCCOMB_X32_Y14_N24 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2686
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3159w[3]   ; LCCOMB_X33_Y14_N10 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2687
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3169w[3]   ; LCCOMB_X33_Y9_N30  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2688
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3179w[3]   ; LCCOMB_X32_Y14_N26 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2689
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3189w[3]   ; LCCOMB_X33_Y9_N12  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2690
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3199w[3]   ; LCCOMB_X33_Y14_N6  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2691
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3221w[3]   ; LCCOMB_X33_Y9_N4   ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2692
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3232w[3]   ; LCCOMB_X33_Y15_N30 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2693
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3242w[3]   ; LCCOMB_X32_Y14_N8  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2694
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3252w[3]   ; LCCOMB_X33_Y15_N10 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2695
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3262w[3]   ; LCCOMB_X33_Y9_N2   ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2696
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3272w[3]   ; LCCOMB_X33_Y15_N18 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2697
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3282w[3]   ; LCCOMB_X33_Y9_N0   ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2698
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3292w[3]   ; LCCOMB_X33_Y15_N12 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2699
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3314w[3]   ; LCCOMB_X33_Y9_N6   ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2700
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3325w[3]   ; LCCOMB_X33_Y14_N4  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2701
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3335w[3]   ; LCCOMB_X32_Y14_N10 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2702
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3345w[3]   ; LCCOMB_X33_Y14_N24 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2703
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3355w[3]   ; LCCOMB_X33_Y9_N18  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2704
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3365w[3]   ; LCCOMB_X32_Y14_N4  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2705
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3375w[3]   ; LCCOMB_X33_Y9_N16  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2706
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3385w[3]   ; LCCOMB_X33_Y14_N12 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2707
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3407w[3]~3 ; LCCOMB_X33_Y9_N28  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2708
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3418w[3]~2 ; LCCOMB_X33_Y15_N28 ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2709
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a|w_anode3428w[3]~2 ; LCCOMB_X32_Y14_N6  ; 1       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2710
; ps2_keyboard:u4|WideOr0                                                                                                                                    ; LCCOMB_X38_Y8_N4   ; 12      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2711
; ps2_keyboard:u4|bit_count[0]~23                                                                                                                            ; LCCOMB_X42_Y8_N8   ; 4       ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2712
; ps2_keyboard:u4|m1_state.m1_tx_wait_clk_h                                                                                                                  ; LCFF_X39_Y8_N19    ; 10      ; Sync. clear                                         ; no     ; --                   ; --               ; --                        ;
2713
; ps2_keyboard:u4|q[8]~36                                                                                                                                    ; LCCOMB_X45_Y7_N20  ; 12      ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2714
; ps2_keyboard:u4|rx_ascii[0]~16                                                                                                                             ; LCCOMB_X42_Y8_N0   ; 8       ; Clock enable                                        ; no     ; --                   ; --               ; --                        ;
2715
+------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-----------------------------------------------------+--------+----------------------+------------------+---------------------------+
2716
 
2717
 
2718
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2719
; Global & Other Fast Signals                                                                                                                                                         ;
2720
+----------------------------------------------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
2721
; Name                                                                             ; Location         ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2722
+----------------------------------------------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
2723
; AUDIO_DAC:u11|LRCK_1X                                                            ; LCFF_X27_Y1_N13  ; 6       ; Global Clock         ; GCLK13           ; --                        ;
2724
; AUDIO_DAC:u11|LRCK_2X                                                            ; LCFF_X49_Y14_N7  ; 16      ; Global Clock         ; GCLK6            ; --                        ;
2725
; AUDIO_DAC:u11|LRCK_4X                                                            ; LCFF_X26_Y1_N17  ; 38      ; Global Clock         ; GCLK14           ; --                        ;
2726
; AUDIO_DAC:u11|oAUD_BCK                                                           ; LCFF_X27_Y2_N13  ; 7       ; Global Clock         ; GCLK12           ; --                        ;
2727
; CLOCK_50                                                                         ; PIN_L1           ; 618     ; Global Clock         ; GCLK2            ; --                        ;
2728
; I2C_AV_Config:u10|mI2C_CTRL_CLK                                                  ; LCFF_X11_Y13_N21 ; 40      ; Global Clock         ; GCLK0            ; --                        ;
2729
; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0 ; PLL_1            ; 197     ; Global Clock         ; GCLK3            ; --                        ;
2730
; TCK                                                                              ; PIN_C7           ; 6       ; Global Clock         ; GCLK8            ; VCC                       ;
2731
; TCS                                                                              ; PIN_D8           ; 17      ; Global Clock         ; GCLK7            ; --                        ;
2732
; TDI                                                                              ; PIN_E8           ; 2       ; Global Clock         ; GCLK15           ; --                        ;
2733
; USB_JTAG:u1|mTCK                                                                 ; LCFF_X1_Y13_N29  ; 19      ; Global Clock         ; GCLK1            ; --                        ;
2734
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; PLL_3            ; 167     ; Global Clock         ; GCLK11           ; --                        ;
2735
; VGA_Audio_PLL:p1|altpll:altpll_component|_clk1                                   ; PLL_3            ; 32      ; Global Clock         ; GCLK10           ; --                        ;
2736
+----------------------------------------------------------------------------------+------------------+---------+----------------------+------------------+---------------------------+
2737
 
2738
 
2739
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
2740
; Non-Global High Fan-Out Signals                                                                                                                     ;
2741
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
2742
; Name                                                                                                                                      ; Fan-Out ;
2743
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
2744
; KEY[0]                                                                                                                                    ; 588     ;
2745
; ~GND                                                                                                                                      ; 522     ;
2746
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|out_address_reg_a[0] ; 169     ;
2747
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|out_address_reg_a[1] ; 147     ;
2748
; Reset_Delay:d0|oRESET_OTERM373                                                                                                            ; 88      ;
2749
; Reset_Delay:d0|oRESET_OTERM371                                                                                                            ; 84      ;
2750
; USB_JTAG:u1|oRxD_Ready                                                                                                                    ; 68      ;
2751
; ps2_keyboard:u4|q[5]                                                                                                                      ; 65      ;
2752
; ps2_keyboard:u4|q[4]                                                                                                                      ; 60      ;
2753
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|out_address_reg_a[2] ; 58      ;
2754
; VGA_OSD_RAM:u9|Add3~32                                                                                                                    ; 52      ;
2755
; VGA_OSD_RAM:u9|Add3~20                                                                                                                    ; 51      ;
2756
; VGA_OSD_RAM:u9|Add3~18                                                                                                                    ; 51      ;
2757
; VGA_OSD_RAM:u9|Add3~16                                                                                                                    ; 51      ;
2758
; VGA_OSD_RAM:u9|Add3~14                                                                                                                    ; 51      ;
2759
; VGA_OSD_RAM:u9|Add3~12                                                                                                                    ; 51      ;
2760
; VGA_OSD_RAM:u9|Add3~10                                                                                                                    ; 51      ;
2761
; VGA_OSD_RAM:u9|Add3~8                                                                                                                     ; 51      ;
2762
; VGA_OSD_RAM:u9|Add3~6                                                                                                                     ; 51      ;
2763
; VGA_OSD_RAM:u9|Add3~4                                                                                                                     ; 51      ;
2764
; CMD_Decode:u5|oSDR_Select[0]                                                                                                              ; 48      ;
2765
; CMD_Decode:u5|oSDR_Select[1]                                                                                                              ; 48      ;
2766
; AUDIO_DAC:u11|SEL_Cont[0]                                                                                                                 ; 48      ;
2767
; CMD_Decode:u5|oFL_Select[1]                                                                                                               ; 47      ;
2768
; CMD_Decode:u5|oFL_Select[0]                                                                                                               ; 47      ;
2769
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|out_address_reg_a[3] ; 46      ;
2770
; VGA_Controller:u8|always0~5                                                                                                               ; 39      ;
2771
; Multi_Flash:u2|Flash_Controller:u1|mStart                                                                                                 ; 39      ;
2772
; AUDIO_DAC:u11|SEL_Cont[1]                                                                                                                 ; 39      ;
2773
; CMD_Decode:u5|oSR_Select[1]                                                                                                               ; 39      ;
2774
; CMD_Decode:u5|oSR_Select[0]                                                                                                               ; 39      ;
2775
; CMD_Decode:u5|oSDR_ADDR[0]~67                                                                                                             ; 38      ;
2776
; VGA_OSD_RAM:u9|Add3~30                                                                                                                    ; 38      ;
2777
; VGA_OSD_RAM:u9|Add3~28                                                                                                                    ; 38      ;
2778
; AUDIO_DAC:u11|SEL_Cont[3]                                                                                                                 ; 36      ;
2779
; CMD_Decode:u5|oSR_ADDR[0]~57                                                                                                              ; 34      ;
2780
; Multi_Flash:u2|Flash_Controller:u1|mACT                                                                                                   ; 34      ;
2781
; AUDIO_DAC:u11|SEL_Cont[2]                                                                                                                 ; 34      ;
2782
; CMD_Decode:u5|Decoder1~5                                                                                                                  ; 32      ;
2783
; CMD_Decode:u5|Decoder1~4                                                                                                                  ; 32      ;
2784
; Multi_Flash:u2|Flash_Controller:u1|r_ADDR[19]~22                                                                                          ; 32      ;
2785
; CMD_Decode:u5|oFL_ADDR[0]~66                                                                                                              ; 30      ;
2786
; Multi_Flash:u2|Flash_Controller:u1|ST.READ                                                                                                ; 28      ;
2787
; Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|INIT_REQ                                                                    ; 26      ;
2788
; VGA_OSD_RAM:u9|Add3~22                                                                                                                    ; 25      ;
2789
; ps2_keyboard:u4|q[1]                                                                                                                      ; 25      ;
2790
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|out_address_reg_a[4] ; 25      ;
2791
; AUDIO_DAC:u11|SIN_Cont[1]                                                                                                                 ; 25      ;
2792
; Multi_Flash:u2|Flash_Controller:u1|ST.P4_PRG                                                                                              ; 25      ;
2793
; ps2_keyboard:u4|Equal70~43                                                                                                                ; 24      ;
2794
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
2795
 
2796
 
2797
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2798
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                          ;
2799
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2800
; Name                                                                                                                            ; Type ; Mode           ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size   ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF          ; Location                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
2801
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2802
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ALTSYNCRAM ; M4K  ; True Dual Port ; Single Clock ; 26000        ; 8            ; 208000       ; 1            ; yes                    ; yes                     ; yes                    ; no                      ; 208000 ; 26000                       ; 8                           ; 208000                      ; 1                           ; 208000              ; 51   ; Img_DATA.hex ; M4K_X41_Y12, M4K_X17_Y18, M4K_X41_Y26, M4K_X41_Y8, M4K_X41_Y15, M4K_X41_Y22, M4K_X41_Y18, M4K_X41_Y13, M4K_X41_Y23, M4K_X41_Y20, M4K_X41_Y19, M4K_X41_Y16, M4K_X41_Y21, M4K_X41_Y24, M4K_X41_Y7, M4K_X41_Y1, M4K_X17_Y11, M4K_X17_Y7, M4K_X17_Y9, M4K_X17_Y25, M4K_X17_Y22, M4K_X17_Y23, M4K_X17_Y21, M4K_X17_Y19, M4K_X17_Y24, M4K_X17_Y20, M4K_X17_Y13, M4K_X17_Y3, M4K_X17_Y2, M4K_X17_Y8, M4K_X17_Y1, M4K_X17_Y12, M4K_X17_Y10, M4K_X17_Y6, M4K_X17_Y4, M4K_X17_Y15, M4K_X17_Y16, M4K_X17_Y14, M4K_X17_Y17, M4K_X41_Y3, M4K_X41_Y14, M4K_X41_Y10, M4K_X41_Y11, M4K_X41_Y17, M4K_X41_Y2, M4K_X41_Y25, M4K_X41_Y9, M4K_X41_Y6, M4K_X41_Y4, M4K_X41_Y5, M4K_X17_Y5 ;
2803
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2804
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.
2805
 
2806
 
2807
+-----------------------------------------------------+
2808
; Interconnect Usage Summary                          ;
2809
+----------------------------+------------------------+
2810
; Interconnect Resource Type ; Usage                  ;
2811
+----------------------------+------------------------+
2812
; Block interconnects        ; 3,811 / 54,004 ( 7 % ) ;
2813
; C16 interconnects          ; 96 / 2,100 ( 5 % )     ;
2814
; C4 interconnects           ; 2,528 / 36,000 ( 7 % ) ;
2815
; Direct links               ; 450 / 54,004 ( < 1 % ) ;
2816
; Global clocks              ; 13 / 16 ( 81 % )       ;
2817
; Local interconnects        ; 1,029 / 18,752 ( 5 % ) ;
2818
; R24 interconnects          ; 150 / 1,900 ( 8 % )    ;
2819
; R4 interconnects           ; 3,190 / 46,920 ( 7 % ) ;
2820
+----------------------------+------------------------+
2821
 
2822
 
2823
+-----------------------------------------------------------------------------+
2824
; LAB Logic Elements                                                          ;
2825
+---------------------------------------------+-------------------------------+
2826
; Number of Logic Elements  (Average = 11.81) ; Number of LABs  (Total = 190) ;
2827
+---------------------------------------------+-------------------------------+
2828
; 1                                           ; 30                            ;
2829
; 2                                           ; 4                             ;
2830
; 3                                           ; 1                             ;
2831
; 4                                           ; 6                             ;
2832
; 5                                           ; 3                             ;
2833
; 6                                           ; 0                             ;
2834
; 7                                           ; 2                             ;
2835
; 8                                           ; 1                             ;
2836
; 9                                           ; 2                             ;
2837
; 10                                          ; 6                             ;
2838
; 11                                          ; 8                             ;
2839
; 12                                          ; 5                             ;
2840
; 13                                          ; 6                             ;
2841
; 14                                          ; 8                             ;
2842
; 15                                          ; 3                             ;
2843
; 16                                          ; 105                           ;
2844
+---------------------------------------------+-------------------------------+
2845
 
2846
 
2847
+--------------------------------------------------------------------+
2848
; LAB-wide Signals                                                   ;
2849
+------------------------------------+-------------------------------+
2850
; LAB-wide Signals  (Average = 1.67) ; Number of LABs  (Total = 190) ;
2851
+------------------------------------+-------------------------------+
2852
; 1 Async. clear                     ; 84                            ;
2853
; 1 Clock                            ; 110                           ;
2854
; 1 Clock enable                     ; 51                            ;
2855
; 1 Sync. clear                      ; 14                            ;
2856
; 1 Sync. load                       ; 3                             ;
2857
; 2 Async. clears                    ; 8                             ;
2858
; 2 Clock enables                    ; 15                            ;
2859
; 2 Clocks                           ; 32                            ;
2860
+------------------------------------+-------------------------------+
2861
 
2862
 
2863
+------------------------------------------------------------------------------+
2864
; LAB Signals Sourced                                                          ;
2865
+----------------------------------------------+-------------------------------+
2866
; Number of Signals Sourced  (Average = 17.08) ; Number of LABs  (Total = 190) ;
2867
+----------------------------------------------+-------------------------------+
2868
; 0                                            ; 0                             ;
2869
; 1                                            ; 17                            ;
2870
; 2                                            ; 15                            ;
2871
; 3                                            ; 0                             ;
2872
; 4                                            ; 4                             ;
2873
; 5                                            ; 2                             ;
2874
; 6                                            ; 1                             ;
2875
; 7                                            ; 0                             ;
2876
; 8                                            ; 4                             ;
2877
; 9                                            ; 0                             ;
2878
; 10                                           ; 4                             ;
2879
; 11                                           ; 4                             ;
2880
; 12                                           ; 6                             ;
2881
; 13                                           ; 2                             ;
2882
; 14                                           ; 7                             ;
2883
; 15                                           ; 1                             ;
2884
; 16                                           ; 18                            ;
2885
; 17                                           ; 4                             ;
2886
; 18                                           ; 8                             ;
2887
; 19                                           ; 4                             ;
2888
; 20                                           ; 5                             ;
2889
; 21                                           ; 9                             ;
2890
; 22                                           ; 7                             ;
2891
; 23                                           ; 10                            ;
2892
; 24                                           ; 8                             ;
2893
; 25                                           ; 11                            ;
2894
; 26                                           ; 3                             ;
2895
; 27                                           ; 4                             ;
2896
; 28                                           ; 14                            ;
2897
; 29                                           ; 6                             ;
2898
; 30                                           ; 4                             ;
2899
; 31                                           ; 4                             ;
2900
; 32                                           ; 4                             ;
2901
+----------------------------------------------+-------------------------------+
2902
 
2903
 
2904
+---------------------------------------------------------------------------------+
2905
; LAB Signals Sourced Out                                                         ;
2906
+-------------------------------------------------+-------------------------------+
2907
; Number of Signals Sourced Out  (Average = 8.31) ; Number of LABs  (Total = 190) ;
2908
+-------------------------------------------------+-------------------------------+
2909
; 0                                               ; 0                             ;
2910
; 1                                               ; 40                            ;
2911
; 2                                               ; 7                             ;
2912
; 3                                               ; 5                             ;
2913
; 4                                               ; 10                            ;
2914
; 5                                               ; 4                             ;
2915
; 6                                               ; 9                             ;
2916
; 7                                               ; 7                             ;
2917
; 8                                               ; 8                             ;
2918
; 9                                               ; 12                            ;
2919
; 10                                              ; 16                            ;
2920
; 11                                              ; 12                            ;
2921
; 12                                              ; 12                            ;
2922
; 13                                              ; 10                            ;
2923
; 14                                              ; 11                            ;
2924
; 15                                              ; 5                             ;
2925
; 16                                              ; 12                            ;
2926
; 17                                              ; 3                             ;
2927
; 18                                              ; 1                             ;
2928
; 19                                              ; 3                             ;
2929
; 20                                              ; 2                             ;
2930
; 21                                              ; 0                             ;
2931
; 22                                              ; 1                             ;
2932
+-------------------------------------------------+-------------------------------+
2933
 
2934
 
2935
+------------------------------------------------------------------------------+
2936
; LAB Distinct Inputs                                                          ;
2937
+----------------------------------------------+-------------------------------+
2938
; Number of Distinct Inputs  (Average = 15.16) ; Number of LABs  (Total = 190) ;
2939
+----------------------------------------------+-------------------------------+
2940
; 0                                            ; 0                             ;
2941
; 1                                            ; 0                             ;
2942
; 2                                            ; 26                            ;
2943
; 3                                            ; 7                             ;
2944
; 4                                            ; 7                             ;
2945
; 5                                            ; 8                             ;
2946
; 6                                            ; 6                             ;
2947
; 7                                            ; 4                             ;
2948
; 8                                            ; 5                             ;
2949
; 9                                            ; 5                             ;
2950
; 10                                           ; 6                             ;
2951
; 11                                           ; 4                             ;
2952
; 12                                           ; 5                             ;
2953
; 13                                           ; 5                             ;
2954
; 14                                           ; 3                             ;
2955
; 15                                           ; 2                             ;
2956
; 16                                           ; 7                             ;
2957
; 17                                           ; 3                             ;
2958
; 18                                           ; 10                            ;
2959
; 19                                           ; 5                             ;
2960
; 20                                           ; 11                            ;
2961
; 21                                           ; 6                             ;
2962
; 22                                           ; 1                             ;
2963
; 23                                           ; 5                             ;
2964
; 24                                           ; 6                             ;
2965
; 25                                           ; 6                             ;
2966
; 26                                           ; 1                             ;
2967
; 27                                           ; 1                             ;
2968
; 28                                           ; 2                             ;
2969
; 29                                           ; 5                             ;
2970
; 30                                           ; 20                            ;
2971
; 31                                           ; 5                             ;
2972
; 32                                           ; 1                             ;
2973
; 33                                           ; 1                             ;
2974
+----------------------------------------------+-------------------------------+
2975
 
2976
 
2977
+-------------------------------------------------------------------------+
2978
; Fitter Device Options                                                   ;
2979
+----------------------------------------------+--------------------------+
2980
; Option                                       ; Setting                  ;
2981
+----------------------------------------------+--------------------------+
2982
; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
2983
; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
2984
; Enable device-wide output enable (DEV_OE)    ; Off                      ;
2985
; Enable INIT_DONE output                      ; Off                      ;
2986
; Configuration scheme                         ; Active Serial            ;
2987
; Error detection CRC                          ; Off                      ;
2988
; nCEO                                         ; As output driving ground ;
2989
; ASDO,nCSO                                    ; As input tri-stated      ;
2990
; Reserve all unused pins                      ; As output driving ground ;
2991
; Base pin-out file on sameframe device        ; Off                      ;
2992
+----------------------------------------------+--------------------------+
2993
 
2994
 
2995
+------------------------------------+
2996
; Operating Settings and Conditions  ;
2997
+---------------------------+--------+
2998
; Setting                   ; Value  ;
2999
+---------------------------+--------+
3000
; Nominal Core Voltage      ; 1.20 V ;
3001
; Low Junction Temperature  ; 0 °C   ;
3002
; High Junction Temperature ; 85 °C  ;
3003
+---------------------------+--------+
3004
 
3005
 
3006
+------------------------------------------------------------+
3007
; Estimated Delay Added for Hold Timing                      ;
3008
+-----------------+----------------------+-------------------+
3009
; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
3010
+-----------------+----------------------+-------------------+
3011
 
3012
 
3013
+----------------------------+
3014
; Advanced Data - General    ;
3015
+--------------------+-------+
3016
; Name               ; Value ;
3017
+--------------------+-------+
3018
; Status Code        ; 0     ;
3019
; Desired User Slack ; 0     ;
3020
; Fit Attempts       ; 1     ;
3021
+--------------------+-------+
3022
 
3023
 
3024
+------------------------------------------------------------------------------------------------+
3025
; Advanced Data - Placement Preparation                                                          ;
3026
+------------------------------------------------------------------+-----------------------------+
3027
; Name                                                             ; Value                       ;
3028
+------------------------------------------------------------------+-----------------------------+
3029
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3030
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3031
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3032
; Internal Atom Count - Fit Attempt 1                              ; 3076                        ;
3033
; LE/ALM Count - Fit Attempt 1                                     ; 2234                        ;
3034
; LAB Count - Fit Attempt 1                                        ; 182                         ;
3035
; Outputs per Lab - Fit Attempt 1                                  ; 8.659                       ;
3036
; Inputs per LAB - Fit Attempt 1                                   ; 14.736                      ;
3037
; Global Inputs per LAB - Fit Attempt 1                            ; 1.082                       ;
3038
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:177;1:5                   ;
3039
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:39;2:45;3:21;4:1     ;
3040
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:72;1:24;2:57;3:26;4:3     ;
3041
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:179;1:1;2:2               ;
3042
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:87;2:27;3:19;4:1     ;
3043
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:87;1:40;2:55              ;
3044
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:84;1:86;2:12              ;
3045
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:65;2:69              ;
3046
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:95;2:39              ;
3047
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:115;1:67                  ;
3048
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:160;1:22                  ;
3049
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:172;1:10                  ;
3050
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:126;2:30;3:21;4:3;5:1 ;
3051
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3052
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3053
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3054
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3055
; Time - Fit Attempt 1                                             ; 1                           ;
3056
; Time in tsm_tan.dll - Fit Attempt 1                              ; 0.156                       ;
3057
+------------------------------------------------------------------+-----------------------------+
3058
 
3059
 
3060
+------------------------------------------------------------------------------------------------+
3061
; Advanced Data - Placement                                                                      ;
3062
+------------------------------------------------------------------+-----------------------------+
3063
; Name                                                             ; Value                       ;
3064
+------------------------------------------------------------------+-----------------------------+
3065
; Auto Fit Point 2 - Fit Attempt 1                                 ; ff                          ;
3066
; Early Wire Use - Fit Attempt 1                                   ; 4                           ;
3067
; Early Slack - Fit Attempt 1                                      ; -10588                      ;
3068
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3069
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3070
; Mid Slack - Fit Attempt 1                                        ; -8675                       ;
3071
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3072
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3073
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3074
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3075
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3076
; Mid Slack - Fit Attempt 1                                        ; -8675                       ;
3077
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3078
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3079
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3080
; Late Wire Use - Fit Attempt 1                                    ; 8                           ;
3081
; Late Slack - Fit Attempt 1                                       ; -8675                       ;
3082
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3083
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3084
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3085
; Internal Atom Count - Fit Attempt 1                              ; 3076                        ;
3086
; LE/ALM Count - Fit Attempt 1                                     ; 2234                        ;
3087
; LAB Count - Fit Attempt 1                                        ; 182                         ;
3088
; Outputs per Lab - Fit Attempt 1                                  ; 8.659                       ;
3089
; Inputs per LAB - Fit Attempt 1                                   ; 14.742                      ;
3090
; Global Inputs per LAB - Fit Attempt 1                            ; 1.082                       ;
3091
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:177;1:5                   ;
3092
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:39;2:45;3:21;4:1     ;
3093
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:72;1:24;2:57;3:26;4:3     ;
3094
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:179;1:1;2:2               ;
3095
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:87;2:27;3:19;4:1     ;
3096
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:87;1:40;2:55              ;
3097
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:84;1:86;2:12              ;
3098
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:65;2:69              ;
3099
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:95;2:39              ;
3100
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:113;1:69                  ;
3101
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:160;1:22                  ;
3102
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:172;1:10                  ;
3103
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:126;2:30;3:21;4:3;5:1 ;
3104
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3105
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3106
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3107
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3108
; Time - Fit Attempt 1                                             ; 1                           ;
3109
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3110
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3111
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3112
; Internal Atom Count - Fit Attempt 1                              ; 3081                        ;
3113
; LE/ALM Count - Fit Attempt 1                                     ; 2239                        ;
3114
; LAB Count - Fit Attempt 1                                        ; 186                         ;
3115
; Outputs per Lab - Fit Attempt 1                                  ; 8.500                       ;
3116
; Inputs per LAB - Fit Attempt 1                                   ; 14.613                      ;
3117
; Global Inputs per LAB - Fit Attempt 1                            ; 0.919                       ;
3118
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:181;1:5                   ;
3119
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:26;2:55;3:26;4:3     ;
3120
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:76;1:24;2:57;3:26;4:3     ;
3121
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:183;1:1;2:2               ;
3122
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:104;2:31;3:2;4:1     ;
3123
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:83;1:33;2:63;3:7          ;
3124
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:88;1:86;2:12              ;
3125
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:69;2:69              ;
3126
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:99;2:39              ;
3127
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:117;1:69                  ;
3128
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:164;1:22                  ;
3129
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:176;1:10                  ;
3130
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:130;2:30;3:21;4:3;5:1 ;
3131
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3132
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3133
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3134
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3135
; Time - Fit Attempt 1                                             ; 1                           ;
3136
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3137
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3138
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3139
; Internal Atom Count - Fit Attempt 1                              ; 3088                        ;
3140
; LE/ALM Count - Fit Attempt 1                                     ; 2243                        ;
3141
; LAB Count - Fit Attempt 1                                        ; 190                         ;
3142
; Outputs per Lab - Fit Attempt 1                                  ; 8.337                       ;
3143
; Inputs per LAB - Fit Attempt 1                                   ; 14.405                      ;
3144
; Global Inputs per LAB - Fit Attempt 1                            ; 0.926                       ;
3145
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:185;1:5                   ;
3146
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:28;2:59;3:24;4:3     ;
3147
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:76;1:26;2:61;3:24;4:3     ;
3148
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:187;1:1;2:2               ;
3149
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:107;2:32;3:2;4:1     ;
3150
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:83;1:35;2:65;3:7          ;
3151
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:90;1:89;2:11              ;
3152
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:72;2:70              ;
3153
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:102;2:40             ;
3154
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:121;1:69                  ;
3155
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:169;1:21                  ;
3156
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:180;1:10                  ;
3157
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:133;2:30;3:22;4:3;5:1 ;
3158
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3159
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3160
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3161
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3162
; Time - Fit Attempt 1                                             ; 1                           ;
3163
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3164
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3165
; Mid Slack - Fit Attempt 1                                        ; -4656                       ;
3166
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3167
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3168
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3169
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3170
; Mid Slack - Fit Attempt 1                                        ; -8675                       ;
3171
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3172
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3173
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3174
; Internal Atom Count - Fit Attempt 1                              ; 3088                        ;
3175
; LE/ALM Count - Fit Attempt 1                                     ; 2244                        ;
3176
; LAB Count - Fit Attempt 1                                        ; 192                         ;
3177
; Outputs per Lab - Fit Attempt 1                                  ; 8.271                       ;
3178
; Inputs per LAB - Fit Attempt 1                                   ; 14.307                      ;
3179
; Global Inputs per LAB - Fit Attempt 1                            ; 0.922                       ;
3180
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:187;1:5                   ;
3181
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:77;1:29;2:59;3:24;4:3     ;
3182
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:77;1:27;2:61;3:24;4:3     ;
3183
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:189;1:1;2:2               ;
3184
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:49;1:108;2:32;3:2;4:1     ;
3185
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:84;1:36;2:65;3:7          ;
3186
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:92;1:89;2:11              ;
3187
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:49;1:73;2:70              ;
3188
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:49;1:103;2:40             ;
3189
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:123;1:69                  ;
3190
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:171;1:21                  ;
3191
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:182;1:10                  ;
3192
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:135;2:30;3:22;4:3;5:1 ;
3193
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3194
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3195
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3196
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3197
; Time - Fit Attempt 1                                             ; 1                           ;
3198
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3199
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3200
; Mid Slack - Fit Attempt 1                                        ; -8717                       ;
3201
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3202
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3203
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3204
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3205
; Mid Slack - Fit Attempt 1                                        ; -8717                       ;
3206
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3207
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3208
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3209
; Internal Atom Count - Fit Attempt 1                              ; 3096                        ;
3210
; LE/ALM Count - Fit Attempt 1                                     ; 2252                        ;
3211
; LAB Count - Fit Attempt 1                                        ; 197                         ;
3212
; Outputs per Lab - Fit Attempt 1                                  ; 8.086                       ;
3213
; Inputs per LAB - Fit Attempt 1                                   ; 14.102                      ;
3214
; Global Inputs per LAB - Fit Attempt 1                            ; 0.909                       ;
3215
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:192;1:5                   ;
3216
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:80;1:31;2:59;3:24;4:3     ;
3217
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:80;1:29;2:61;3:24;4:3     ;
3218
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:194;1:1;2:2               ;
3219
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:52;1:110;2:32;3:2;4:1     ;
3220
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:87;1:38;2:65;3:7          ;
3221
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:96;1:90;2:11              ;
3222
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:52;1:75;2:70              ;
3223
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:52;1:105;2:40             ;
3224
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:128;1:69                  ;
3225
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:176;1:21                  ;
3226
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:187;1:10                  ;
3227
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:139;2:31;3:22;4:3;5:1 ;
3228
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3229
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3230
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3231
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3232
; Time - Fit Attempt 1                                             ; 1                           ;
3233
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3234
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3235
; Mid Slack - Fit Attempt 1                                        ; -5226                       ;
3236
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3237
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3238
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3239
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3240
; Mid Slack - Fit Attempt 1                                        ; -8717                       ;
3241
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3242
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3243
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3244
; Internal Atom Count - Fit Attempt 1                              ; 3096                        ;
3245
; LE/ALM Count - Fit Attempt 1                                     ; 2252                        ;
3246
; LAB Count - Fit Attempt 1                                        ; 197                         ;
3247
; Outputs per Lab - Fit Attempt 1                                  ; 8.081                       ;
3248
; Inputs per LAB - Fit Attempt 1                                   ; 14.096                      ;
3249
; Global Inputs per LAB - Fit Attempt 1                            ; 0.909                       ;
3250
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:192;1:5                   ;
3251
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:80;1:31;2:59;3:24;4:3     ;
3252
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:80;1:29;2:61;3:24;4:3     ;
3253
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:194;1:1;2:2               ;
3254
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:52;1:110;2:32;3:2;4:1     ;
3255
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:87;1:38;2:65;3:7          ;
3256
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:96;1:90;2:11              ;
3257
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:52;1:75;2:70              ;
3258
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:52;1:105;2:40             ;
3259
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:128;1:69                  ;
3260
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:176;1:21                  ;
3261
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:187;1:10                  ;
3262
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:139;2:31;3:22;4:3;5:1 ;
3263
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3264
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3265
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3266
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3267
; Time - Fit Attempt 1                                             ; 1                           ;
3268
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3269
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3270
; Mid Slack - Fit Attempt 1                                        ; -5177                       ;
3271
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3272
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3273
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3274
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3275
; Mid Slack - Fit Attempt 1                                        ; -8717                       ;
3276
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3277
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3278
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3279
; Internal Atom Count - Fit Attempt 1                              ; 3088                        ;
3280
; LE/ALM Count - Fit Attempt 1                                     ; 2243                        ;
3281
; LAB Count - Fit Attempt 1                                        ; 190                         ;
3282
; Outputs per Lab - Fit Attempt 1                                  ; 8.337                       ;
3283
; Inputs per LAB - Fit Attempt 1                                   ; 14.405                      ;
3284
; Global Inputs per LAB - Fit Attempt 1                            ; 0.926                       ;
3285
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:185;1:5                   ;
3286
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:28;2:59;3:24;4:3     ;
3287
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:76;1:26;2:61;3:24;4:3     ;
3288
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:187;1:1;2:2               ;
3289
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:107;2:32;3:2;4:1     ;
3290
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:83;1:35;2:65;3:7          ;
3291
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:90;1:89;2:11              ;
3292
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:72;2:70              ;
3293
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:102;2:40             ;
3294
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:121;1:69                  ;
3295
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:169;1:21                  ;
3296
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:180;1:10                  ;
3297
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:133;2:30;3:22;4:3;5:1 ;
3298
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3299
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3300
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3301
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3302
; Time - Fit Attempt 1                                             ; 1                           ;
3303
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3304
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3305
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3306
; Internal Atom Count - Fit Attempt 1                              ; 3115                        ;
3307
; LE/ALM Count - Fit Attempt 1                                     ; 2271                        ;
3308
; LAB Count - Fit Attempt 1                                        ; 204                         ;
3309
; Outputs per Lab - Fit Attempt 1                                  ; 7.922                       ;
3310
; Inputs per LAB - Fit Attempt 1                                   ; 13.740                      ;
3311
; Global Inputs per LAB - Fit Attempt 1                            ; 0.912                       ;
3312
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:198;1:6                   ;
3313
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:80;1:35;2:62;3:24;4:3     ;
3314
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:80;1:33;2:64;3:24;4:3     ;
3315
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:201;1:1;2:2               ;
3316
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:52;1:117;2:32;3:2;4:1     ;
3317
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:87;1:43;2:67;3:7          ;
3318
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:99;1:94;2:11              ;
3319
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:52;1:82;2:70              ;
3320
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:52;1:112;2:40             ;
3321
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:133;1:71                  ;
3322
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:183;1:21                  ;
3323
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:194;1:10                  ;
3324
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:145;2:32;3:22;4:3;5:1 ;
3325
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3326
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3327
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3328
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3329
; Time - Fit Attempt 1                                             ; 1                           ;
3330
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3331
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3332
; Mid Slack - Fit Attempt 1                                        ; -8835                       ;
3333
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3334
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3335
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3336
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3337
; Mid Slack - Fit Attempt 1                                        ; -8786                       ;
3338
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3339
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3340
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3341
; Internal Atom Count - Fit Attempt 1                              ; 3120                        ;
3342
; LE/ALM Count - Fit Attempt 1                                     ; 2276                        ;
3343
; LAB Count - Fit Attempt 1                                        ; 205                         ;
3344
; Outputs per Lab - Fit Attempt 1                                  ; 7.893                       ;
3345
; Inputs per LAB - Fit Attempt 1                                   ; 13.785                      ;
3346
; Global Inputs per LAB - Fit Attempt 1                            ; 0.917                       ;
3347
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:199;1:6                   ;
3348
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:79;1:36;2:63;3:24;4:3     ;
3349
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:79;1:34;2:65;3:24;4:3     ;
3350
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:202;1:1;2:2               ;
3351
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:51;1:119;2:32;3:2;4:1     ;
3352
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:86;1:43;2:69;3:7          ;
3353
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:98;1:96;2:11              ;
3354
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:51;1:84;2:70              ;
3355
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:51;1:113;2:41             ;
3356
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:134;1:71                  ;
3357
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:184;1:21                  ;
3358
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:195;1:10                  ;
3359
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:143;2:35;3:22;4:3;5:1 ;
3360
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3361
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3362
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3363
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3364
; Time - Fit Attempt 1                                             ; 1                           ;
3365
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3366
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3367
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3368
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3369
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3370
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3371
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3372
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3373
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3374
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3375
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3376
; Internal Atom Count - Fit Attempt 1                              ; 3120                        ;
3377
; LE/ALM Count - Fit Attempt 1                                     ; 2275                        ;
3378
; LAB Count - Fit Attempt 1                                        ; 206                         ;
3379
; Outputs per Lab - Fit Attempt 1                                  ; 7.850                       ;
3380
; Inputs per LAB - Fit Attempt 1                                   ; 13.718                      ;
3381
; Global Inputs per LAB - Fit Attempt 1                            ; 0.917                       ;
3382
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:200;1:6                   ;
3383
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:79;1:38;2:62;3:24;4:3     ;
3384
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:79;1:36;2:64;3:24;4:3     ;
3385
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:203;1:1;2:2               ;
3386
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:51;1:120;2:32;3:2;4:1     ;
3387
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:86;1:45;2:68;3:7          ;
3388
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:99;1:96;2:11              ;
3389
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:51;1:86;2:69              ;
3390
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:51;1:115;2:40             ;
3391
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:135;1:71                  ;
3392
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:185;1:21                  ;
3393
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:196;1:10                  ;
3394
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:144;2:35;3:22;4:3;5:1 ;
3395
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3396
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3397
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3398
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3399
; Time - Fit Attempt 1                                             ; 1                           ;
3400
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3401
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3402
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3403
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3404
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3405
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3406
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3407
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3408
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3409
; Mid Wire Use - Fit Attempt 1                                     ; 8                           ;
3410
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3411
; Internal Atom Count - Fit Attempt 1                              ; 3120                        ;
3412
; LE/ALM Count - Fit Attempt 1                                     ; 2275                        ;
3413
; LAB Count - Fit Attempt 1                                        ; 205                         ;
3414
; Outputs per Lab - Fit Attempt 1                                  ; 7.888                       ;
3415
; Inputs per LAB - Fit Attempt 1                                   ; 13.785                      ;
3416
; Global Inputs per LAB - Fit Attempt 1                            ; 0.917                       ;
3417
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:199;1:6                   ;
3418
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:79;1:36;2:63;3:24;4:3     ;
3419
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:79;1:34;2:65;3:24;4:3     ;
3420
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:202;1:1;2:2               ;
3421
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:51;1:119;2:32;3:2;4:1     ;
3422
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:86;1:43;2:69;3:7          ;
3423
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:98;1:96;2:11              ;
3424
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:51;1:84;2:70              ;
3425
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:51;1:113;2:41             ;
3426
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:134;1:71                  ;
3427
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:184;1:21                  ;
3428
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:195;1:10                  ;
3429
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:143;2:35;3:22;4:3;5:1 ;
3430
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3431
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3432
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3433
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3434
; Time - Fit Attempt 1                                             ; 1                           ;
3435
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3436
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3437
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3438
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3439
; Auto Fit Point 6 - Fit Attempt 1                                 ; ff                          ;
3440
; Auto Fit Point 5 - Fit Attempt 1                                 ; ff                          ;
3441
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3442
; Mid Slack - Fit Attempt 1                                        ; -9152                       ;
3443
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3444
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3445
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3446
; Internal Atom Count - Fit Attempt 1                              ; 3088                        ;
3447
; LE/ALM Count - Fit Attempt 1                                     ; 2243                        ;
3448
; LAB Count - Fit Attempt 1                                        ; 190                         ;
3449
; Outputs per Lab - Fit Attempt 1                                  ; 8.337                       ;
3450
; Inputs per LAB - Fit Attempt 1                                   ; 14.405                      ;
3451
; Global Inputs per LAB - Fit Attempt 1                            ; 0.926                       ;
3452
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:185;1:5                   ;
3453
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:28;2:59;3:24;4:3     ;
3454
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:76;1:26;2:61;3:24;4:3     ;
3455
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:187;1:1;2:2               ;
3456
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:107;2:32;3:2;4:1     ;
3457
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:83;1:35;2:65;3:7          ;
3458
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:90;1:89;2:11              ;
3459
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:72;2:70              ;
3460
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:102;2:40             ;
3461
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:121;1:69                  ;
3462
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:169;1:21                  ;
3463
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:180;1:10                  ;
3464
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:133;2:30;3:22;4:3;5:1 ;
3465
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3466
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3467
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3468
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3469
; Time - Fit Attempt 1                                             ; 1                           ;
3470
; Auto Fit Point 1 - Fit Attempt 1                                 ; ff                          ;
3471
; Mid Wire Use - Fit Attempt 1                                     ; 7                           ;
3472
; Mid Slack - Fit Attempt 1                                        ; -12152                      ;
3473
; Internal Atom Count - Fit Attempt 1                              ; 3088                        ;
3474
; LE/ALM Count - Fit Attempt 1                                     ; 2243                        ;
3475
; LAB Count - Fit Attempt 1                                        ; 190                         ;
3476
; Outputs per Lab - Fit Attempt 1                                  ; 8.337                       ;
3477
; Inputs per LAB - Fit Attempt 1                                   ; 14.405                      ;
3478
; Global Inputs per LAB - Fit Attempt 1                            ; 0.926                       ;
3479
; LAB Constraint 'non-global clock + sync load' - Fit Attempt 1    ; 0:185;1:5                   ;
3480
; LAB Constraint 'non-global controls' - Fit Attempt 1             ; 0:76;1:28;2:59;3:24;4:3     ;
3481
; LAB Constraint 'non-global + aclr' - Fit Attempt 1               ; 0:76;1:26;2:61;3:24;4:3     ;
3482
; LAB Constraint 'global non-clock non-aclr' - Fit Attempt 1       ; 0:187;1:1;2:2               ;
3483
; LAB Constraint 'global controls' - Fit Attempt 1                 ; 0:48;1:107;2:32;3:2;4:1     ;
3484
; LAB Constraint 'deterministic LABSMUXA/LABXMUXB' - Fit Attempt 1 ; 0:83;1:35;2:65;3:7          ;
3485
; LAB Constraint 'deterministic LABSMUXC/LABXMUXD' - Fit Attempt 1 ; 0:90;1:89;2:11              ;
3486
; LAB Constraint 'clock / ce pair constraint' - Fit Attempt 1      ; 0:48;1:72;2:70              ;
3487
; LAB Constraint 'aclr constraint' - Fit Attempt 1                 ; 0:48;1:102;2:40             ;
3488
; LAB Constraint 'true sload_sclear pair' - Fit Attempt 1          ; 0:121;1:69                  ;
3489
; LAB Constraint 'constant sload_sclear pair' - Fit Attempt 1      ; 0:169;1:21                  ;
3490
; LAB Constraint 'has placement constraint' - Fit Attempt 1        ; 0:180;1:10                  ;
3491
; LAB Constraint 'group hierarchy constraint' - Fit Attempt 1      ; 0:1;1:133;2:30;3:22;4:3;5:1 ;
3492
; LEs in Chains - Fit Attempt 1                                    ; 387                         ;
3493
; LEs in Long Chains - Fit Attempt 1                               ; 98                          ;
3494
; LABs with Chains - Fit Attempt 1                                 ; 39                          ;
3495
; LABs with Multiple Chains - Fit Attempt 1                        ; 0                           ;
3496
; Time - Fit Attempt 1                                             ; 1                           ;
3497
; Peak Regional Wire - Fit Attempt 1                               ; 0.000                       ;
3498
; Auto Fit Point 7 - Fit Attempt 1                                 ; ff                          ;
3499
; Time - Fit Attempt 1                                             ; 58                          ;
3500
; Time in fit_fsyn.dll - Fit Attempt 1                             ; 42.875                      ;
3501
; Time in tsm_tan.dll - Fit Attempt 1                              ; 9.313                       ;
3502
+------------------------------------------------------------------+-----------------------------+
3503
 
3504
 
3505
+---------------------------------------------------+
3506
; Advanced Data - Routing                           ;
3507
+-------------------------------------+-------------+
3508
; Name                                ; Value       ;
3509
+-------------------------------------+-------------+
3510
; Early Slack - Fit Attempt 1         ; -7923       ;
3511
; Early Wire Use - Fit Attempt 1      ; 8           ;
3512
; Peak Regional Wire - Fit Attempt 1  ; 12          ;
3513
; Mid Slack - Fit Attempt 1           ; -8565       ;
3514
; Late Slack - Fit Attempt 1          ; -2147483648 ;
3515
; Late Wire Use - Fit Attempt 1       ; 7           ;
3516
; Time - Fit Attempt 1                ; 6           ;
3517
; Time in tsm_tan.dll - Fit Attempt 1 ; 3.078       ;
3518
+-------------------------------------+-------------+
3519
 
3520
 
3521
+-----------------+
3522
; Fitter Messages ;
3523
+-----------------+
3524
Info: *******************************************************************
3525
Info: Running Quartus II Fitter
3526
    Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
3527
    Info: Processing started: Sun Oct 11 12:23:22 2009
3528
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off CII_Starter_USB_API -c CII_Starter_USB_API
3529
Info: Selected device EP2C20F484C7 for design "CII_Starter_USB_API"
3530
Info: Implemented PLL "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|pll" as Cyclone II PLL type
3531
    Info: Implementing clock multiplication of 1, clock division of 1, and phase shift of 0 degrees (0 ps) for Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0 port
3532
    Info: Implementing clock multiplication of 1, clock division of 1, and phase shift of 0 degrees (0 ps) for Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk2 port
3533
Info: Implemented PLL "VGA_Audio_PLL:p1|altpll:altpll_component|pll" as Cyclone II PLL type
3534
    Info: Implementing clock multiplication of 14, clock division of 15, and phase shift of 0 degrees (0 ps) for VGA_Audio_PLL:p1|altpll:altpll_component|_clk0 port
3535
    Info: Implementing clock multiplication of 2, clock division of 3, and phase shift of 0 degrees (0 ps) for VGA_Audio_PLL:p1|altpll:altpll_component|_clk1 port
3536
Info: Found following RAM instances in design that are actually implemented ROM function because the write is always disabled
3537
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a37" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3538
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a38" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3539
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a36" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3540
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a39" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3541
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a33" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3542
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a34" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3543
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a32" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3544
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a35" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3545
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a41" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3546
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a40" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3547
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a43" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3548
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a42" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3549
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a47" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3550
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a45" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3551
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a46" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3552
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a44" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3553
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a50" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3554
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a48" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3555
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a49" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3556
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a5" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3557
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a6" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3558
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a4" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3559
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a7" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3560
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a1" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3561
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a2" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3562
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a0" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3563
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a3" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3564
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a12" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3565
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a8" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3566
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a14" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3567
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a10" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3568
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a13" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3569
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a9" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3570
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a15" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3571
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a11" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3572
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a21" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3573
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a22" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3574
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a20" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3575
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a23" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3576
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a17" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3577
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a18" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3578
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a16" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3579
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a19" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3580
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a27" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3581
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a25" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3582
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a26" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3583
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a24" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3584
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a31" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3585
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a29" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3586
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a30" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3587
    Info: Atom "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a28" is instantiated as RAM, but it actually implements ROM function because the write is always disabled
3588
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a37" has a port clk1 that is stuck at GND
3589
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a38" has a port clk1 that is stuck at GND
3590
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a36" has a port clk1 that is stuck at GND
3591
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a39" has a port clk1 that is stuck at GND
3592
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a33" has a port clk1 that is stuck at GND
3593
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a34" has a port clk1 that is stuck at GND
3594
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a32" has a port clk1 that is stuck at GND
3595
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a35" has a port clk1 that is stuck at GND
3596
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a41" has a port clk1 that is stuck at GND
3597
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a40" has a port clk1 that is stuck at GND
3598
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a43" has a port clk1 that is stuck at GND
3599
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a42" has a port clk1 that is stuck at GND
3600
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a47" has a port clk1 that is stuck at GND
3601
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a45" has a port clk1 that is stuck at GND
3602
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a46" has a port clk1 that is stuck at GND
3603
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a44" has a port clk1 that is stuck at GND
3604
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a50" has a port clk1 that is stuck at GND
3605
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a48" has a port clk1 that is stuck at GND
3606
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a49" has a port clk1 that is stuck at GND
3607
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a5" has a port clk1 that is stuck at GND
3608
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a6" has a port clk1 that is stuck at GND
3609
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a4" has a port clk1 that is stuck at GND
3610
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a7" has a port clk1 that is stuck at GND
3611
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a1" has a port clk1 that is stuck at GND
3612
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a2" has a port clk1 that is stuck at GND
3613
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a0" has a port clk1 that is stuck at GND
3614
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a3" has a port clk1 that is stuck at GND
3615
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a12" has a port clk1 that is stuck at GND
3616
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a8" has a port clk1 that is stuck at GND
3617
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a14" has a port clk1 that is stuck at GND
3618
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a10" has a port clk1 that is stuck at GND
3619
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a13" has a port clk1 that is stuck at GND
3620
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a9" has a port clk1 that is stuck at GND
3621
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a15" has a port clk1 that is stuck at GND
3622
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a11" has a port clk1 that is stuck at GND
3623
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a21" has a port clk1 that is stuck at GND
3624
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a22" has a port clk1 that is stuck at GND
3625
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a20" has a port clk1 that is stuck at GND
3626
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a23" has a port clk1 that is stuck at GND
3627
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a17" has a port clk1 that is stuck at GND
3628
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a18" has a port clk1 that is stuck at GND
3629
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a16" has a port clk1 that is stuck at GND
3630
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a19" has a port clk1 that is stuck at GND
3631
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a27" has a port clk1 that is stuck at GND
3632
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a25" has a port clk1 that is stuck at GND
3633
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a26" has a port clk1 that is stuck at GND
3634
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a24" has a port clk1 that is stuck at GND
3635
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a31" has a port clk1 that is stuck at GND
3636
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a29" has a port clk1 that is stuck at GND
3637
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a30" has a port clk1 that is stuck at GND
3638
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a28" has a port clk1 that is stuck at GND
3639
Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
3640
Warning: Feature LogicLock is not available with your current license
3641
Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
3642
    Info: Device EP2C15AF484C7 is compatible
3643
    Info: Device EP2C35F484C7 is compatible
3644
    Info: Device EP2C50F484C7 is compatible
3645
Info: Fitter converted 3 user pins into dedicated programming pins
3646
    Info: Pin ~ASDO~ is reserved at location C4
3647
    Info: Pin ~nCSO~ is reserved at location C3
3648
    Info: Pin ~LVDS91p/nCEO~ is reserved at location W20
3649
Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
3650
Info: Clock Control Block CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component|wire_clkctrl1_outclk has its enable register mode parameter set to NONE, but its inclk[0] port is driven by block TCK. De-asserting the ena signal on the Clock Control during a high-pulse of the specified clock input signal can cause a glitch on the clock output.
3651
Warning: No exact pin location assignment(s) for 5 pins of 283 total pins
3652
    Info: Pin FL_CE_N not assigned to an exact location on the device
3653
    Info: Pin SD_CLK not assigned to an exact location on the device
3654
    Info: Pin SD_DAT3 not assigned to an exact location on the device
3655
    Info: Pin SD_CMD not assigned to an exact location on the device
3656
    Info: Pin SD_DAT not assigned to an exact location on the device
3657
Info: Fitter is using the TimeQuest Timing Analyzer
3658
Critical Warning: Synopsys Design Constraints File file not found: 'CII_Starter_USB_API.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
3659
Info: No user constrained generated clocks found in the design.
3660
Info: No user constrained base clocks found in the design.
3661
Info: Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
3662
Info: Automatically promoted node CLOCK_50 (placed in PIN L1 (CLK0, LVDSCLK0p, Input))
3663
    Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
3664
Info: Automatically promoted node Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0 (placed in counter C0 of PLL_1)
3665
    Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3
3666
Info: Automatically promoted node Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk2 (placed in counter C2 of PLL_1)
3667
    Info: Automatically promoted destinations to use location or clock signal External Clock Output CLKCTRL_X0_Y1_N1
3668
Info: Promoted node TCK
3669
    Info: Automatically promoted CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component|clkctrl1 to use location or clock signal Global Clock
3670
Info: Pin TCK drives global or regional clock Global Clock, but is not placed in a dedicated clock pin position
3671
Info: Promoted node TCS
3672
    Info: Promoted destinations to use location or clock signal Global Clock
3673
Info: Pin TCS drives global or regional clock Global Clock, but is not placed in a dedicated clock pin position
3674
Info: Promoted node TDI
3675
    Info: Promoted destinations to use location or clock signal Global Clock
3676
Info: Pin TDI drives global or regional clock Global Clock, but is not placed in a dedicated clock pin position
3677
Info: Automatically promoted node VGA_Audio_PLL:p1|altpll:altpll_component|_clk0 (placed in counter C0 of PLL_3)
3678
    Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G11
3679
Info: Automatically promoted node VGA_Audio_PLL:p1|altpll:altpll_component|_clk1 (placed in counter C1 of PLL_3)
3680
    Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G10
3681
Info: Automatically promoted node I2C_AV_Config:u10|mI2C_CTRL_CLK
3682
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3683
    Info: Following destination nodes may be non-global or may not use global or regional clocks
3684
        Info: Destination node I2C_AV_Config:u10|I2C_Controller:u0|I2C_SCLK~2
3685
        Info: Destination node I2C_AV_Config:u10|mI2C_CTRL_CLK~2
3686
Info: Automatically promoted node AUDIO_DAC:u11|LRCK_4X
3687
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3688
    Info: Following destination nodes may be non-global or may not use global or regional clocks
3689
        Info: Destination node AUDIO_DAC:u11|LRCK_4X~0
3690
Info: Automatically promoted node USB_JTAG:u1|mTCK
3691
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3692
Info: Automatically promoted node AUDIO_DAC:u11|LRCK_2X
3693
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3694
    Info: Following destination nodes may be non-global or may not use global or regional clocks
3695
        Info: Destination node AUDIO_DAC:u11|LRCK_2X~0
3696
Info: Automatically promoted node AUDIO_DAC:u11|LRCK_1X
3697
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3698
    Info: Following destination nodes may be non-global or may not use global or regional clocks
3699
        Info: Destination node AUD_DACLRCK
3700
        Info: Destination node AUD_ADCLRCK
3701
        Info: Destination node AUDIO_DAC:u11|LRCK_1X~0
3702
Info: Automatically promoted node AUDIO_DAC:u11|oAUD_BCK
3703
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3704
    Info: Following destination nodes may be non-global or may not use global or regional clocks
3705
        Info: Destination node AUD_BCLK
3706
        Info: Destination node AUDIO_DAC:u11|oAUD_BCK~0
3707
Info: Automatically promoted node Reset_Delay:d0|oRESET
3708
    Info: Automatically promoted destinations to use location or clock signal Global Clock
3709
Info: Starting register packing
3710
Extra Info: Performing register packing on registers with non-logic cell location assignments
3711
Extra Info: Completed register packing on registers with non-logic cell location assignments
3712
Extra Info: Started Fast Input/Output/OE register processing
3713
Info: Ignoring invalid fast I/O register assignments
3714
Extra Info: Finished Fast Input/Output/OE register processing
3715
Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
3716
Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
3717
Info: Finished register packing
3718
    Extra Info: Packed 1 registers into blocks of type I/O
3719
Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
3720
    Info: Number of I/O pins in group: 5 (unused VREF, 3.3V VCCIO, 0 input, 2 output, 3 bidirectional)
3721
        Info: I/O standards used: 3.3-V LVTTL.
3722
Info: I/O bank details before I/O pin placement
3723
    Info: Statistics of I/O banks
3724
        Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 40 total pin(s) used --  1 pins available
3725
        Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 32 total pin(s) used --  1 pins available
3726
        Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 28 total pin(s) used --  15 pins available
3727
        Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used --  2 pins available
3728
        Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used --  3 pins available
3729
        Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used --  5 pins available
3730
        Info: I/O bank number 7 does not use VREF pins and has 3.3V VCCIO pins. 35 total pin(s) used --  5 pins available
3731
        Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used --  2 pins available
3732
Warning: PLL "VGA_Audio_PLL:p1|altpll:altpll_component|pll" output port clk[1] feeds output pin "AUD_XCK" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
3733
Info: Starting physical synthesis optimizations for speed
3734
Info: Starting physical synthesis algorithm automatic asynchronous signal pipelining
3735
Info: Automatic asynchronous signal pipelining - Evaluation Phase
3736
    Info: Asynchronous signal |CII_Starter_USB_API|KEY[0]
3737
        Info: Signal not critical. No action is required
3738
    Info: Asynchronous signal |CII_Starter_USB_API|Reset_Delay:d0|oRESET~clkctrl
3739
        Info: Signal is critical
3740
        Info: The signal will be pipelined in the Execution Phase
3741
    Info: Asynchronous signal |CII_Starter_USB_API|TCS~clkctrl
3742
        Info: Signal not critical. No action is required
3743
    Info: Found 3 asynchronous signals of which 1 will be pipelined
3744
Info: Physical synthesis algorithm automatic asynchronous signal pipelining complete
3745
Info: Starting physical synthesis algorithm register retiming
3746
Info: Physical synthesis algorithm register retiming complete: estimated slack improvement of 2999 ps
3747
Info: Starting physical synthesis algorithm combinational resynthesis using boolean division
3748
Info: Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 410 ps
3749
Info: Starting physical synthesis algorithm register retiming
3750
Info: Physical synthesis algorithm register retiming complete: estimated slack improvement of 0 ps
3751
Info: Physical synthesis optimizations for speed complete: elapsed cpu time is 00:00:18
3752
Info: Fitter preparation operations ending: elapsed time is 00:00:24
3753
Info: Fitter placement preparation operations beginning
3754
Info: Fitter placement preparation operations ending: elapsed time is 00:00:01
3755
Info: Fitter placement operations beginning
3756
Info: Fitter placement was successful
3757
Info: Fitter placement operations ending: elapsed time is 00:00:05
3758
Info: Starting physical synthesis optimizations for speed
3759
Info: Starting physical synthesis algorithm automatic asynchronous signal pipelining
3760
Info: Automatic asynchronous signal pipelining - Execution Phase
3761
    Info: Pipelining signal |CII_Starter_USB_API|Reset_Delay:d0|oRESET
3762
        Info: Register reach: 25
3763
        Warning: Automatic asynchronous signal pipelining is demoting global signal |CII_Starter_USB_API|Reset_Delay:d0|oRESET~clkctrl
3764
        Info: Inserted 5 registers on 3 stages
3765
Info: Physical synthesis algorithm automatic asynchronous signal pipelining complete
3766
Info: Starting physical synthesis algorithm register retiming
3767
Info: Physical synthesis algorithm register retiming complete: estimated slack improvement of 92 ps
3768
Info: Starting physical synthesis algorithm combinational resynthesis using boolean division
3769
Info: Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps
3770
Info: Starting physical synthesis algorithm logic and register replication
3771
Info: Physical synthesis algorithm logic and register replication complete: estimated slack improvement of 0 ps
3772
Info: Starting physical synthesis algorithm fanout splitting
3773
Info: Physical synthesis algorithm fanout splitting complete: estimated slack improvement of 0 ps
3774
Info: Physical synthesis optimizations for speed complete: elapsed cpu time is 00:00:43
3775
Info: Fitter routing operations beginning
3776
Info: Average interconnect usage is 7% of the available device resources
3777
    Info: Peak interconnect usage is 12% of the available device resources in the region that extends from location X25_Y0 to location X37_Y13
3778
Info: Fitter routing operations ending: elapsed time is 00:00:06
3779
Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
3780
    Info: Optimizations that may affect the design's routability were skipped
3781
    Info: Optimizations that may affect the design's timing were skipped
3782
Info: Started post-fitting delay annotation
3783
Warning: Found 256 output pins without output pin load capacitance assignment
3784
    Info: Pin "HEX0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3785
    Info: Pin "HEX0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3786
    Info: Pin "HEX0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3787
    Info: Pin "HEX0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3788
    Info: Pin "HEX0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3789
    Info: Pin "HEX0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3790
    Info: Pin "HEX0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3791
    Info: Pin "HEX1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3792
    Info: Pin "HEX1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3793
    Info: Pin "HEX1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3794
    Info: Pin "HEX1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3795
    Info: Pin "HEX1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3796
    Info: Pin "HEX1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3797
    Info: Pin "HEX1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3798
    Info: Pin "HEX2[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3799
    Info: Pin "HEX2[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3800
    Info: Pin "HEX2[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3801
    Info: Pin "HEX2[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3802
    Info: Pin "HEX2[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3803
    Info: Pin "HEX2[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3804
    Info: Pin "HEX2[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3805
    Info: Pin "HEX3[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3806
    Info: Pin "HEX3[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3807
    Info: Pin "HEX3[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3808
    Info: Pin "HEX3[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3809
    Info: Pin "HEX3[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3810
    Info: Pin "HEX3[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3811
    Info: Pin "HEX3[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3812
    Info: Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3813
    Info: Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3814
    Info: Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3815
    Info: Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3816
    Info: Pin "LEDG[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3817
    Info: Pin "LEDG[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3818
    Info: Pin "LEDG[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3819
    Info: Pin "LEDG[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3820
    Info: Pin "LEDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3821
    Info: Pin "LEDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3822
    Info: Pin "LEDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3823
    Info: Pin "LEDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3824
    Info: Pin "LEDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3825
    Info: Pin "LEDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3826
    Info: Pin "LEDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3827
    Info: Pin "LEDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3828
    Info: Pin "LEDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3829
    Info: Pin "LEDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3830
    Info: Pin "UART_TXD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3831
    Info: Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3832
    Info: Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3833
    Info: Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3834
    Info: Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3835
    Info: Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3836
    Info: Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3837
    Info: Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3838
    Info: Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3839
    Info: Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3840
    Info: Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3841
    Info: Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3842
    Info: Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3843
    Info: Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3844
    Info: Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3845
    Info: Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3846
    Info: Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3847
    Info: Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3848
    Info: Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3849
    Info: Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3850
    Info: Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3851
    Info: Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3852
    Info: Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3853
    Info: Pin "FL_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3854
    Info: Pin "FL_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3855
    Info: Pin "FL_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3856
    Info: Pin "FL_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3857
    Info: Pin "FL_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3858
    Info: Pin "FL_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3859
    Info: Pin "FL_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3860
    Info: Pin "FL_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3861
    Info: Pin "FL_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3862
    Info: Pin "FL_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3863
    Info: Pin "FL_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3864
    Info: Pin "FL_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3865
    Info: Pin "FL_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3866
    Info: Pin "FL_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3867
    Info: Pin "FL_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3868
    Info: Pin "FL_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3869
    Info: Pin "FL_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3870
    Info: Pin "FL_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3871
    Info: Pin "FL_ADDR[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3872
    Info: Pin "FL_ADDR[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3873
    Info: Pin "FL_ADDR[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3874
    Info: Pin "FL_ADDR[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3875
    Info: Pin "FL_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3876
    Info: Pin "FL_RST_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3877
    Info: Pin "FL_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3878
    Info: Pin "FL_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3879
    Info: Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3880
    Info: Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3881
    Info: Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3882
    Info: Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3883
    Info: Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3884
    Info: Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3885
    Info: Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3886
    Info: Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3887
    Info: Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3888
    Info: Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3889
    Info: Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3890
    Info: Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3891
    Info: Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3892
    Info: Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3893
    Info: Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3894
    Info: Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3895
    Info: Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3896
    Info: Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3897
    Info: Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3898
    Info: Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3899
    Info: Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3900
    Info: Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3901
    Info: Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3902
    Info: Pin "SD_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3903
    Info: Pin "TDO" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3904
    Info: Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3905
    Info: Pin "VGA_HS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3906
    Info: Pin "VGA_VS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3907
    Info: Pin "VGA_R[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3908
    Info: Pin "VGA_R[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3909
    Info: Pin "VGA_R[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3910
    Info: Pin "VGA_R[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3911
    Info: Pin "VGA_G[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3912
    Info: Pin "VGA_G[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3913
    Info: Pin "VGA_G[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3914
    Info: Pin "VGA_G[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3915
    Info: Pin "VGA_B[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3916
    Info: Pin "VGA_B[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3917
    Info: Pin "VGA_B[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3918
    Info: Pin "VGA_B[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3919
    Info: Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3920
    Info: Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3921
    Info: Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3922
    Info: Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3923
    Info: Pin "SD_DAT3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3924
    Info: Pin "SD_CMD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3925
    Info: Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3926
    Info: Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3927
    Info: Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3928
    Info: Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3929
    Info: Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3930
    Info: Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3931
    Info: Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3932
    Info: Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3933
    Info: Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3934
    Info: Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3935
    Info: Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3936
    Info: Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3937
    Info: Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3938
    Info: Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3939
    Info: Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3940
    Info: Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3941
    Info: Pin "FL_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3942
    Info: Pin "FL_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3943
    Info: Pin "FL_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3944
    Info: Pin "FL_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3945
    Info: Pin "FL_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3946
    Info: Pin "FL_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3947
    Info: Pin "FL_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3948
    Info: Pin "FL_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3949
    Info: Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3950
    Info: Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3951
    Info: Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3952
    Info: Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3953
    Info: Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3954
    Info: Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3955
    Info: Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3956
    Info: Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3957
    Info: Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3958
    Info: Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3959
    Info: Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3960
    Info: Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3961
    Info: Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3962
    Info: Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3963
    Info: Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3964
    Info: Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3965
    Info: Pin "SD_DAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3966
    Info: Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3967
    Info: Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3968
    Info: Pin "GPIO_0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3969
    Info: Pin "GPIO_0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3970
    Info: Pin "GPIO_0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3971
    Info: Pin "GPIO_0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3972
    Info: Pin "GPIO_0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3973
    Info: Pin "GPIO_0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3974
    Info: Pin "GPIO_0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3975
    Info: Pin "GPIO_0[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3976
    Info: Pin "GPIO_0[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3977
    Info: Pin "GPIO_0[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3978
    Info: Pin "GPIO_0[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3979
    Info: Pin "GPIO_0[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3980
    Info: Pin "GPIO_0[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3981
    Info: Pin "GPIO_0[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3982
    Info: Pin "GPIO_0[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3983
    Info: Pin "GPIO_0[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3984
    Info: Pin "GPIO_0[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3985
    Info: Pin "GPIO_0[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3986
    Info: Pin "GPIO_0[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3987
    Info: Pin "GPIO_0[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3988
    Info: Pin "GPIO_0[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3989
    Info: Pin "GPIO_0[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3990
    Info: Pin "GPIO_0[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3991
    Info: Pin "GPIO_0[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3992
    Info: Pin "GPIO_0[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3993
    Info: Pin "GPIO_0[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3994
    Info: Pin "GPIO_0[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3995
    Info: Pin "GPIO_0[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3996
    Info: Pin "GPIO_0[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3997
    Info: Pin "GPIO_0[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3998
    Info: Pin "GPIO_0[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
3999
    Info: Pin "GPIO_0[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4000
    Info: Pin "GPIO_0[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4001
    Info: Pin "GPIO_0[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4002
    Info: Pin "GPIO_0[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4003
    Info: Pin "GPIO_0[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4004
    Info: Pin "GPIO_1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4005
    Info: Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4006
    Info: Pin "GPIO_1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4007
    Info: Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4008
    Info: Pin "GPIO_1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4009
    Info: Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4010
    Info: Pin "GPIO_1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4011
    Info: Pin "GPIO_1[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4012
    Info: Pin "GPIO_1[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4013
    Info: Pin "GPIO_1[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4014
    Info: Pin "GPIO_1[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4015
    Info: Pin "GPIO_1[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4016
    Info: Pin "GPIO_1[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4017
    Info: Pin "GPIO_1[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4018
    Info: Pin "GPIO_1[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4019
    Info: Pin "GPIO_1[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4020
    Info: Pin "GPIO_1[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4021
    Info: Pin "GPIO_1[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4022
    Info: Pin "GPIO_1[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4023
    Info: Pin "GPIO_1[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4024
    Info: Pin "GPIO_1[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4025
    Info: Pin "GPIO_1[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4026
    Info: Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4027
    Info: Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4028
    Info: Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4029
    Info: Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4030
    Info: Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4031
    Info: Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4032
    Info: Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4033
    Info: Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4034
    Info: Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4035
    Info: Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4036
    Info: Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4037
    Info: Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4038
    Info: Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4039
    Info: Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
4040
Info: Delay annotation completed successfully
4041
Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
4042
Warning: Following 76 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
4043
    Info: Pin SD_DAT3 has a permanently disabled output enable
4044
    Info: Pin SD_CMD has a permanently disabled output enable
4045
    Info: Pin SD_DAT has a permanently disabled output enable
4046
    Info: Pin AUD_BCLK has a permanently enabled output enable
4047
    Info: Pin GPIO_0[0] has a permanently disabled output enable
4048
    Info: Pin GPIO_0[1] has a permanently disabled output enable
4049
    Info: Pin GPIO_0[2] has a permanently disabled output enable
4050
    Info: Pin GPIO_0[3] has a permanently disabled output enable
4051
    Info: Pin GPIO_0[4] has a permanently disabled output enable
4052
    Info: Pin GPIO_0[5] has a permanently disabled output enable
4053
    Info: Pin GPIO_0[6] has a permanently disabled output enable
4054
    Info: Pin GPIO_0[7] has a permanently disabled output enable
4055
    Info: Pin GPIO_0[8] has a permanently disabled output enable
4056
    Info: Pin GPIO_0[9] has a permanently disabled output enable
4057
    Info: Pin GPIO_0[10] has a permanently disabled output enable
4058
    Info: Pin GPIO_0[11] has a permanently disabled output enable
4059
    Info: Pin GPIO_0[12] has a permanently disabled output enable
4060
    Info: Pin GPIO_0[13] has a permanently disabled output enable
4061
    Info: Pin GPIO_0[14] has a permanently disabled output enable
4062
    Info: Pin GPIO_0[15] has a permanently disabled output enable
4063
    Info: Pin GPIO_0[16] has a permanently disabled output enable
4064
    Info: Pin GPIO_0[17] has a permanently disabled output enable
4065
    Info: Pin GPIO_0[18] has a permanently disabled output enable
4066
    Info: Pin GPIO_0[19] has a permanently disabled output enable
4067
    Info: Pin GPIO_0[20] has a permanently disabled output enable
4068
    Info: Pin GPIO_0[21] has a permanently disabled output enable
4069
    Info: Pin GPIO_0[22] has a permanently disabled output enable
4070
    Info: Pin GPIO_0[23] has a permanently disabled output enable
4071
    Info: Pin GPIO_0[24] has a permanently disabled output enable
4072
    Info: Pin GPIO_0[25] has a permanently disabled output enable
4073
    Info: Pin GPIO_0[26] has a permanently disabled output enable
4074
    Info: Pin GPIO_0[27] has a permanently disabled output enable
4075
    Info: Pin GPIO_0[28] has a permanently disabled output enable
4076
    Info: Pin GPIO_0[29] has a permanently disabled output enable
4077
    Info: Pin GPIO_0[30] has a permanently disabled output enable
4078
    Info: Pin GPIO_0[31] has a permanently disabled output enable
4079
    Info: Pin GPIO_0[32] has a permanently disabled output enable
4080
    Info: Pin GPIO_0[33] has a permanently disabled output enable
4081
    Info: Pin GPIO_0[34] has a permanently disabled output enable
4082
    Info: Pin GPIO_0[35] has a permanently disabled output enable
4083
    Info: Pin GPIO_1[0] has a permanently disabled output enable
4084
    Info: Pin GPIO_1[1] has a permanently disabled output enable
4085
    Info: Pin GPIO_1[2] has a permanently disabled output enable
4086
    Info: Pin GPIO_1[3] has a permanently disabled output enable
4087
    Info: Pin GPIO_1[4] has a permanently disabled output enable
4088
    Info: Pin GPIO_1[5] has a permanently disabled output enable
4089
    Info: Pin GPIO_1[6] has a permanently disabled output enable
4090
    Info: Pin GPIO_1[7] has a permanently disabled output enable
4091
    Info: Pin GPIO_1[8] has a permanently disabled output enable
4092
    Info: Pin GPIO_1[9] has a permanently disabled output enable
4093
    Info: Pin GPIO_1[10] has a permanently disabled output enable
4094
    Info: Pin GPIO_1[11] has a permanently disabled output enable
4095
    Info: Pin GPIO_1[12] has a permanently disabled output enable
4096
    Info: Pin GPIO_1[13] has a permanently disabled output enable
4097
    Info: Pin GPIO_1[14] has a permanently disabled output enable
4098
    Info: Pin GPIO_1[15] has a permanently disabled output enable
4099
    Info: Pin GPIO_1[16] has a permanently disabled output enable
4100
    Info: Pin GPIO_1[17] has a permanently disabled output enable
4101
    Info: Pin GPIO_1[18] has a permanently disabled output enable
4102
    Info: Pin GPIO_1[19] has a permanently disabled output enable
4103
    Info: Pin GPIO_1[20] has a permanently disabled output enable
4104
    Info: Pin GPIO_1[21] has a permanently disabled output enable
4105
    Info: Pin GPIO_1[22] has a permanently disabled output enable
4106
    Info: Pin GPIO_1[23] has a permanently disabled output enable
4107
    Info: Pin GPIO_1[24] has a permanently disabled output enable
4108
    Info: Pin GPIO_1[25] has a permanently disabled output enable
4109
    Info: Pin GPIO_1[26] has a permanently disabled output enable
4110
    Info: Pin GPIO_1[27] has a permanently disabled output enable
4111
    Info: Pin GPIO_1[28] has a permanently disabled output enable
4112
    Info: Pin GPIO_1[29] has a permanently disabled output enable
4113
    Info: Pin GPIO_1[30] has a permanently disabled output enable
4114
    Info: Pin GPIO_1[31] has a permanently disabled output enable
4115
    Info: Pin GPIO_1[32] has a permanently disabled output enable
4116
    Info: Pin GPIO_1[33] has a permanently disabled output enable
4117
    Info: Pin GPIO_1[34] has a permanently disabled output enable
4118
    Info: Pin GPIO_1[35] has a permanently disabled output enable
4119
Warning: Following 81 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results
4120
    Info: Pin UART_TXD has GND driving its datain port
4121
    Info: Pin FL_RST_N has VCC driving its datain port
4122
    Info: Pin SRAM_UB_N has GND driving its datain port
4123
    Info: Pin SRAM_LB_N has GND driving its datain port
4124
    Info: Pin SRAM_CE_N has GND driving its datain port
4125
    Info: Pin SD_CLK has GND driving its datain port
4126
    Info: Pin SD_DAT3 has VCC driving its datain port
4127
    Info: Pin SD_CMD has VCC driving its datain port
4128
    Info: Pin SD_DAT has VCC driving its datain port
4129
    Info: Pin GPIO_0[0] has VCC driving its datain port
4130
    Info: Pin GPIO_0[1] has VCC driving its datain port
4131
    Info: Pin GPIO_0[2] has VCC driving its datain port
4132
    Info: Pin GPIO_0[3] has VCC driving its datain port
4133
    Info: Pin GPIO_0[4] has VCC driving its datain port
4134
    Info: Pin GPIO_0[5] has VCC driving its datain port
4135
    Info: Pin GPIO_0[6] has VCC driving its datain port
4136
    Info: Pin GPIO_0[7] has VCC driving its datain port
4137
    Info: Pin GPIO_0[8] has VCC driving its datain port
4138
    Info: Pin GPIO_0[9] has VCC driving its datain port
4139
    Info: Pin GPIO_0[10] has VCC driving its datain port
4140
    Info: Pin GPIO_0[11] has VCC driving its datain port
4141
    Info: Pin GPIO_0[12] has VCC driving its datain port
4142
    Info: Pin GPIO_0[13] has VCC driving its datain port
4143
    Info: Pin GPIO_0[14] has VCC driving its datain port
4144
    Info: Pin GPIO_0[15] has VCC driving its datain port
4145
    Info: Pin GPIO_0[16] has VCC driving its datain port
4146
    Info: Pin GPIO_0[17] has VCC driving its datain port
4147
    Info: Pin GPIO_0[18] has VCC driving its datain port
4148
    Info: Pin GPIO_0[19] has VCC driving its datain port
4149
    Info: Pin GPIO_0[20] has VCC driving its datain port
4150
    Info: Pin GPIO_0[21] has VCC driving its datain port
4151
    Info: Pin GPIO_0[22] has VCC driving its datain port
4152
    Info: Pin GPIO_0[23] has VCC driving its datain port
4153
    Info: Pin GPIO_0[24] has VCC driving its datain port
4154
    Info: Pin GPIO_0[25] has VCC driving its datain port
4155
    Info: Pin GPIO_0[26] has VCC driving its datain port
4156
    Info: Pin GPIO_0[27] has VCC driving its datain port
4157
    Info: Pin GPIO_0[28] has VCC driving its datain port
4158
    Info: Pin GPIO_0[29] has VCC driving its datain port
4159
    Info: Pin GPIO_0[30] has VCC driving its datain port
4160
    Info: Pin GPIO_0[31] has VCC driving its datain port
4161
    Info: Pin GPIO_0[32] has VCC driving its datain port
4162
    Info: Pin GPIO_0[33] has VCC driving its datain port
4163
    Info: Pin GPIO_0[34] has VCC driving its datain port
4164
    Info: Pin GPIO_0[35] has VCC driving its datain port
4165
    Info: Pin GPIO_1[0] has VCC driving its datain port
4166
    Info: Pin GPIO_1[1] has VCC driving its datain port
4167
    Info: Pin GPIO_1[2] has VCC driving its datain port
4168
    Info: Pin GPIO_1[3] has VCC driving its datain port
4169
    Info: Pin GPIO_1[4] has VCC driving its datain port
4170
    Info: Pin GPIO_1[5] has VCC driving its datain port
4171
    Info: Pin GPIO_1[6] has VCC driving its datain port
4172
    Info: Pin GPIO_1[7] has VCC driving its datain port
4173
    Info: Pin GPIO_1[8] has VCC driving its datain port
4174
    Info: Pin GPIO_1[9] has VCC driving its datain port
4175
    Info: Pin GPIO_1[10] has VCC driving its datain port
4176
    Info: Pin GPIO_1[11] has VCC driving its datain port
4177
    Info: Pin GPIO_1[12] has VCC driving its datain port
4178
    Info: Pin GPIO_1[13] has VCC driving its datain port
4179
    Info: Pin GPIO_1[14] has VCC driving its datain port
4180
    Info: Pin GPIO_1[15] has VCC driving its datain port
4181
    Info: Pin GPIO_1[16] has VCC driving its datain port
4182
    Info: Pin GPIO_1[17] has VCC driving its datain port
4183
    Info: Pin GPIO_1[18] has VCC driving its datain port
4184
    Info: Pin GPIO_1[19] has VCC driving its datain port
4185
    Info: Pin GPIO_1[20] has VCC driving its datain port
4186
    Info: Pin GPIO_1[21] has VCC driving its datain port
4187
    Info: Pin GPIO_1[22] has VCC driving its datain port
4188
    Info: Pin GPIO_1[23] has VCC driving its datain port
4189
    Info: Pin GPIO_1[24] has VCC driving its datain port
4190
    Info: Pin GPIO_1[25] has VCC driving its datain port
4191
    Info: Pin GPIO_1[26] has VCC driving its datain port
4192
    Info: Pin GPIO_1[27] has VCC driving its datain port
4193
    Info: Pin GPIO_1[28] has VCC driving its datain port
4194
    Info: Pin GPIO_1[29] has VCC driving its datain port
4195
    Info: Pin GPIO_1[30] has VCC driving its datain port
4196
    Info: Pin GPIO_1[31] has VCC driving its datain port
4197
    Info: Pin GPIO_1[32] has VCC driving its datain port
4198
    Info: Pin GPIO_1[33] has VCC driving its datain port
4199
    Info: Pin GPIO_1[34] has VCC driving its datain port
4200
    Info: Pin GPIO_1[35] has VCC driving its datain port
4201
Info: Following groups of pins have the same output enable
4202
    Info: Following pins have the same output enable: Multi_Sdram:u3|Sdram_Controller:u1|command:command1|OE
4203
        Info: Type bi-directional pin DRAM_DQ[2] uses the 3.3-V LVTTL I/O standard
4204
        Info: Type bi-directional pin DRAM_DQ[9] uses the 3.3-V LVTTL I/O standard
4205
        Info: Type bi-directional pin DRAM_DQ[0] uses the 3.3-V LVTTL I/O standard
4206
        Info: Type bi-directional pin DRAM_DQ[7] uses the 3.3-V LVTTL I/O standard
4207
        Info: Type bi-directional pin DRAM_DQ[15] uses the 3.3-V LVTTL I/O standard
4208
        Info: Type bi-directional pin DRAM_DQ[6] uses the 3.3-V LVTTL I/O standard
4209
        Info: Type bi-directional pin DRAM_DQ[14] uses the 3.3-V LVTTL I/O standard
4210
        Info: Type bi-directional pin DRAM_DQ[5] uses the 3.3-V LVTTL I/O standard
4211
        Info: Type bi-directional pin DRAM_DQ[13] uses the 3.3-V LVTTL I/O standard
4212
        Info: Type bi-directional pin DRAM_DQ[4] uses the 3.3-V LVTTL I/O standard
4213
        Info: Type bi-directional pin DRAM_DQ[12] uses the 3.3-V LVTTL I/O standard
4214
        Info: Type bi-directional pin DRAM_DQ[3] uses the 3.3-V LVTTL I/O standard
4215
        Info: Type bi-directional pin DRAM_DQ[11] uses the 3.3-V LVTTL I/O standard
4216
        Info: Type bi-directional pin DRAM_DQ[10] uses the 3.3-V LVTTL I/O standard
4217
        Info: Type bi-directional pin DRAM_DQ[1] uses the 3.3-V LVTTL I/O standard
4218
        Info: Type bi-directional pin DRAM_DQ[8] uses the 3.3-V LVTTL I/O standard
4219
    Info: Following pins have the same output enable: Multi_Flash:u2|Flash_Controller:u1|ST.READ (inverted)
4220
        Info: Type bi-directional pin FL_DQ[2] uses the 3.3-V LVTTL I/O standard
4221
        Info: Type bi-directional pin FL_DQ[0] uses the 3.3-V LVTTL I/O standard
4222
        Info: Type bi-directional pin FL_DQ[7] uses the 3.3-V LVTTL I/O standard
4223
        Info: Type bi-directional pin FL_DQ[6] uses the 3.3-V LVTTL I/O standard
4224
        Info: Type bi-directional pin FL_DQ[5] uses the 3.3-V LVTTL I/O standard
4225
        Info: Type bi-directional pin FL_DQ[4] uses the 3.3-V LVTTL I/O standard
4226
        Info: Type bi-directional pin FL_DQ[3] uses the 3.3-V LVTTL I/O standard
4227
        Info: Type bi-directional pin FL_DQ[1] uses the 3.3-V LVTTL I/O standard
4228
    Info: Following pins have the same output enable: Multi_Sram:u6|SRAM_WE_N~3
4229
        Info: Type bi-directional pin SRAM_DQ[10] uses the 3.3-V LVTTL I/O standard
4230
        Info: Type bi-directional pin SRAM_DQ[1] uses the 3.3-V LVTTL I/O standard
4231
        Info: Type bi-directional pin SRAM_DQ[8] uses the 3.3-V LVTTL I/O standard
4232
        Info: Type bi-directional pin SRAM_DQ[7] uses the 3.3-V LVTTL I/O standard
4233
        Info: Type bi-directional pin SRAM_DQ[15] uses the 3.3-V LVTTL I/O standard
4234
        Info: Type bi-directional pin SRAM_DQ[6] uses the 3.3-V LVTTL I/O standard
4235
        Info: Type bi-directional pin SRAM_DQ[14] uses the 3.3-V LVTTL I/O standard
4236
        Info: Type bi-directional pin SRAM_DQ[5] uses the 3.3-V LVTTL I/O standard
4237
        Info: Type bi-directional pin SRAM_DQ[13] uses the 3.3-V LVTTL I/O standard
4238
        Info: Type bi-directional pin SRAM_DQ[4] uses the 3.3-V LVTTL I/O standard
4239
        Info: Type bi-directional pin SRAM_DQ[12] uses the 3.3-V LVTTL I/O standard
4240
        Info: Type bi-directional pin SRAM_DQ[3] uses the 3.3-V LVTTL I/O standard
4241
        Info: Type bi-directional pin SRAM_DQ[11] uses the 3.3-V LVTTL I/O standard
4242
        Info: Type bi-directional pin SRAM_DQ[2] uses the 3.3-V LVTTL I/O standard
4243
        Info: Type bi-directional pin SRAM_DQ[9] uses the 3.3-V LVTTL I/O standard
4244
        Info: Type bi-directional pin SRAM_DQ[0] uses the 3.3-V LVTTL I/O standard
4245
    Info: Following pins have the same output enable: I2C_AV_Config:u10|I2C_Controller:u0|SDO
4246
        Info: Type bi-directional pin I2C_SDAT uses the 3.3-V LVTTL I/O standard
4247
Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
4248
Info: Quartus II Fitter was successful. 0 errors, 60 warnings
4249
    Info: Peak virtual memory: 252 megabytes
4250
    Info: Processing ended: Sun Oct 11 12:25:00 2009
4251
    Info: Elapsed time: 00:01:38
4252
    Info: Total CPU time (on all processors): 00:01:31
4253
 
4254
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.