OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.map.rpt] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
Analysis & Synthesis report for CII_Starter_USB_API
2
Sun Oct 11 12:23:17 2009
3
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Analysis & Synthesis Summary
11
  3. Analysis & Synthesis Settings
12
  4. Analysis & Synthesis Source Files Read
13
  5. Analysis & Synthesis Resource Usage Summary
14
  6. Analysis & Synthesis Resource Utilization by Entity
15
  7. Analysis & Synthesis RAM Summary
16
  8. State Machine - |CII_Starter_USB_API|I2C_AV_Config:u10|mSetup_ST
17
  9. State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mSR_ST
18
 10. State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mSDR_ST
19
 11. State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mPS2_ST
20
 12. State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mFL_ST
21
 13. State Machine - |CII_Starter_USB_API|ps2_keyboard:u4|m1_state
22
 14. State Machine - |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Multiplexer:u0|ST
23
 15. State Machine - |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|ST
24
 16. State Machine - |CII_Starter_USB_API|Multi_Flash:u2|Flash_Multiplexer:u0|ST
25
 17. Registers Removed During Synthesis
26
 18. Removed Registers Triggering Further Register Optimizations
27
 19. General Register Statistics
28
 20. Inverted Register Statistics
29
 21. Gate-level Retiming
30
 22. Multiplexer Restructuring Statistics (Restructuring Performed)
31
 23. Source assignments for VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated
32
 24. Source assignments for VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1
33
 25. Parameter Settings for User Entity Instance: Multi_Flash:u2|Flash_Controller:u1
34
 26. Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1
35
 27. Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component
36
 28. Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1
37
 29. Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|command:command1
38
 30. Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1
39
 31. Parameter Settings for User Entity Instance: ps2_keyboard:u4
40
 32. Parameter Settings for User Entity Instance: CMD_Decode:u5
41
 33. Parameter Settings for User Entity Instance: VGA_Audio_PLL:p1|altpll:altpll_component
42
 34. Parameter Settings for User Entity Instance: VGA_Controller:u8
43
 35. Parameter Settings for User Entity Instance: VGA_OSD_RAM:u9
44
 36. Parameter Settings for User Entity Instance: VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component
45
 37. Parameter Settings for User Entity Instance: I2C_AV_Config:u10
46
 38. Parameter Settings for User Entity Instance: AUDIO_DAC:u11
47
 39. altpll Parameter Settings by Entity Instance
48
 40. altsyncram Parameter Settings by Entity Instance
49
 41. Port Connectivity Checks: "AUDIO_DAC:u11"
50
 42. Port Connectivity Checks: "I2C_AV_Config:u10|I2C_Controller:u0"
51
 43. Port Connectivity Checks: "VGA_OSD_RAM:u9|Img_RAM:u0"
52
 44. Port Connectivity Checks: "VGA_OSD_RAM:u9"
53
 45. Port Connectivity Checks: "VGA_Controller:u8"
54
 46. Port Connectivity Checks: "Multi_Sram:u6"
55
 47. Port Connectivity Checks: "CMD_Decode:u5"
56
 48. Port Connectivity Checks: "ps2_keyboard:u4"
57
 49. Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1"
58
 50. Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1"
59
 51. Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1"
60
 52. Port Connectivity Checks: "Multi_Sdram:u3"
61
 53. Port Connectivity Checks: "Multi_Flash:u2"
62
 54. Port Connectivity Checks: "SEG7_LUT_4:u0"
63
 55. Port Connectivity Checks: "CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component"
64
 56. Analysis & Synthesis Messages
65
 
66
 
67
 
68
----------------
69
; Legal Notice ;
70
----------------
71
Copyright (C) 1991-2009 Altera Corporation
72
Your use of Altera Corporation's design tools, logic functions
73
and other software and tools, and its AMPP partner logic
74
functions, and any output files from any of the foregoing
75
(including device programming or simulation files), and any
76
associated documentation or information are expressly subject
77
to the terms and conditions of the Altera Program License
78
Subscription Agreement, Altera MegaCore Function License
79
Agreement, or other applicable license agreement, including,
80
without limitation, that your use is for the sole purpose of
81
programming logic devices manufactured by Altera and sold by
82
Altera or its authorized distributors.  Please refer to the
83
applicable agreement for further details.
84
 
85
 
86
 
87
+-----------------------------------------------------------------------------------+
88
; Analysis & Synthesis Summary                                                      ;
89
+------------------------------------+----------------------------------------------+
90
; Analysis & Synthesis Status        ; Successful - Sun Oct 11 12:23:17 2009        ;
91
; Quartus II Version                 ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ;
92
; Revision Name                      ; CII_Starter_USB_API                          ;
93
; Top-level Entity Name              ; CII_Starter_USB_API                          ;
94
; Family                             ; Cyclone II                                   ;
95
; Total logic elements               ; 2,322                                        ;
96
;     Total combinational functions  ; 1,923                                        ;
97
;     Dedicated logic registers      ; 1,033                                        ;
98
; Total registers                    ; 1033                                         ;
99
; Total pins                         ; 283                                          ;
100
; Total virtual pins                 ; 0                                            ;
101
; Total memory bits                  ; 208,000                                      ;
102
; Embedded Multiplier 9-bit elements ; 0                                            ;
103
; Total PLLs                         ; 2                                            ;
104
+------------------------------------+----------------------------------------------+
105
 
106
 
107
+----------------------------------------------------------------------------------------------------------+
108
; Analysis & Synthesis Settings                                                                            ;
109
+--------------------------------------------------------------+---------------------+---------------------+
110
; Option                                                       ; Setting             ; Default Value       ;
111
+--------------------------------------------------------------+---------------------+---------------------+
112
; Device                                                       ; EP2C20F484C7        ;                     ;
113
; Top-level entity name                                        ; CII_Starter_USB_API ; CII_Starter_USB_API ;
114
; Family name                                                  ; Cyclone II          ; Stratix             ;
115
; Optimization Technique                                       ; Speed               ; Balanced            ;
116
; Perform WYSIWYG Primitive Resynthesis                        ; On                  ; Off                 ;
117
; Use smart compilation                                        ; Off                 ; Off                 ;
118
; Restructure Multiplexers                                     ; Auto                ; Auto                ;
119
; Create Debugging Nodes for IP Cores                          ; Off                 ; Off                 ;
120
; Preserve fewer node names                                    ; On                  ; On                  ;
121
; Disable OpenCore Plus hardware evaluation                    ; Off                 ; Off                 ;
122
; Verilog Version                                              ; Verilog_2001        ; Verilog_2001        ;
123
; VHDL Version                                                 ; VHDL93              ; VHDL93              ;
124
; State Machine Processing                                     ; Auto                ; Auto                ;
125
; Safe State Machine                                           ; Off                 ; Off                 ;
126
; Extract Verilog State Machines                               ; On                  ; On                  ;
127
; Extract VHDL State Machines                                  ; On                  ; On                  ;
128
; Ignore Verilog initial constructs                            ; Off                 ; Off                 ;
129
; Iteration limit for constant Verilog loops                   ; 5000                ; 5000                ;
130
; Iteration limit for non-constant Verilog loops               ; 250                 ; 250                 ;
131
; Add Pass-Through Logic to Inferred RAMs                      ; On                  ; On                  ;
132
; Parallel Synthesis                                           ; Off                 ; Off                 ;
133
; DSP Block Balancing                                          ; Auto                ; Auto                ;
134
; Maximum DSP Block Usage                                      ; -1                  ; -1                  ;
135
; NOT Gate Push-Back                                           ; On                  ; On                  ;
136
; Power-Up Don't Care                                          ; On                  ; On                  ;
137
; Remove Redundant Logic Cells                                 ; Off                 ; Off                 ;
138
; Remove Duplicate Registers                                   ; On                  ; On                  ;
139
; Ignore CARRY Buffers                                         ; Off                 ; Off                 ;
140
; Ignore CASCADE Buffers                                       ; Off                 ; Off                 ;
141
; Ignore GLOBAL Buffers                                        ; Off                 ; Off                 ;
142
; Ignore ROW GLOBAL Buffers                                    ; Off                 ; Off                 ;
143
; Ignore LCELL Buffers                                         ; Off                 ; Off                 ;
144
; Ignore SOFT Buffers                                          ; On                  ; On                  ;
145
; Limit AHDL Integers to 32 Bits                               ; Off                 ; Off                 ;
146
; Carry Chain Length                                           ; 70                  ; 70                  ;
147
; Auto Carry Chains                                            ; On                  ; On                  ;
148
; Auto Open-Drain Pins                                         ; On                  ; On                  ;
149
; Auto ROM Replacement                                         ; On                  ; On                  ;
150
; Auto RAM Replacement                                         ; On                  ; On                  ;
151
; Auto Shift Register Replacement                              ; Auto                ; Auto                ;
152
; Auto Clock Enable Replacement                                ; On                  ; On                  ;
153
; Strict RAM Replacement                                       ; Off                 ; Off                 ;
154
; Allow Synchronous Control Signals                            ; On                  ; On                  ;
155
; Force Use of Synchronous Clear Signals                       ; Off                 ; Off                 ;
156
; Auto RAM to Logic Cell Conversion                            ; Off                 ; Off                 ;
157
; Auto Resource Sharing                                        ; Off                 ; Off                 ;
158
; Allow Any RAM Size For Recognition                           ; Off                 ; Off                 ;
159
; Allow Any ROM Size For Recognition                           ; Off                 ; Off                 ;
160
; Allow Any Shift Register Size For Recognition                ; Off                 ; Off                 ;
161
; Use LogicLock Constraints during Resource Balancing          ; On                  ; On                  ;
162
; Maximum Number of M4K/M9K Memory Blocks                      ; -1                  ; -1                  ;
163
; Ignore translate_off and synthesis_off directives            ; Off                 ; Off                 ;
164
; Timing-Driven Synthesis                                      ; Off                 ; Off                 ;
165
; Show Parameter Settings Tables in Synthesis Report           ; On                  ; On                  ;
166
; Ignore Maximum Fan-Out Assignments                           ; Off                 ; Off                 ;
167
; Synchronization Register Chain Length                        ; 2                   ; 2                   ;
168
; PowerPlay Power Optimization                                 ; Normal compilation  ; Normal compilation  ;
169
; HDL message level                                            ; Level2              ; Level2              ;
170
; Suppress Register Optimization Related Messages              ; Off                 ; Off                 ;
171
; Number of Removed Registers Reported in Synthesis Report     ; 100                 ; 100                 ;
172
; Number of Inverted Registers Reported in Synthesis Report    ; 100                 ; 100                 ;
173
; Clock MUX Protection                                         ; On                  ; On                  ;
174
; Auto Gated Clock Conversion                                  ; Off                 ; Off                 ;
175
; Block Design Naming                                          ; Auto                ; Auto                ;
176
; SDC constraint protection                                    ; Off                 ; Off                 ;
177
; Synthesis Effort                                             ; Auto                ; Auto                ;
178
; Shift Register Replacement - Allow Asynchronous Clear Signal ; On                  ; On                  ;
179
; Analysis & Synthesis Message Level                           ; Medium              ; Medium              ;
180
+--------------------------------------------------------------+---------------------+---------------------+
181
 
182
 
183
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
184
; Analysis & Synthesis Source Files Read                                                                                                                                                                ;
185
+----------------------------------+-----------------+----------------------------------------+---------------------------------------------------------------------------------------------------------+
186
; File Name with User-Entered Path ; Used in Netlist ; File Type                              ; File Name with Absolute Path                                                                            ;
187
+----------------------------------+-----------------+----------------------------------------+---------------------------------------------------------------------------------------------------------+
188
; VGA_Controller/Img_RAM.v         ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/VGA_Controller/Img_RAM.v        ;
189
; VGA_Controller/VGA_OSD_RAM.v     ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/VGA_Controller/VGA_OSD_RAM.v    ;
190
; VGA_Controller/VGA_Controller.v  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/VGA_Controller/VGA_Controller.v ;
191
; Multi_Sdram/command.v            ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/command.v           ;
192
; Multi_Sdram/control_interface.v  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/control_interface.v ;
193
; Multi_Sdram/Multi_Sdram.v        ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/Multi_Sdram.v       ;
194
; Multi_Sdram/PLL1.v               ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/PLL1.v              ;
195
; Multi_Sdram/sdr_data_path.v      ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/sdr_data_path.v     ;
196
; Multi_Sdram/Sdram_Controller.v   ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/Sdram_Controller.v  ;
197
; Multi_Sdram/Sdram_Multiplexer.v  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/Sdram_Multiplexer.v ;
198
; Multi_Flash/Flash_Controller.v   ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Flash/Flash_Controller.v  ;
199
; Multi_Flash/Flash_Multiplexer.v  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Flash/Flash_Multiplexer.v ;
200
; Multi_Flash/Multi_Flash.v        ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Flash/Multi_Flash.v       ;
201
; AUDIO_DAC.v                      ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/AUDIO_DAC.v                     ;
202
; CLK_LOCK.v                       ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/CLK_LOCK.v                      ;
203
; CMD_Decode.v                     ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/CMD_Decode.v                    ;
204
; CII_Starter_USB_API.v            ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/CII_Starter_USB_API.v           ;
205
; Flash_Command.h                  ; yes             ; User File                              ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Flash_Command.h                 ;
206
; I2C_AV_Config.v                  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/I2C_AV_Config.v                 ;
207
; I2C_Controller.v                 ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/I2C_Controller.v                ;
208
; Multi_Sram.v                     ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sram.v                    ;
209
; ps2_keyboard.v                   ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/ps2_keyboard.v                  ;
210
; Reset_Delay.v                    ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Reset_Delay.v                   ;
211
; RS232_Command.h                  ; yes             ; User File                              ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/RS232_Command.h                 ;
212
; SEG7_LUT.v                       ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/SEG7_LUT.v                      ;
213
; USB_JTAG.v                       ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/USB_JTAG.v                      ;
214
; VGA_Audio_PLL.v                  ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/VGA_Audio_PLL.v                 ;
215
; SEG7_LUT_4.v                     ; yes             ; User Verilog HDL File                  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/SEG7_LUT_4.v                    ;
216
; Multi_Sdram/Sdram_Params.h       ; yes             ; Auto-Found Unspecified File            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Multi_Sdram/Sdram_Params.h      ;
217
; VGA_Controller/VGA_Param.h       ; yes             ; Auto-Found Unspecified File            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/VGA_Controller/VGA_Param.h      ;
218
; altpll.tdf                       ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altpll.tdf                                              ;
219
; aglobal90.inc                    ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/aglobal90.inc                                           ;
220
; stratix_pll.inc                  ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/stratix_pll.inc                                         ;
221
; stratixii_pll.inc                ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/stratixii_pll.inc                                       ;
222
; cycloneii_pll.inc                ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/cycloneii_pll.inc                                       ;
223
; altsyncram.tdf                   ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altsyncram.tdf                                          ;
224
; stratix_ram_block.inc            ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/stratix_ram_block.inc                                   ;
225
; lpm_mux.inc                      ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/lpm_mux.inc                                             ;
226
; lpm_decode.inc                   ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/lpm_decode.inc                                          ;
227
; a_rdenreg.inc                    ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/a_rdenreg.inc                                           ;
228
; altrom.inc                       ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altrom.inc                                              ;
229
; altram.inc                       ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altram.inc                                              ;
230
; altdpram.inc                     ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altdpram.inc                                            ;
231
; altqpram.inc                     ; yes             ; Megafunction                           ; c:/altera/90sp2/quartus/libraries/megafunctions/altqpram.inc                                            ;
232
; db/altsyncram_f7o1.tdf           ; yes             ; Auto-Generated Megafunction            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/db/altsyncram_f7o1.tdf          ;
233
; db/altsyncram_e132.tdf           ; yes             ; Auto-Generated Megafunction            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/db/altsyncram_e132.tdf          ;
234
; Img_DATA.hex                     ; yes             ; Auto-Found Memory Initialization File  ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/Img_DATA.hex                    ;
235
; db/decode_qpa.tdf                ; yes             ; Auto-Generated Megafunction            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/db/decode_qpa.tdf               ;
236
; db/mux_akb.tdf                   ; yes             ; Auto-Generated Megafunction            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/db/mux_akb.tdf                  ;
237
; db/mux_3kb.tdf                   ; yes             ; Auto-Generated Megafunction            ; C:/altera/Examples/CII_Starter_demonstrations/CII_Starter_USB_API_v1/HW/db/mux_3kb.tdf                  ;
238
+----------------------------------+-----------------+----------------------------------------+---------------------------------------------------------------------------------------------------------+
239
 
240
 
241
+--------------------------------------------------------+
242
; Analysis & Synthesis Resource Usage Summary            ;
243
+---------------------------------------------+----------+
244
; Resource                                    ; Usage    ;
245
+---------------------------------------------+----------+
246
; Estimated Total logic elements              ; 2,322    ;
247
;                                             ;          ;
248
; Total combinational functions               ; 1923     ;
249
; Logic element usage by number of LUT inputs ;          ;
250
;     -- 4 input functions                    ; 1079     ;
251
;     -- 3 input functions                    ; 357      ;
252
;     -- <=2 input functions                  ; 487      ;
253
;                                             ;          ;
254
; Logic elements by mode                      ;          ;
255
;     -- normal mode                          ; 1570     ;
256
;     -- arithmetic mode                      ; 353      ;
257
;                                             ;          ;
258
; Total registers                             ; 1033     ;
259
;     -- Dedicated logic registers            ; 1033     ;
260
;     -- I/O registers                        ; 0        ;
261
;                                             ;          ;
262
; I/O pins                                    ; 283      ;
263
; Total memory bits                           ; 208000   ;
264
; Total PLLs                                  ; 2        ;
265
; Maximum fan-out node                        ; CLOCK_50 ;
266
; Maximum fan-out                             ; 562      ;
267
; Total fan-out                               ; 10659    ;
268
; Average fan-out                             ; 3.24     ;
269
+---------------------------------------------+----------+
270
 
271
 
272
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
273
; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                                                          ;
274
+-------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
275
; Compilation Hierarchy Node                                        ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name                                                                                                                                           ; Library Name ;
276
+-------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
277
; |CII_Starter_USB_API                                              ; 1923 (5)          ; 1033 (0)     ; 208000      ; 0            ; 0       ; 0         ; 283  ; 0            ; |CII_Starter_USB_API                                                                                                                                          ; work         ;
278
;    |AUDIO_DAC:u11|                                                ; 166 (166)         ; 95 (95)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|AUDIO_DAC:u11                                                                                                                            ; work         ;
279
;    |CLK_LOCK:p0|                                                  ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|CLK_LOCK:p0                                                                                                                              ; work         ;
280
;       |CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component| ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component                                                                    ; work         ;
281
;    |CMD_Decode:u5|                                                ; 179 (179)         ; 316 (316)    ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|CMD_Decode:u5                                                                                                                            ; work         ;
282
;    |I2C_AV_Config:u10|                                            ; 85 (44)           ; 57 (35)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|I2C_AV_Config:u10                                                                                                                        ; work         ;
283
;       |I2C_Controller:u0|                                         ; 41 (41)           ; 22 (22)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|I2C_AV_Config:u10|I2C_Controller:u0                                                                                                      ; work         ;
284
;    |Multi_Flash:u2|                                               ; 170 (0)           ; 112 (0)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Flash:u2                                                                                                                           ; work         ;
285
;       |Flash_Controller:u1|                                       ; 112 (112)         ; 99 (99)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1                                                                                                       ; work         ;
286
;       |Flash_Multiplexer:u0|                                      ; 58 (58)           ; 13 (13)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Multiplexer:u0                                                                                                      ; work         ;
287
;    |Multi_Sdram:u3|                                               ; 238 (0)           ; 220 (0)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3                                                                                                                           ; work         ;
288
;       |Sdram_Controller:u1|                                       ; 189 (62)          ; 215 (73)     ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1                                                                                                       ; work         ;
289
;          |PLL1:sdram_pll1|                                        ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1                                                                                       ; work         ;
290
;             |altpll:altpll_component|                             ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component                                                               ; work         ;
291
;          |command:command1|                                       ; 62 (62)           ; 48 (48)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1                                                                                      ; work         ;
292
;          |control_interface:control1|                             ; 65 (65)           ; 62 (62)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1                                                                            ; work         ;
293
;          |sdr_data_path:data_path1|                               ; 0 (0)             ; 32 (32)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1                                                                              ; work         ;
294
;       |Sdram_Multiplexer:u0|                                      ; 49 (49)           ; 5 (5)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Multiplexer:u0                                                                                                      ; work         ;
295
;    |Multi_Sram:u6|                                                ; 38 (38)           ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Multi_Sram:u6                                                                                                                            ; work         ;
296
;    |Reset_Delay:d0|                                               ; 28 (28)           ; 21 (21)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|Reset_Delay:d0                                                                                                                           ; work         ;
297
;    |SEG7_LUT_4:u0|                                                ; 28 (0)            ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|SEG7_LUT_4:u0                                                                                                                            ; work         ;
298
;       |SEG7_LUT:u0|                                               ; 7 (7)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u0                                                                                                                ; work         ;
299
;       |SEG7_LUT:u1|                                               ; 7 (7)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u1                                                                                                                ; work         ;
300
;       |SEG7_LUT:u2|                                               ; 7 (7)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u2                                                                                                                ; work         ;
301
;       |SEG7_LUT:u3|                                               ; 7 (7)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|SEG7_LUT_4:u0|SEG7_LUT:u3                                                                                                                ; work         ;
302
;    |USB_JTAG:u1|                                                  ; 17 (3)            ; 37 (13)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|USB_JTAG:u1                                                                                                                              ; work         ;
303
;       |JTAG_REC:u0|                                               ; 5 (5)             ; 19 (19)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|USB_JTAG:u1|JTAG_REC:u0                                                                                                                  ; work         ;
304
;       |JTAG_TRANS:u1|                                             ; 9 (9)             ; 5 (5)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|USB_JTAG:u1|JTAG_TRANS:u1                                                                                                                ; work         ;
305
;    |VGA_Audio_PLL:p1|                                             ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_Audio_PLL:p1                                                                                                                         ; work         ;
306
;       |altpll:altpll_component|                                   ; 0 (0)             ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_Audio_PLL:p1|altpll:altpll_component                                                                                                 ; work         ;
307
;    |VGA_Controller:u8|                                            ; 247 (247)         ; 73 (73)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_Controller:u8                                                                                                                        ; work         ;
308
;    |VGA_OSD_RAM:u9|                                               ; 458 (82)          ; 43 (31)      ; 208000      ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9                                                                                                                           ; work         ;
309
;       |Img_RAM:u0|                                                ; 376 (0)           ; 12 (0)       ; 208000      ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0                                                                                                                ; work         ;
310
;          |altsyncram:altsyncram_component|                        ; 376 (0)           ; 12 (0)       ; 208000      ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component                                                                                ; work         ;
311
;             |altsyncram_f7o1:auto_generated|                      ; 376 (0)           ; 12 (0)       ; 208000      ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated                                                 ; work         ;
312
;                |altsyncram_e132:altsyncram1|                      ; 376 (0)           ; 12 (12)      ; 208000      ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1                     ; work         ;
313
;                   |decode_qpa:decode_a|                           ; 65 (65)           ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a ; work         ;
314
;                   |mux_akb:mux5|                                  ; 311 (311)         ; 0 (0)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|mux_akb:mux5        ; work         ;
315
;    |ps2_keyboard:u4|                                              ; 264 (264)         ; 59 (59)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |CII_Starter_USB_API|ps2_keyboard:u4                                                                                                                          ; work         ;
316
+-------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
317
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
318
 
319
 
320
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
321
; Analysis & Synthesis RAM Summary                                                                                                                                                                                                            ;
322
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------+--------------+
323
; Name                                                                                                                            ; Type ; Mode           ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size   ; MIF          ;
324
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------+--------------+
325
; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ALTSYNCRAM ; M4K  ; True Dual Port ; 26000        ; 8            ; 208000       ; 1            ; 208000 ; Img_DATA.hex ;
326
+---------------------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------+--------------+
327
 
328
 
329
Encoding Type:  One-Hot
330
+------------------------------------------------------------------+
331
; State Machine - |CII_Starter_USB_API|I2C_AV_Config:u10|mSetup_ST ;
332
+--------------+--------------+--------------+---------------------+
333
; Name         ; mSetup_ST.00 ; mSetup_ST.10 ; mSetup_ST.01        ;
334
+--------------+--------------+--------------+---------------------+
335
; mSetup_ST.00 ; 0            ; 0            ; 0                   ;
336
; mSetup_ST.01 ; 1            ; 0            ; 1                   ;
337
; mSetup_ST.10 ; 1            ; 1            ; 0                   ;
338
+--------------+--------------+--------------+---------------------+
339
 
340
 
341
Encoding Type:  One-Hot
342
+------------------------------------------------------------------------------------------+
343
; State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mSR_ST                                ;
344
+------------+------------+------------+------------+------------+------------+------------+
345
; Name       ; mSR_ST.101 ; mSR_ST.100 ; mSR_ST.011 ; mSR_ST.010 ; mSR_ST.001 ; mSR_ST.000 ;
346
+------------+------------+------------+------------+------------+------------+------------+
347
; mSR_ST.000 ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ;
348
; mSR_ST.001 ; 0          ; 0          ; 0          ; 0          ; 1          ; 1          ;
349
; mSR_ST.010 ; 0          ; 0          ; 0          ; 1          ; 0          ; 1          ;
350
; mSR_ST.011 ; 0          ; 0          ; 1          ; 0          ; 0          ; 1          ;
351
; mSR_ST.100 ; 0          ; 1          ; 0          ; 0          ; 0          ; 1          ;
352
; mSR_ST.101 ; 1          ; 0          ; 0          ; 0          ; 0          ; 1          ;
353
+------------+------------+------------+------------+------------+------------+------------+
354
 
355
 
356
Encoding Type:  One-Hot
357
+-------------------------------------------------------------------------------------------------+
358
; State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mSDR_ST                                      ;
359
+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
360
; Name        ; mSDR_ST.101 ; mSDR_ST.100 ; mSDR_ST.011 ; mSDR_ST.010 ; mSDR_ST.001 ; mSDR_ST.000 ;
361
+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
362
; mSDR_ST.000 ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ;
363
; mSDR_ST.001 ; 0           ; 0           ; 0           ; 0           ; 1           ; 1           ;
364
; mSDR_ST.010 ; 0           ; 0           ; 0           ; 1           ; 0           ; 1           ;
365
; mSDR_ST.011 ; 0           ; 0           ; 1           ; 0           ; 0           ; 1           ;
366
; mSDR_ST.100 ; 0           ; 1           ; 0           ; 0           ; 0           ; 1           ;
367
; mSDR_ST.101 ; 1           ; 0           ; 0           ; 0           ; 0           ; 1           ;
368
+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
369
 
370
 
371
Encoding Type:  One-Hot
372
+------------------------------------------------------------+
373
; State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mPS2_ST ;
374
+-------------+----------------------------------------------+
375
; Name        ; mPS2_ST.001                                  ;
376
+-------------+----------------------------------------------+
377
; mPS2_ST.000 ; 0                                            ;
378
; mPS2_ST.001 ; 1                                            ;
379
+-------------+----------------------------------------------+
380
 
381
 
382
Encoding Type:  One-Hot
383
+--------------------------------------------------------------------------------------------------------------------+
384
; State Machine - |CII_Starter_USB_API|CMD_Decode:u5|mFL_ST                                                          ;
385
+------------+------------+------------+------------+------------+------------+------------+------------+------------+
386
; Name       ; mFL_ST.111 ; mFL_ST.110 ; mFL_ST.101 ; mFL_ST.100 ; mFL_ST.011 ; mFL_ST.010 ; mFL_ST.001 ; mFL_ST.000 ;
387
+------------+------------+------------+------------+------------+------------+------------+------------+------------+
388
; mFL_ST.000 ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ;
389
; mFL_ST.001 ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ; 1          ; 1          ;
390
; mFL_ST.010 ; 0          ; 0          ; 0          ; 0          ; 0          ; 1          ; 0          ; 1          ;
391
; mFL_ST.011 ; 0          ; 0          ; 0          ; 0          ; 1          ; 0          ; 0          ; 1          ;
392
; mFL_ST.100 ; 0          ; 0          ; 0          ; 1          ; 0          ; 0          ; 0          ; 1          ;
393
; mFL_ST.101 ; 0          ; 0          ; 1          ; 0          ; 0          ; 0          ; 0          ; 1          ;
394
; mFL_ST.110 ; 0          ; 1          ; 0          ; 0          ; 0          ; 0          ; 0          ; 1          ;
395
; mFL_ST.111 ; 1          ; 0          ; 0          ; 0          ; 0          ; 0          ; 0          ; 1          ;
396
+------------+------------+------------+------------+------------+------------+------------+------------+------------+
397
 
398
 
399
Encoding Type:  One-Hot
400
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
401
; State Machine - |CII_Starter_USB_API|ps2_keyboard:u4|m1_state                                                                                                                                                                                                                                                                                                                                                                                                                                                    ;
402
+--------------------------------------+-----------------------------------+------------------------------------+----------------------------+---------------------------------+---------------------------------+-----------------------------------+--------------------------------------+------------------------------+----------------------------------+----------------------+----------------------+----------------------------+---------------------------+----------------------+----------------------+
403
; Name                                 ; m1_state.m1_rx_rising_edge_marker ; m1_state.m1_rx_falling_edge_marker ; m1_state.m1_tx_reset_timer ; m1_state.m1_tx_first_wait_clk_l ; m1_state.m1_tx_first_wait_clk_h ; m1_state.m1_tx_rising_edge_marker ; m1_state.m1_tx_error_no_keyboard_ack ; m1_state.m1_tx_done_recovery ; m1_state.m1_tx_wait_keyboard_ack ; m1_state.m1_tx_clk_l ; m1_state.m1_tx_clk_h ; m1_state.m1_tx_force_clk_l ; m1_state.m1_tx_wait_clk_h ; m1_state.m1_rx_clk_l ; m1_state.m1_rx_clk_h ;
404
+--------------------------------------+-----------------------------------+------------------------------------+----------------------------+---------------------------------+---------------------------------+-----------------------------------+--------------------------------------+------------------------------+----------------------------------+----------------------+----------------------+----------------------------+---------------------------+----------------------+----------------------+
405
; m1_state.m1_rx_clk_h                 ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 0                    ;
406
; m1_state.m1_rx_clk_l                 ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 1                    ; 1                    ;
407
; m1_state.m1_tx_wait_clk_h            ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 1                         ; 0                    ; 1                    ;
408
; m1_state.m1_tx_force_clk_l           ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 1                          ; 0                         ; 0                    ; 1                    ;
409
; m1_state.m1_tx_clk_h                 ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 1                    ; 0                          ; 0                         ; 0                    ; 1                    ;
410
; m1_state.m1_tx_clk_l                 ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 1                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
411
; m1_state.m1_tx_wait_keyboard_ack     ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 1                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
412
; m1_state.m1_tx_done_recovery         ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 1                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
413
; m1_state.m1_tx_error_no_keyboard_ack ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 1                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
414
; m1_state.m1_tx_rising_edge_marker    ; 0                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 1                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
415
; m1_state.m1_tx_first_wait_clk_h      ; 0                                 ; 0                                  ; 0                          ; 0                               ; 1                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
416
; m1_state.m1_tx_first_wait_clk_l      ; 0                                 ; 0                                  ; 0                          ; 1                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
417
; m1_state.m1_tx_reset_timer           ; 0                                 ; 0                                  ; 1                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
418
; m1_state.m1_rx_falling_edge_marker   ; 0                                 ; 1                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
419
; m1_state.m1_rx_rising_edge_marker    ; 1                                 ; 0                                  ; 0                          ; 0                               ; 0                               ; 0                                 ; 0                                    ; 0                            ; 0                                ; 0                    ; 0                    ; 0                          ; 0                         ; 0                    ; 1                    ;
420
+--------------------------------------+-----------------------------------+------------------------------------+----------------------------+---------------------------------+---------------------------------+-----------------------------------+--------------------------------------+------------------------------+----------------------------------+----------------------+----------------------+----------------------------+---------------------------+----------------------+----------------------+
421
 
422
 
423
Encoding Type:  One-Hot
424
+-----------------------------------------------------------------------------+
425
; State Machine - |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Multiplexer:u0|ST ;
426
+-------+-------+-------+-------+---------------------------------------------+
427
; Name  ; ST.11 ; ST.10 ; ST.01 ; ST.00                                       ;
428
+-------+-------+-------+-------+---------------------------------------------+
429
; ST.00 ; 0     ; 0     ; 0     ; 0                                           ;
430
; ST.01 ; 0     ; 0     ; 1     ; 1                                           ;
431
; ST.10 ; 0     ; 1     ; 0     ; 1                                           ;
432
; ST.11 ; 1     ; 0     ; 0     ; 1                                           ;
433
+-------+-------+-------+-------+---------------------------------------------+
434
 
435
 
436
Encoding Type:  One-Hot
437
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
438
; State Machine - |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|ST                                                                                               ;
439
+---------------+----------+---------+---------------+---------------+---------------+-----------+-----------+-----------+-------+-------+-------+-------+-------+---------+
440
; Name          ; ST.RESET ; ST.READ ; ST.P6_CHP_ERA ; ST.P6_SEC_ERA ; ST.P6_BLK_ERA ; ST.P4_PRG ; ST.P3_DEV ; ST.P3_PRG ; ST.P5 ; ST.P4 ; ST.P3 ; ST.P2 ; ST.P1 ; ST.IDEL ;
441
+---------------+----------+---------+---------------+---------------+---------------+-----------+-----------+-----------+-------+-------+-------+-------+-------+---------+
442
; ST.IDEL       ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 0       ;
443
; ST.P1         ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 1     ; 1       ;
444
; ST.P2         ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 1     ; 0     ; 1       ;
445
; ST.P3         ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 1     ; 0     ; 0     ; 1       ;
446
; ST.P4         ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 1     ; 0     ; 0     ; 0     ; 1       ;
447
; ST.P5         ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 1     ; 0     ; 0     ; 0     ; 0     ; 1       ;
448
; ST.P3_PRG     ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 1         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
449
; ST.P3_DEV     ; 0        ; 0       ; 0             ; 0             ; 0             ; 0         ; 1         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
450
; ST.P4_PRG     ; 0        ; 0       ; 0             ; 0             ; 0             ; 1         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
451
; ST.P6_BLK_ERA ; 0        ; 0       ; 0             ; 0             ; 1             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
452
; ST.P6_SEC_ERA ; 0        ; 0       ; 0             ; 1             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
453
; ST.P6_CHP_ERA ; 0        ; 0       ; 1             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
454
; ST.READ       ; 0        ; 1       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
455
; ST.RESET      ; 1        ; 0       ; 0             ; 0             ; 0             ; 0         ; 0         ; 0         ; 0     ; 0     ; 0     ; 0     ; 0     ; 1       ;
456
+---------------+----------+---------+---------------+---------------+---------------+-----------+-----------+-----------+-------+-------+-------+-------+-------+---------+
457
 
458
 
459
Encoding Type:  One-Hot
460
+-----------------------------------------------------------------------------+
461
; State Machine - |CII_Starter_USB_API|Multi_Flash:u2|Flash_Multiplexer:u0|ST ;
462
+-------+-------+-------+-------+---------------------------------------------+
463
; Name  ; ST.11 ; ST.10 ; ST.01 ; ST.00                                       ;
464
+-------+-------+-------+-------+---------------------------------------------+
465
; ST.00 ; 0     ; 0     ; 0     ; 0                                           ;
466
; ST.01 ; 0     ; 0     ; 1     ; 1                                           ;
467
; ST.10 ; 0     ; 1     ; 0     ; 1                                           ;
468
; ST.11 ; 1     ; 0     ; 0     ; 1                                           ;
469
+-------+-------+-------+-------+---------------------------------------------+
470
 
471
 
472
+---------------------------------------------------------------------------------------------------------------------------------------------------+
473
; Registers Removed During Synthesis                                                                                                                ;
474
+-------------------------------------------------------------------------+-------------------------------------------------------------------------+
475
; Register name                                                           ; Reason for Removal                                                      ;
476
+-------------------------------------------------------------------------+-------------------------------------------------------------------------+
477
; AUDIO_DAC:u11|SDRAM_Out_Tmp[0..15]                                      ; Stuck at GND due to stuck port data_in                                  ;
478
; AUDIO_DAC:u11|SDRAM_Out[0..15]                                          ; Stuck at GND due to stuck port data_in                                  ;
479
; AUDIO_DAC:u11|SRAM_Out_Tmp[0..15]                                       ; Stuck at GND due to stuck port data_in                                  ;
480
; AUDIO_DAC:u11|SRAM_Out[0..15]                                           ; Stuck at GND due to stuck port data_in                                  ;
481
; CMD_Decode:u5|oFL_CMD[1]                                                ; Stuck at GND due to stuck port data_in                                  ;
482
; Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|SADDR[22] ; Stuck at GND due to stuck port data_in                                  ;
483
; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[10..11,18,20..21]         ; Stuck at GND due to stuck port data_in                                  ;
484
; I2C_AV_Config:u10|mI2C_DATA[22..23]                                     ; Stuck at GND due to stuck port data_in                                  ;
485
; I2C_AV_Config:u10|mI2C_DATA[20..21]                                     ; Stuck at VCC due to stuck port data_in                                  ;
486
; I2C_AV_Config:u10|mI2C_DATA[19]                                         ; Stuck at GND due to stuck port data_in                                  ;
487
; I2C_AV_Config:u10|mI2C_DATA[18]                                         ; Stuck at VCC due to stuck port data_in                                  ;
488
; I2C_AV_Config:u10|mI2C_DATA[8,13..17]                                   ; Stuck at GND due to stuck port data_in                                  ;
489
; I2C_AV_Config:u10|I2C_Controller:u0|SD[22..23]                          ; Stuck at GND due to stuck port data_in                                  ;
490
; I2C_AV_Config:u10|I2C_Controller:u0|SD[20..21]                          ; Stuck at VCC due to stuck port data_in                                  ;
491
; I2C_AV_Config:u10|I2C_Controller:u0|SD[19]                              ; Stuck at GND due to stuck port data_in                                  ;
492
; I2C_AV_Config:u10|I2C_Controller:u0|SD[18]                              ; Stuck at VCC due to stuck port data_in                                  ;
493
; I2C_AV_Config:u10|I2C_Controller:u0|SD[8,13..17]                        ; Stuck at GND due to stuck port data_in                                  ;
494
; ps2_keyboard:u4|hold_released                                           ; Stuck at GND due to stuck port data_in                                  ;
495
; Multi_Flash:u2|Flash_Controller:u1|r_CMD[1]                             ; Stuck at GND due to stuck port data_in                                  ;
496
; CMD_Decode:u5|mSR_WRn                                                   ; Merged with CMD_Decode:u5|mSDR_WRn                                      ;
497
; Multi_Sdram:u3|Sdram_Controller:u1|command:command1|CKE                 ; Merged with Multi_Sdram:u3|Sdram_Controller:u1|command:command1|CS_N[0] ;
498
; Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[0]                      ; Merged with Multi_Flash:u2|Flash_Controller:u1|mCLK                     ;
499
; Multi_Flash:u2|Flash_Controller:u1|pre_mCLK                             ; Merged with Multi_Flash:u2|Flash_Controller:u1|WE_CLK_Delay[1]          ;
500
; ps2_keyboard:u4|rx_ascii[7]                                             ; Stuck at GND due to stuck port data_in                                  ;
501
; CMD_Decode:u5|oPS2_TXD_DATA[7]                                          ; Stuck at GND due to stuck port data_in                                  ;
502
; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[12..13,17]                ; Stuck at GND due to stuck port data_in                                  ;
503
; I2C_AV_Config:u10|mI2C_DATA[5]                                          ; Merged with I2C_AV_Config:u10|mI2C_DATA[6]                              ;
504
; I2C_AV_Config:u10|mI2C_DATA[3]                                          ; Merged with I2C_AV_Config:u10|mI2C_DATA[4]                              ;
505
; I2C_AV_Config:u10|I2C_Controller:u0|SD[5]                               ; Merged with I2C_AV_Config:u10|I2C_Controller:u0|SD[6]                   ;
506
; I2C_AV_Config:u10|I2C_Controller:u0|SD[3]                               ; Merged with I2C_AV_Config:u10|I2C_Controller:u0|SD[4]                   ;
507
; VGA_OSD_RAM:u9|oRed[6..8]                                               ; Merged with VGA_OSD_RAM:u9|oRed[9]                                      ;
508
; VGA_OSD_RAM:u9|oGreen[6..9]                                             ; Merged with VGA_OSD_RAM:u9|oRed[9]                                      ;
509
; VGA_OSD_RAM:u9|oBlue[6..8]                                              ; Merged with VGA_OSD_RAM:u9|oRed[9]                                      ;
510
; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[3..8,14..16,19]           ; Merged with Multi_Flash:u2|Flash_Controller:u1|CMD_Period[9]            ;
511
; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[0]                        ; Merged with Multi_Flash:u2|Flash_Controller:u1|CMD_Period[1]            ;
512
; Multi_Sdram:u3|Sdram_Multiplexer:u0|mSDR_WR                             ; Stuck at GND due to stuck port data_in                                  ;
513
; ps2_keyboard:u4|m1_state.m1_tx_reset_timer                              ; Lost fanout                                                             ;
514
; CMD_Decode:u5|mSR_ST~36                                                 ; Lost fanout                                                             ;
515
; CMD_Decode:u5|mSR_ST~37                                                 ; Lost fanout                                                             ;
516
; CMD_Decode:u5|mSR_ST~38                                                 ; Lost fanout                                                             ;
517
; CMD_Decode:u5|mSDR_ST~44                                                ; Lost fanout                                                             ;
518
; CMD_Decode:u5|mSDR_ST~45                                                ; Lost fanout                                                             ;
519
; CMD_Decode:u5|mSDR_ST~46                                                ; Lost fanout                                                             ;
520
; CMD_Decode:u5|mPS2_ST~21                                                ; Lost fanout                                                             ;
521
; CMD_Decode:u5|mPS2_ST~22                                                ; Lost fanout                                                             ;
522
; CMD_Decode:u5|mFL_ST~54                                                 ; Lost fanout                                                             ;
523
; CMD_Decode:u5|mFL_ST~55                                                 ; Lost fanout                                                             ;
524
; CMD_Decode:u5|mFL_ST~56                                                 ; Lost fanout                                                             ;
525
; ps2_keyboard:u4|m1_state~20                                             ; Lost fanout                                                             ;
526
; ps2_keyboard:u4|m1_state~21                                             ; Lost fanout                                                             ;
527
; ps2_keyboard:u4|m1_state~22                                             ; Lost fanout                                                             ;
528
; ps2_keyboard:u4|m1_state~23                                             ; Lost fanout                                                             ;
529
; Multi_Sdram:u3|Sdram_Multiplexer:u0|ST~25                               ; Lost fanout                                                             ;
530
; Multi_Sdram:u3|Sdram_Multiplexer:u0|ST~26                               ; Lost fanout                                                             ;
531
; Multi_Flash:u2|Flash_Controller:u1|ST~66                                ; Lost fanout                                                             ;
532
; Multi_Flash:u2|Flash_Controller:u1|ST~67                                ; Lost fanout                                                             ;
533
; Multi_Flash:u2|Flash_Controller:u1|ST~68                                ; Lost fanout                                                             ;
534
; Multi_Flash:u2|Flash_Controller:u1|ST~69                                ; Lost fanout                                                             ;
535
; Multi_Flash:u2|Flash_Multiplexer:u0|ST~25                               ; Lost fanout                                                             ;
536
; Multi_Flash:u2|Flash_Multiplexer:u0|ST~26                               ; Lost fanout                                                             ;
537
; Multi_Flash:u2|Flash_Controller:u1|ST.P6_BLK_ERA                        ; Stuck at GND due to stuck port data_in                                  ;
538
; Multi_Flash:u2|Flash_Controller:u1|ST.P6_SEC_ERA                        ; Stuck at GND due to stuck port data_in                                  ;
539
; Multi_Flash:u2|Flash_Controller:u1|ST.RESET                             ; Stuck at GND due to stuck port data_in                                  ;
540
; ps2_keyboard:u4|m1_state.m1_tx_force_clk_l                              ; Stuck at GND due to stuck port data_in                                  ;
541
; ps2_keyboard:u4|m1_state.m1_tx_first_wait_clk_h                         ; Stuck at GND due to stuck port data_in                                  ;
542
; ps2_keyboard:u4|m1_state.m1_tx_first_wait_clk_l                         ; Stuck at GND due to stuck port data_in                                  ;
543
; Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[3..10]                      ; Lost fanout                                                             ;
544
; AUDIO_DAC:u11|BCK_DIV[3]                                                ; Stuck at GND due to stuck port data_in                                  ;
545
; VGA_OSD_RAM:u9|oRed[9]                                                  ; Lost fanout                                                             ;
546
; Total Number of Removed Registers = 172                                 ;                                                                         ;
547
+-------------------------------------------------------------------------+-------------------------------------------------------------------------+
548
 
549
 
550
+------------------------------------------------------------------------------------------------------------------+
551
; Removed Registers Triggering Further Register Optimizations                                                      ;
552
+---------------------------------+---------------------------+----------------------------------------------------+
553
; Register name                   ; Reason for Removal        ; Registers Removed due to This Register             ;
554
+---------------------------------+---------------------------+----------------------------------------------------+
555
; CMD_Decode:u5|oFL_CMD[1]        ; Stuck at GND              ; Multi_Flash:u2|Flash_Controller:u1|r_CMD[1],       ;
556
;                                 ; due to stuck port data_in ; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[12], ;
557
;                                 ;                           ; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[13], ;
558
;                                 ;                           ; Multi_Flash:u2|Flash_Controller:u1|CMD_Period[17]  ;
559
; AUDIO_DAC:u11|SDRAM_Out_Tmp[14] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[14]                        ;
560
;                                 ; due to stuck port data_in ;                                                    ;
561
; AUDIO_DAC:u11|SDRAM_Out_Tmp[13] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[13]                        ;
562
;                                 ; due to stuck port data_in ;                                                    ;
563
; AUDIO_DAC:u11|SDRAM_Out_Tmp[12] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[12]                        ;
564
;                                 ; due to stuck port data_in ;                                                    ;
565
; AUDIO_DAC:u11|SDRAM_Out_Tmp[11] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[11]                        ;
566
;                                 ; due to stuck port data_in ;                                                    ;
567
; AUDIO_DAC:u11|SDRAM_Out_Tmp[10] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[10]                        ;
568
;                                 ; due to stuck port data_in ;                                                    ;
569
; AUDIO_DAC:u11|SDRAM_Out_Tmp[9]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[9]                         ;
570
;                                 ; due to stuck port data_in ;                                                    ;
571
; AUDIO_DAC:u11|SDRAM_Out_Tmp[8]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[8]                         ;
572
;                                 ; due to stuck port data_in ;                                                    ;
573
; AUDIO_DAC:u11|SDRAM_Out_Tmp[7]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[7]                         ;
574
;                                 ; due to stuck port data_in ;                                                    ;
575
; AUDIO_DAC:u11|SDRAM_Out_Tmp[6]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[6]                         ;
576
;                                 ; due to stuck port data_in ;                                                    ;
577
; AUDIO_DAC:u11|SDRAM_Out_Tmp[5]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[5]                         ;
578
;                                 ; due to stuck port data_in ;                                                    ;
579
; AUDIO_DAC:u11|SDRAM_Out_Tmp[4]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[4]                         ;
580
;                                 ; due to stuck port data_in ;                                                    ;
581
; AUDIO_DAC:u11|SDRAM_Out_Tmp[3]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[3]                         ;
582
;                                 ; due to stuck port data_in ;                                                    ;
583
; AUDIO_DAC:u11|SDRAM_Out_Tmp[2]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[2]                         ;
584
;                                 ; due to stuck port data_in ;                                                    ;
585
; AUDIO_DAC:u11|SDRAM_Out_Tmp[1]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[1]                         ;
586
;                                 ; due to stuck port data_in ;                                                    ;
587
; AUDIO_DAC:u11|SDRAM_Out_Tmp[0]  ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[0]                         ;
588
;                                 ; due to stuck port data_in ;                                                    ;
589
; AUDIO_DAC:u11|SRAM_Out_Tmp[15]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[15]                         ;
590
;                                 ; due to stuck port data_in ;                                                    ;
591
; AUDIO_DAC:u11|SRAM_Out_Tmp[14]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[14]                         ;
592
;                                 ; due to stuck port data_in ;                                                    ;
593
; AUDIO_DAC:u11|SRAM_Out_Tmp[13]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[13]                         ;
594
;                                 ; due to stuck port data_in ;                                                    ;
595
; AUDIO_DAC:u11|SRAM_Out_Tmp[12]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[12]                         ;
596
;                                 ; due to stuck port data_in ;                                                    ;
597
; AUDIO_DAC:u11|SRAM_Out_Tmp[11]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[11]                         ;
598
;                                 ; due to stuck port data_in ;                                                    ;
599
; AUDIO_DAC:u11|SRAM_Out_Tmp[10]  ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[10]                         ;
600
;                                 ; due to stuck port data_in ;                                                    ;
601
; AUDIO_DAC:u11|SRAM_Out_Tmp[9]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[9]                          ;
602
;                                 ; due to stuck port data_in ;                                                    ;
603
; AUDIO_DAC:u11|SRAM_Out_Tmp[8]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[8]                          ;
604
;                                 ; due to stuck port data_in ;                                                    ;
605
; AUDIO_DAC:u11|SRAM_Out_Tmp[7]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[7]                          ;
606
;                                 ; due to stuck port data_in ;                                                    ;
607
; AUDIO_DAC:u11|SRAM_Out_Tmp[6]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[6]                          ;
608
;                                 ; due to stuck port data_in ;                                                    ;
609
; AUDIO_DAC:u11|SRAM_Out_Tmp[5]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[5]                          ;
610
;                                 ; due to stuck port data_in ;                                                    ;
611
; AUDIO_DAC:u11|SRAM_Out_Tmp[4]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[4]                          ;
612
;                                 ; due to stuck port data_in ;                                                    ;
613
; AUDIO_DAC:u11|SRAM_Out_Tmp[3]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[3]                          ;
614
;                                 ; due to stuck port data_in ;                                                    ;
615
; AUDIO_DAC:u11|SRAM_Out_Tmp[2]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[2]                          ;
616
;                                 ; due to stuck port data_in ;                                                    ;
617
; AUDIO_DAC:u11|SRAM_Out_Tmp[1]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[1]                          ;
618
;                                 ; due to stuck port data_in ;                                                    ;
619
; AUDIO_DAC:u11|SRAM_Out_Tmp[0]   ; Stuck at GND              ; AUDIO_DAC:u11|SRAM_Out[0]                          ;
620
;                                 ; due to stuck port data_in ;                                                    ;
621
; AUDIO_DAC:u11|SDRAM_Out_Tmp[15] ; Stuck at GND              ; AUDIO_DAC:u11|SDRAM_Out[15]                        ;
622
;                                 ; due to stuck port data_in ;                                                    ;
623
; I2C_AV_Config:u10|mI2C_DATA[23] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[23]         ;
624
;                                 ; due to stuck port data_in ;                                                    ;
625
; I2C_AV_Config:u10|mI2C_DATA[22] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[22]         ;
626
;                                 ; due to stuck port data_in ;                                                    ;
627
; I2C_AV_Config:u10|mI2C_DATA[21] ; Stuck at VCC              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[21]         ;
628
;                                 ; due to stuck port data_in ;                                                    ;
629
; I2C_AV_Config:u10|mI2C_DATA[20] ; Stuck at VCC              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[20]         ;
630
;                                 ; due to stuck port data_in ;                                                    ;
631
; I2C_AV_Config:u10|mI2C_DATA[19] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[19]         ;
632
;                                 ; due to stuck port data_in ;                                                    ;
633
; I2C_AV_Config:u10|mI2C_DATA[18] ; Stuck at VCC              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[18]         ;
634
;                                 ; due to stuck port data_in ;                                                    ;
635
; I2C_AV_Config:u10|mI2C_DATA[17] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[17]         ;
636
;                                 ; due to stuck port data_in ;                                                    ;
637
; I2C_AV_Config:u10|mI2C_DATA[16] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[16]         ;
638
;                                 ; due to stuck port data_in ;                                                    ;
639
; I2C_AV_Config:u10|mI2C_DATA[15] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[15]         ;
640
;                                 ; due to stuck port data_in ;                                                    ;
641
; I2C_AV_Config:u10|mI2C_DATA[14] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[14]         ;
642
;                                 ; due to stuck port data_in ;                                                    ;
643
; I2C_AV_Config:u10|mI2C_DATA[13] ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[13]         ;
644
;                                 ; due to stuck port data_in ;                                                    ;
645
; I2C_AV_Config:u10|mI2C_DATA[8]  ; Stuck at GND              ; I2C_AV_Config:u10|I2C_Controller:u0|SD[8]          ;
646
;                                 ; due to stuck port data_in ;                                                    ;
647
+---------------------------------+---------------------------+----------------------------------------------------+
648
 
649
 
650
+------------------------------------------------------+
651
; General Register Statistics                          ;
652
+----------------------------------------------+-------+
653
; Statistic                                    ; Value ;
654
+----------------------------------------------+-------+
655
; Total registers                              ; 1033  ;
656
; Number of registers using Synchronous Clear  ; 177   ;
657
; Number of registers using Synchronous Load   ; 34    ;
658
; Number of registers using Asynchronous Clear ; 648   ;
659
; Number of registers using Asynchronous Load  ; 0     ;
660
; Number of registers using Clock Enable       ; 574   ;
661
; Number of registers using Preset             ; 0     ;
662
+----------------------------------------------+-------+
663
 
664
 
665
+-------------------------------------------------------------+
666
; Inverted Register Statistics                                ;
667
+---------------------------------------------------+---------+
668
; Inverted Register                                 ; Fan out ;
669
+---------------------------------------------------+---------+
670
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[0] ; 18      ;
671
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[2] ; 14      ;
672
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[3] ; 18      ;
673
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[1] ; 13      ;
674
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[4] ; 10      ;
675
; I2C_AV_Config:u10|I2C_Controller:u0|SD_COUNTER[5] ; 11      ;
676
; I2C_AV_Config:u10|I2C_Controller:u0|SCLK          ; 2       ;
677
; I2C_AV_Config:u10|I2C_Controller:u0|END           ; 5       ;
678
; I2C_AV_Config:u10|I2C_Controller:u0|SDO           ; 4       ;
679
; Total number of inverted registers = 9            ;         ;
680
+---------------------------------------------------+---------+
681
 
682
 
683
+--------------------------------------------------------------------------------------------------------------------------------------------------+
684
; Gate-level Retiming                                                                                                                              ;
685
+---------------------------------------------+----------------------------------------------------------------------------------+-----------------+
686
; Register Name                               ; Clock Name                                                                       ; Created/Deleted ;
687
+---------------------------------------------+----------------------------------------------------------------------------------+-----------------+
688
; VGA_OSD_RAM:u9|oRed[9]                      ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Deleted         ;
689
; ps2_keyboard:u4|q[8]~33                     ; CLOCK_50                                                                         ; Created         ;
690
; ps2_keyboard:u4|q[8]~34                     ; CLOCK_50                                                                         ; Created         ;
691
; Multi_Sdram:u3|Sdram_Controller:u1|ST[5]~45 ; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0 ; Created         ;
692
; VGA_OSD_RAM:u9|oRed[9]~23                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
693
; VGA_OSD_RAM:u9|oRed[9]~24                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
694
; VGA_OSD_RAM:u9|oRed[9]~25                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
695
; VGA_OSD_RAM:u9|oRed[9]~26                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
696
; VGA_OSD_RAM:u9|oRed[9]~27                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
697
; VGA_OSD_RAM:u9|oRed[9]~28                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
698
; VGA_OSD_RAM:u9|oRed[9]~29                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
699
; VGA_OSD_RAM:u9|oRed[9]~30                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
700
; VGA_OSD_RAM:u9|oRed[9]~31                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
701
; VGA_OSD_RAM:u9|oRed[9]~32                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
702
; VGA_OSD_RAM:u9|oRed[9]~33                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
703
; VGA_OSD_RAM:u9|oRed[9]~34                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
704
; VGA_OSD_RAM:u9|oRed[9]~35                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
705
; VGA_OSD_RAM:u9|oRed[9]~36                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
706
; VGA_OSD_RAM:u9|oRed[9]~37                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
707
; VGA_OSD_RAM:u9|oRed[9]~38                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
708
; VGA_OSD_RAM:u9|oRed[9]~39                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
709
; VGA_OSD_RAM:u9|oRed[9]~40                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
710
; VGA_OSD_RAM:u9|oRed[9]~41                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
711
; VGA_OSD_RAM:u9|oRed[9]~42                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
712
; VGA_OSD_RAM:u9|oRed[9]~43                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
713
; VGA_OSD_RAM:u9|oRed[9]~44                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
714
; VGA_OSD_RAM:u9|oRed[9]~45                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
715
; VGA_OSD_RAM:u9|oRed[9]~46                   ; VGA_Audio_PLL:p1|altpll:altpll_component|_clk0                                   ; Created         ;
716
+---------------------------------------------+----------------------------------------------------------------------------------+-----------------+
717
 
718
 
719
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
720
; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                                                            ;
721
+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------+
722
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                                                                  ;
723
+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------+
724
; 3:1                ; 11 bits   ; 22 LEs        ; 11 LEs               ; 11 LEs                 ; Yes        ; |CII_Starter_USB_API|VGA_Controller:u8|Cur_Color_B[6]                                       ;
725
; 3:1                ; 10 bits   ; 20 LEs        ; 10 LEs               ; 10 LEs                 ; Yes        ; |CII_Starter_USB_API|ps2_keyboard:u4|q[8]                                                   ;
726
; 3:1                ; 4 bits    ; 8 LEs         ; 4 LEs                ; 4 LEs                  ; Yes        ; |CII_Starter_USB_API|ps2_keyboard:u4|bit_count[0]                                           ;
727
; 3:1                ; 7 bits    ; 14 LEs        ; 7 LEs                ; 7 LEs                  ; Yes        ; |CII_Starter_USB_API|ps2_keyboard:u4|rx_ascii[0]                                            ;
728
; 3:1                ; 2 bits    ; 4 LEs         ; 2 LEs                ; 2 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1|BA[0]              ;
729
; 3:1                ; 16 bits   ; 32 LEs        ; 32 LEs               ; 0 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1|timer[4] ;
730
; 3:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1|command_delay[6]   ;
731
; 4:1                ; 3 bits    ; 6 LEs         ; 3 LEs                ; 3 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[9]              ;
732
; 4:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1|SA[1]              ;
733
; 3:1                ; 22 bits   ; 44 LEs        ; 44 LEs               ; 0 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|r_ADDR[13]                          ;
734
; 5:1                ; 4 bits    ; 12 LEs        ; 8 LEs                ; 4 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rp_done            ;
735
; 5:1                ; 8 bits    ; 24 LEs        ; 16 LEs               ; 8 LEs                  ; Yes        ; |CII_Starter_USB_API|CMD_Decode:u5|oSR_TXD_DATA[6]                                          ;
736
; 5:1                ; 8 bits    ; 24 LEs        ; 16 LEs               ; 8 LEs                  ; Yes        ; |CII_Starter_USB_API|CMD_Decode:u5|oSDR_TXD_DATA[1]                                         ;
737
; 6:1                ; 2 bits    ; 8 LEs         ; 4 LEs                ; 4 LEs                  ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|CMD[1]                              ;
738
; 256:1              ; 3 bits    ; 510 LEs       ; 6 LEs                ; 504 LEs                ; Yes        ; |CII_Starter_USB_API|CMD_Decode:u5|sel_SDR                                                  ;
739
; 7:1                ; 9 bits    ; 36 LEs        ; 18 LEs               ; 18 LEs                 ; Yes        ; |CII_Starter_USB_API|Multi_Sdram:u3|Sdram_Controller:u1|ST[5]                               ;
740
; 3:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; No         ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|ST~46                               ;
741
; 4:1                ; 2 bits    ; 4 LEs         ; 4 LEs                ; 0 LEs                  ; No         ; |CII_Starter_USB_API|CMD_Decode:u5|mPS2_ST~5                                                ;
742
; 3:1                ; 18 bits   ; 36 LEs        ; 36 LEs               ; 0 LEs                  ; No         ; |CII_Starter_USB_API|Multi_Sram:u6|SRAM_ADDR[10]                                            ;
743
; 4:1                ; 6 bits    ; 12 LEs        ; 12 LEs               ; 0 LEs                  ; No         ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|Selector12                          ;
744
; 5:1                ; 3 bits    ; 9 LEs         ; 9 LEs                ; 0 LEs                  ; No         ; |CII_Starter_USB_API|mVGA_R[8]                                                              ;
745
; 5:1                ; 2 bits    ; 6 LEs         ; 4 LEs                ; 2 LEs                  ; No         ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|ST~54                               ;
746
; 5:1                ; 3 bits    ; 9 LEs         ; 6 LEs                ; 3 LEs                  ; No         ; |CII_Starter_USB_API|Multi_Flash:u2|Flash_Controller:u1|ST~51                               ;
747
+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------+
748
 
749
 
750
+-----------------------------------------------------------------------------------------------------------------+
751
; Source assignments for VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated ;
752
+---------------------------------+--------------------+------+---------------------------------------------------+
753
; Assignment                      ; Value              ; From ; To                                                ;
754
+---------------------------------+--------------------+------+---------------------------------------------------+
755
; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                 ;
756
+---------------------------------+--------------------+------+---------------------------------------------------+
757
 
758
 
759
+---------------------------------------------------------------------------------------------------------------------------------------------+
760
; Source assignments for VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1 ;
761
+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+
762
; Assignment                      ; Value              ; From ; To                                                                            ;
763
+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+
764
; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                                             ;
765
+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+
766
 
767
 
768
+---------------------------------------------------------------------------------+
769
; Parameter Settings for User Entity Instance: Multi_Flash:u2|Flash_Controller:u1 ;
770
+----------------+--------+-------------------------------------------------------+
771
; Parameter Name ; Value  ; Type                                                  ;
772
+----------------+--------+-------------------------------------------------------+
773
; CMD_READ       ; 000    ; Unsigned Binary                                       ;
774
; CMD_WRITE      ; 001    ; Unsigned Binary                                       ;
775
; CMD_BLK_ERA    ; 010    ; Unsigned Binary                                       ;
776
; CMD_SEC_ERA    ; 011    ; Unsigned Binary                                       ;
777
; CMD_CHP_ERA    ; 100    ; Unsigned Binary                                       ;
778
; CMD_ENTRY_ID   ; 101    ; Unsigned Binary                                       ;
779
; CMD_RESET      ; 110    ; Unsigned Binary                                       ;
780
; PER_READ       ; 1      ; Signed Integer                                        ;
781
; PER_WRITE      ; 5      ; Signed Integer                                        ;
782
; PER_BLK_ERA    ; 160000 ; Signed Integer                                        ;
783
; PER_SEC_ERA    ; 160000 ; Signed Integer                                        ;
784
; PER_CHP_ERA    ; 640000 ; Signed Integer                                        ;
785
; PER_ENTRY_ID   ; 4      ; Signed Integer                                        ;
786
; PER_RESET      ; 1      ; Signed Integer                                        ;
787
; IDEL           ; 0      ; Signed Integer                                        ;
788
; P1             ; 1      ; Signed Integer                                        ;
789
; P2             ; 2      ; Signed Integer                                        ;
790
; P3             ; 3      ; Signed Integer                                        ;
791
; P4             ; 4      ; Signed Integer                                        ;
792
; P5             ; 5      ; Signed Integer                                        ;
793
; P3_PRG         ; 6      ; Signed Integer                                        ;
794
; P3_DEV         ; 7      ; Signed Integer                                        ;
795
; P4_PRG         ; 8      ; Signed Integer                                        ;
796
; P6_BLK_ERA     ; 9      ; Signed Integer                                        ;
797
; P6_SEC_ERA     ; 10     ; Signed Integer                                        ;
798
; P6_CHP_ERA     ; 11     ; Signed Integer                                        ;
799
; READ           ; 12     ; Signed Integer                                        ;
800
; RESET          ; 13     ; Signed Integer                                        ;
801
; CLK_Divide     ; 8      ; Signed Integer                                        ;
802
+----------------+--------+-------------------------------------------------------+
803
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
804
 
805
 
806
+---------------------------------------------------------------------------------+
807
; Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1 ;
808
+----------------+-------+--------------------------------------------------------+
809
; Parameter Name ; Value ; Type                                                   ;
810
+----------------+-------+--------------------------------------------------------+
811
; INIT_PER       ; 24000 ; Signed Integer                                         ;
812
; REF_PER        ; 1024  ; Signed Integer                                         ;
813
; SC_CL          ; 3     ; Signed Integer                                         ;
814
; SC_RCD         ; 3     ; Signed Integer                                         ;
815
; SC_RRD         ; 7     ; Signed Integer                                         ;
816
; SC_PM          ; 1     ; Signed Integer                                         ;
817
; SC_BL          ; 1     ; Signed Integer                                         ;
818
; SDR_BL         ; 111   ; Unsigned Binary                                        ;
819
; SDR_BT         ; 0     ; Unsigned Binary                                        ;
820
; SDR_CL         ; 011   ; Unsigned Binary                                        ;
821
+----------------+-------+--------------------------------------------------------+
822
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
823
 
824
 
825
+-------------------------------------------------------------------------------------------------------------------------+
826
; Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component ;
827
+-------------------------------+-------------------+---------------------------------------------------------------------+
828
; Parameter Name                ; Value             ; Type                                                                ;
829
+-------------------------------+-------------------+---------------------------------------------------------------------+
830
; OPERATION_MODE                ; NORMAL            ; Untyped                                                             ;
831
; PLL_TYPE                      ; FAST              ; Untyped                                                             ;
832
; QUALIFY_CONF_DONE             ; OFF               ; Untyped                                                             ;
833
; COMPENSATE_CLOCK              ; CLK0              ; Untyped                                                             ;
834
; SCAN_CHAIN                    ; LONG              ; Untyped                                                             ;
835
; PRIMARY_CLOCK                 ; INCLK0            ; Untyped                                                             ;
836
; INCLK0_INPUT_FREQUENCY        ; 20000             ; Signed Integer                                                      ;
837
; INCLK1_INPUT_FREQUENCY        ; 0                 ; Untyped                                                             ;
838
; GATE_LOCK_SIGNAL              ; NO                ; Untyped                                                             ;
839
; GATE_LOCK_COUNTER             ; 0                 ; Untyped                                                             ;
840
; LOCK_HIGH                     ; 1                 ; Untyped                                                             ;
841
; LOCK_LOW                      ; 1                 ; Untyped                                                             ;
842
; VALID_LOCK_MULTIPLIER         ; 1                 ; Untyped                                                             ;
843
; INVALID_LOCK_MULTIPLIER       ; 5                 ; Untyped                                                             ;
844
; SWITCH_OVER_ON_LOSSCLK        ; OFF               ; Untyped                                                             ;
845
; SWITCH_OVER_ON_GATED_LOCK     ; OFF               ; Untyped                                                             ;
846
; ENABLE_SWITCH_OVER_COUNTER    ; OFF               ; Untyped                                                             ;
847
; SKIP_VCO                      ; OFF               ; Untyped                                                             ;
848
; SWITCH_OVER_COUNTER           ; 0                 ; Untyped                                                             ;
849
; SWITCH_OVER_TYPE              ; AUTO              ; Untyped                                                             ;
850
; FEEDBACK_SOURCE               ; EXTCLK0           ; Untyped                                                             ;
851
; BANDWIDTH                     ; 0                 ; Untyped                                                             ;
852
; BANDWIDTH_TYPE                ; AUTO              ; Untyped                                                             ;
853
; SPREAD_FREQUENCY              ; 0                 ; Untyped                                                             ;
854
; DOWN_SPREAD                   ; 0                 ; Untyped                                                             ;
855
; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF               ; Untyped                                                             ;
856
; SELF_RESET_ON_LOSS_LOCK       ; OFF               ; Untyped                                                             ;
857
; CLK9_MULTIPLY_BY              ; 0                 ; Untyped                                                             ;
858
; CLK8_MULTIPLY_BY              ; 0                 ; Untyped                                                             ;
859
; CLK7_MULTIPLY_BY              ; 0                 ; Untyped                                                             ;
860
; CLK6_MULTIPLY_BY              ; 0                 ; Untyped                                                             ;
861
; CLK5_MULTIPLY_BY              ; 1                 ; Untyped                                                             ;
862
; CLK4_MULTIPLY_BY              ; 1                 ; Untyped                                                             ;
863
; CLK3_MULTIPLY_BY              ; 1                 ; Untyped                                                             ;
864
; CLK2_MULTIPLY_BY              ; 1                 ; Signed Integer                                                      ;
865
; CLK1_MULTIPLY_BY              ; 1                 ; Untyped                                                             ;
866
; CLK0_MULTIPLY_BY              ; 1                 ; Signed Integer                                                      ;
867
; CLK9_DIVIDE_BY                ; 0                 ; Untyped                                                             ;
868
; CLK8_DIVIDE_BY                ; 0                 ; Untyped                                                             ;
869
; CLK7_DIVIDE_BY                ; 0                 ; Untyped                                                             ;
870
; CLK6_DIVIDE_BY                ; 0                 ; Untyped                                                             ;
871
; CLK5_DIVIDE_BY                ; 1                 ; Untyped                                                             ;
872
; CLK4_DIVIDE_BY                ; 1                 ; Untyped                                                             ;
873
; CLK3_DIVIDE_BY                ; 1                 ; Untyped                                                             ;
874
; CLK2_DIVIDE_BY                ; 1                 ; Signed Integer                                                      ;
875
; CLK1_DIVIDE_BY                ; 1                 ; Untyped                                                             ;
876
; CLK0_DIVIDE_BY                ; 1                 ; Signed Integer                                                      ;
877
; CLK9_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
878
; CLK8_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
879
; CLK7_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
880
; CLK6_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
881
; CLK5_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
882
; CLK4_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
883
; CLK3_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
884
; CLK2_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
885
; CLK1_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
886
; CLK0_PHASE_SHIFT              ; 0                 ; Untyped                                                             ;
887
; CLK5_TIME_DELAY               ; 0                 ; Untyped                                                             ;
888
; CLK4_TIME_DELAY               ; 0                 ; Untyped                                                             ;
889
; CLK3_TIME_DELAY               ; 0                 ; Untyped                                                             ;
890
; CLK2_TIME_DELAY               ; 0                 ; Untyped                                                             ;
891
; CLK1_TIME_DELAY               ; 0                 ; Untyped                                                             ;
892
; CLK0_TIME_DELAY               ; 0                 ; Untyped                                                             ;
893
; CLK9_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
894
; CLK8_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
895
; CLK7_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
896
; CLK6_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
897
; CLK5_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
898
; CLK4_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
899
; CLK3_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
900
; CLK2_DUTY_CYCLE               ; 50                ; Signed Integer                                                      ;
901
; CLK1_DUTY_CYCLE               ; 50                ; Untyped                                                             ;
902
; CLK0_DUTY_CYCLE               ; 50                ; Signed Integer                                                      ;
903
; CLK9_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
904
; CLK8_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
905
; CLK7_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
906
; CLK6_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
907
; CLK5_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
908
; CLK4_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
909
; CLK3_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
910
; CLK2_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
911
; CLK1_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
912
; CLK0_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                                                             ;
913
; CLK9_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
914
; CLK8_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
915
; CLK7_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
916
; CLK6_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
917
; CLK5_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
918
; CLK4_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
919
; CLK3_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
920
; CLK2_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
921
; CLK1_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
922
; CLK0_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                                                             ;
923
; LOCK_WINDOW_UI                ;  0.05             ; Untyped                                                             ;
924
; LOCK_WINDOW_UI_BITS           ; UNUSED            ; Untyped                                                             ;
925
; VCO_RANGE_DETECTOR_LOW_BITS   ; UNUSED            ; Untyped                                                             ;
926
; VCO_RANGE_DETECTOR_HIGH_BITS  ; UNUSED            ; Untyped                                                             ;
927
; DPA_MULTIPLY_BY               ; 0                 ; Untyped                                                             ;
928
; DPA_DIVIDE_BY                 ; 1                 ; Untyped                                                             ;
929
; DPA_DIVIDER                   ; 0                 ; Untyped                                                             ;
930
; EXTCLK3_MULTIPLY_BY           ; 1                 ; Untyped                                                             ;
931
; EXTCLK2_MULTIPLY_BY           ; 1                 ; Untyped                                                             ;
932
; EXTCLK1_MULTIPLY_BY           ; 1                 ; Untyped                                                             ;
933
; EXTCLK0_MULTIPLY_BY           ; 1                 ; Untyped                                                             ;
934
; EXTCLK3_DIVIDE_BY             ; 1                 ; Untyped                                                             ;
935
; EXTCLK2_DIVIDE_BY             ; 1                 ; Untyped                                                             ;
936
; EXTCLK1_DIVIDE_BY             ; 1                 ; Untyped                                                             ;
937
; EXTCLK0_DIVIDE_BY             ; 1                 ; Untyped                                                             ;
938
; EXTCLK3_PHASE_SHIFT           ; 0                 ; Untyped                                                             ;
939
; EXTCLK2_PHASE_SHIFT           ; 0                 ; Untyped                                                             ;
940
; EXTCLK1_PHASE_SHIFT           ; 0                 ; Untyped                                                             ;
941
; EXTCLK0_PHASE_SHIFT           ; 0                 ; Untyped                                                             ;
942
; EXTCLK3_TIME_DELAY            ; 0                 ; Untyped                                                             ;
943
; EXTCLK2_TIME_DELAY            ; 0                 ; Untyped                                                             ;
944
; EXTCLK1_TIME_DELAY            ; 0                 ; Untyped                                                             ;
945
; EXTCLK0_TIME_DELAY            ; 0                 ; Untyped                                                             ;
946
; EXTCLK3_DUTY_CYCLE            ; 50                ; Untyped                                                             ;
947
; EXTCLK2_DUTY_CYCLE            ; 50                ; Untyped                                                             ;
948
; EXTCLK1_DUTY_CYCLE            ; 50                ; Untyped                                                             ;
949
; EXTCLK0_DUTY_CYCLE            ; 50                ; Untyped                                                             ;
950
; VCO_MULTIPLY_BY               ; 0                 ; Untyped                                                             ;
951
; VCO_DIVIDE_BY                 ; 0                 ; Untyped                                                             ;
952
; SCLKOUT0_PHASE_SHIFT          ; 0                 ; Untyped                                                             ;
953
; SCLKOUT1_PHASE_SHIFT          ; 0                 ; Untyped                                                             ;
954
; VCO_MIN                       ; 0                 ; Untyped                                                             ;
955
; VCO_MAX                       ; 0                 ; Untyped                                                             ;
956
; VCO_CENTER                    ; 0                 ; Untyped                                                             ;
957
; PFD_MIN                       ; 0                 ; Untyped                                                             ;
958
; PFD_MAX                       ; 0                 ; Untyped                                                             ;
959
; M_INITIAL                     ; 0                 ; Untyped                                                             ;
960
; M                             ; 0                 ; Untyped                                                             ;
961
; N                             ; 1                 ; Untyped                                                             ;
962
; M2                            ; 1                 ; Untyped                                                             ;
963
; N2                            ; 1                 ; Untyped                                                             ;
964
; SS                            ; 1                 ; Untyped                                                             ;
965
; C0_HIGH                       ; 0                 ; Untyped                                                             ;
966
; C1_HIGH                       ; 0                 ; Untyped                                                             ;
967
; C2_HIGH                       ; 0                 ; Untyped                                                             ;
968
; C3_HIGH                       ; 0                 ; Untyped                                                             ;
969
; C4_HIGH                       ; 0                 ; Untyped                                                             ;
970
; C5_HIGH                       ; 0                 ; Untyped                                                             ;
971
; C6_HIGH                       ; 0                 ; Untyped                                                             ;
972
; C7_HIGH                       ; 0                 ; Untyped                                                             ;
973
; C8_HIGH                       ; 0                 ; Untyped                                                             ;
974
; C9_HIGH                       ; 0                 ; Untyped                                                             ;
975
; C0_LOW                        ; 0                 ; Untyped                                                             ;
976
; C1_LOW                        ; 0                 ; Untyped                                                             ;
977
; C2_LOW                        ; 0                 ; Untyped                                                             ;
978
; C3_LOW                        ; 0                 ; Untyped                                                             ;
979
; C4_LOW                        ; 0                 ; Untyped                                                             ;
980
; C5_LOW                        ; 0                 ; Untyped                                                             ;
981
; C6_LOW                        ; 0                 ; Untyped                                                             ;
982
; C7_LOW                        ; 0                 ; Untyped                                                             ;
983
; C8_LOW                        ; 0                 ; Untyped                                                             ;
984
; C9_LOW                        ; 0                 ; Untyped                                                             ;
985
; C0_INITIAL                    ; 0                 ; Untyped                                                             ;
986
; C1_INITIAL                    ; 0                 ; Untyped                                                             ;
987
; C2_INITIAL                    ; 0                 ; Untyped                                                             ;
988
; C3_INITIAL                    ; 0                 ; Untyped                                                             ;
989
; C4_INITIAL                    ; 0                 ; Untyped                                                             ;
990
; C5_INITIAL                    ; 0                 ; Untyped                                                             ;
991
; C6_INITIAL                    ; 0                 ; Untyped                                                             ;
992
; C7_INITIAL                    ; 0                 ; Untyped                                                             ;
993
; C8_INITIAL                    ; 0                 ; Untyped                                                             ;
994
; C9_INITIAL                    ; 0                 ; Untyped                                                             ;
995
; C0_MODE                       ; BYPASS            ; Untyped                                                             ;
996
; C1_MODE                       ; BYPASS            ; Untyped                                                             ;
997
; C2_MODE                       ; BYPASS            ; Untyped                                                             ;
998
; C3_MODE                       ; BYPASS            ; Untyped                                                             ;
999
; C4_MODE                       ; BYPASS            ; Untyped                                                             ;
1000
; C5_MODE                       ; BYPASS            ; Untyped                                                             ;
1001
; C6_MODE                       ; BYPASS            ; Untyped                                                             ;
1002
; C7_MODE                       ; BYPASS            ; Untyped                                                             ;
1003
; C8_MODE                       ; BYPASS            ; Untyped                                                             ;
1004
; C9_MODE                       ; BYPASS            ; Untyped                                                             ;
1005
; C0_PH                         ; 0                 ; Untyped                                                             ;
1006
; C1_PH                         ; 0                 ; Untyped                                                             ;
1007
; C2_PH                         ; 0                 ; Untyped                                                             ;
1008
; C3_PH                         ; 0                 ; Untyped                                                             ;
1009
; C4_PH                         ; 0                 ; Untyped                                                             ;
1010
; C5_PH                         ; 0                 ; Untyped                                                             ;
1011
; C6_PH                         ; 0                 ; Untyped                                                             ;
1012
; C7_PH                         ; 0                 ; Untyped                                                             ;
1013
; C8_PH                         ; 0                 ; Untyped                                                             ;
1014
; C9_PH                         ; 0                 ; Untyped                                                             ;
1015
; L0_HIGH                       ; 1                 ; Untyped                                                             ;
1016
; L1_HIGH                       ; 1                 ; Untyped                                                             ;
1017
; G0_HIGH                       ; 1                 ; Untyped                                                             ;
1018
; G1_HIGH                       ; 1                 ; Untyped                                                             ;
1019
; G2_HIGH                       ; 1                 ; Untyped                                                             ;
1020
; G3_HIGH                       ; 1                 ; Untyped                                                             ;
1021
; E0_HIGH                       ; 1                 ; Untyped                                                             ;
1022
; E1_HIGH                       ; 1                 ; Untyped                                                             ;
1023
; E2_HIGH                       ; 1                 ; Untyped                                                             ;
1024
; E3_HIGH                       ; 1                 ; Untyped                                                             ;
1025
; L0_LOW                        ; 1                 ; Untyped                                                             ;
1026
; L1_LOW                        ; 1                 ; Untyped                                                             ;
1027
; G0_LOW                        ; 1                 ; Untyped                                                             ;
1028
; G1_LOW                        ; 1                 ; Untyped                                                             ;
1029
; G2_LOW                        ; 1                 ; Untyped                                                             ;
1030
; G3_LOW                        ; 1                 ; Untyped                                                             ;
1031
; E0_LOW                        ; 1                 ; Untyped                                                             ;
1032
; E1_LOW                        ; 1                 ; Untyped                                                             ;
1033
; E2_LOW                        ; 1                 ; Untyped                                                             ;
1034
; E3_LOW                        ; 1                 ; Untyped                                                             ;
1035
; L0_INITIAL                    ; 1                 ; Untyped                                                             ;
1036
; L1_INITIAL                    ; 1                 ; Untyped                                                             ;
1037
; G0_INITIAL                    ; 1                 ; Untyped                                                             ;
1038
; G1_INITIAL                    ; 1                 ; Untyped                                                             ;
1039
; G2_INITIAL                    ; 1                 ; Untyped                                                             ;
1040
; G3_INITIAL                    ; 1                 ; Untyped                                                             ;
1041
; E0_INITIAL                    ; 1                 ; Untyped                                                             ;
1042
; E1_INITIAL                    ; 1                 ; Untyped                                                             ;
1043
; E2_INITIAL                    ; 1                 ; Untyped                                                             ;
1044
; E3_INITIAL                    ; 1                 ; Untyped                                                             ;
1045
; L0_MODE                       ; BYPASS            ; Untyped                                                             ;
1046
; L1_MODE                       ; BYPASS            ; Untyped                                                             ;
1047
; G0_MODE                       ; BYPASS            ; Untyped                                                             ;
1048
; G1_MODE                       ; BYPASS            ; Untyped                                                             ;
1049
; G2_MODE                       ; BYPASS            ; Untyped                                                             ;
1050
; G3_MODE                       ; BYPASS            ; Untyped                                                             ;
1051
; E0_MODE                       ; BYPASS            ; Untyped                                                             ;
1052
; E1_MODE                       ; BYPASS            ; Untyped                                                             ;
1053
; E2_MODE                       ; BYPASS            ; Untyped                                                             ;
1054
; E3_MODE                       ; BYPASS            ; Untyped                                                             ;
1055
; L0_PH                         ; 0                 ; Untyped                                                             ;
1056
; L1_PH                         ; 0                 ; Untyped                                                             ;
1057
; G0_PH                         ; 0                 ; Untyped                                                             ;
1058
; G1_PH                         ; 0                 ; Untyped                                                             ;
1059
; G2_PH                         ; 0                 ; Untyped                                                             ;
1060
; G3_PH                         ; 0                 ; Untyped                                                             ;
1061
; E0_PH                         ; 0                 ; Untyped                                                             ;
1062
; E1_PH                         ; 0                 ; Untyped                                                             ;
1063
; E2_PH                         ; 0                 ; Untyped                                                             ;
1064
; E3_PH                         ; 0                 ; Untyped                                                             ;
1065
; M_PH                          ; 0                 ; Untyped                                                             ;
1066
; C1_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1067
; C2_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1068
; C3_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1069
; C4_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1070
; C5_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1071
; C6_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1072
; C7_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1073
; C8_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1074
; C9_USE_CASC_IN                ; OFF               ; Untyped                                                             ;
1075
; CLK0_COUNTER                  ; G0                ; Untyped                                                             ;
1076
; CLK1_COUNTER                  ; G0                ; Untyped                                                             ;
1077
; CLK2_COUNTER                  ; G0                ; Untyped                                                             ;
1078
; CLK3_COUNTER                  ; G0                ; Untyped                                                             ;
1079
; CLK4_COUNTER                  ; G0                ; Untyped                                                             ;
1080
; CLK5_COUNTER                  ; G0                ; Untyped                                                             ;
1081
; CLK6_COUNTER                  ; E0                ; Untyped                                                             ;
1082
; CLK7_COUNTER                  ; E1                ; Untyped                                                             ;
1083
; CLK8_COUNTER                  ; E2                ; Untyped                                                             ;
1084
; CLK9_COUNTER                  ; E3                ; Untyped                                                             ;
1085
; L0_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1086
; L1_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1087
; G0_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1088
; G1_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1089
; G2_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1090
; G3_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1091
; E0_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1092
; E1_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1093
; E2_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1094
; E3_TIME_DELAY                 ; 0                 ; Untyped                                                             ;
1095
; M_TIME_DELAY                  ; 0                 ; Untyped                                                             ;
1096
; N_TIME_DELAY                  ; 0                 ; Untyped                                                             ;
1097
; EXTCLK3_COUNTER               ; E3                ; Untyped                                                             ;
1098
; EXTCLK2_COUNTER               ; E2                ; Untyped                                                             ;
1099
; EXTCLK1_COUNTER               ; E1                ; Untyped                                                             ;
1100
; EXTCLK0_COUNTER               ; E0                ; Untyped                                                             ;
1101
; ENABLE0_COUNTER               ; L0                ; Untyped                                                             ;
1102
; ENABLE1_COUNTER               ; L0                ; Untyped                                                             ;
1103
; CHARGE_PUMP_CURRENT           ; 2                 ; Untyped                                                             ;
1104
; LOOP_FILTER_R                 ;  1.000000         ; Untyped                                                             ;
1105
; LOOP_FILTER_C                 ; 5                 ; Untyped                                                             ;
1106
; CHARGE_PUMP_CURRENT_BITS      ; 9999              ; Untyped                                                             ;
1107
; LOOP_FILTER_R_BITS            ; 9999              ; Untyped                                                             ;
1108
; LOOP_FILTER_C_BITS            ; 9999              ; Untyped                                                             ;
1109
; VCO_POST_SCALE                ; 0                 ; Untyped                                                             ;
1110
; CLK2_OUTPUT_FREQUENCY         ; 0                 ; Untyped                                                             ;
1111
; CLK1_OUTPUT_FREQUENCY         ; 0                 ; Untyped                                                             ;
1112
; CLK0_OUTPUT_FREQUENCY         ; 0                 ; Untyped                                                             ;
1113
; INTENDED_DEVICE_FAMILY        ; Cyclone II        ; Untyped                                                             ;
1114
; PORT_CLKENA0                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1115
; PORT_CLKENA1                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1116
; PORT_CLKENA2                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1117
; PORT_CLKENA3                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1118
; PORT_CLKENA4                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1119
; PORT_CLKENA5                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1120
; PORT_EXTCLKENA0               ; PORT_CONNECTIVITY ; Untyped                                                             ;
1121
; PORT_EXTCLKENA1               ; PORT_CONNECTIVITY ; Untyped                                                             ;
1122
; PORT_EXTCLKENA2               ; PORT_CONNECTIVITY ; Untyped                                                             ;
1123
; PORT_EXTCLKENA3               ; PORT_CONNECTIVITY ; Untyped                                                             ;
1124
; PORT_EXTCLK0                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1125
; PORT_EXTCLK1                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1126
; PORT_EXTCLK2                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1127
; PORT_EXTCLK3                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1128
; PORT_CLKBAD0                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1129
; PORT_CLKBAD1                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1130
; PORT_CLK0                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1131
; PORT_CLK1                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1132
; PORT_CLK2                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1133
; PORT_CLK3                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1134
; PORT_CLK4                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1135
; PORT_CLK5                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1136
; PORT_CLK6                     ; PORT_UNUSED       ; Untyped                                                             ;
1137
; PORT_CLK7                     ; PORT_UNUSED       ; Untyped                                                             ;
1138
; PORT_CLK8                     ; PORT_UNUSED       ; Untyped                                                             ;
1139
; PORT_CLK9                     ; PORT_UNUSED       ; Untyped                                                             ;
1140
; PORT_SCANDATA                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1141
; PORT_SCANDATAOUT              ; PORT_CONNECTIVITY ; Untyped                                                             ;
1142
; PORT_SCANDONE                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1143
; PORT_SCLKOUT1                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1144
; PORT_SCLKOUT0                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1145
; PORT_ACTIVECLOCK              ; PORT_CONNECTIVITY ; Untyped                                                             ;
1146
; PORT_CLKLOSS                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1147
; PORT_INCLK1                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1148
; PORT_INCLK0                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1149
; PORT_FBIN                     ; PORT_CONNECTIVITY ; Untyped                                                             ;
1150
; PORT_PLLENA                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1151
; PORT_CLKSWITCH                ; PORT_CONNECTIVITY ; Untyped                                                             ;
1152
; PORT_ARESET                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1153
; PORT_PFDENA                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1154
; PORT_SCANCLK                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1155
; PORT_SCANACLR                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1156
; PORT_SCANREAD                 ; PORT_CONNECTIVITY ; Untyped                                                             ;
1157
; PORT_SCANWRITE                ; PORT_CONNECTIVITY ; Untyped                                                             ;
1158
; PORT_ENABLE0                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1159
; PORT_ENABLE1                  ; PORT_CONNECTIVITY ; Untyped                                                             ;
1160
; PORT_LOCKED                   ; PORT_CONNECTIVITY ; Untyped                                                             ;
1161
; PORT_CONFIGUPDATE             ; PORT_CONNECTIVITY ; Untyped                                                             ;
1162
; PORT_FBOUT                    ; PORT_CONNECTIVITY ; Untyped                                                             ;
1163
; PORT_PHASEDONE                ; PORT_CONNECTIVITY ; Untyped                                                             ;
1164
; PORT_PHASESTEP                ; PORT_CONNECTIVITY ; Untyped                                                             ;
1165
; PORT_PHASEUPDOWN              ; PORT_CONNECTIVITY ; Untyped                                                             ;
1166
; PORT_SCANCLKENA               ; PORT_CONNECTIVITY ; Untyped                                                             ;
1167
; PORT_PHASECOUNTERSELECT       ; PORT_CONNECTIVITY ; Untyped                                                             ;
1168
; PORT_VCOOVERRANGE             ; PORT_CONNECTIVITY ; Untyped                                                             ;
1169
; PORT_VCOUNDERRANGE            ; PORT_CONNECTIVITY ; Untyped                                                             ;
1170
; M_TEST_SOURCE                 ; 5                 ; Untyped                                                             ;
1171
; C0_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1172
; C1_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1173
; C2_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1174
; C3_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1175
; C4_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1176
; C5_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1177
; C6_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1178
; C7_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1179
; C8_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1180
; C9_TEST_SOURCE                ; 5                 ; Untyped                                                             ;
1181
; CBXI_PARAMETER                ; NOTHING           ; Untyped                                                             ;
1182
; VCO_FREQUENCY_CONTROL         ; AUTO              ; Untyped                                                             ;
1183
; VCO_PHASE_SHIFT_STEP          ; 0                 ; Untyped                                                             ;
1184
; WIDTH_CLOCK                   ; 6                 ; Untyped                                                             ;
1185
; WIDTH_PHASECOUNTERSELECT      ; 4                 ; Untyped                                                             ;
1186
; USING_FBMIMICBIDIR_PORT       ; OFF               ; Untyped                                                             ;
1187
; DEVICE_FAMILY                 ; Cyclone II        ; Untyped                                                             ;
1188
; SCAN_CHAIN_MIF_FILE           ; UNUSED            ; Untyped                                                             ;
1189
; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF               ; Untyped                                                             ;
1190
; AUTO_CARRY_CHAINS             ; ON                ; AUTO_CARRY                                                          ;
1191
; IGNORE_CARRY_BUFFERS          ; OFF               ; IGNORE_CARRY                                                        ;
1192
; AUTO_CASCADE_CHAINS           ; ON                ; AUTO_CASCADE                                                        ;
1193
; IGNORE_CASCADE_BUFFERS        ; OFF               ; IGNORE_CASCADE                                                      ;
1194
+-------------------------------+-------------------+---------------------------------------------------------------------+
1195
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1196
 
1197
 
1198
+------------------------------------------------------------------------------------------------------------+
1199
; Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1 ;
1200
+----------------+-------+-----------------------------------------------------------------------------------+
1201
; Parameter Name ; Value ; Type                                                                              ;
1202
+----------------+-------+-----------------------------------------------------------------------------------+
1203
; INIT_PER       ; 24000 ; Signed Integer                                                                    ;
1204
; REF_PER        ; 1024  ; Signed Integer                                                                    ;
1205
; SC_CL          ; 3     ; Signed Integer                                                                    ;
1206
; SC_RCD         ; 3     ; Signed Integer                                                                    ;
1207
; SC_RRD         ; 7     ; Signed Integer                                                                    ;
1208
; SC_PM          ; 1     ; Signed Integer                                                                    ;
1209
; SC_BL          ; 1     ; Signed Integer                                                                    ;
1210
; SDR_BL         ; 111   ; Unsigned Binary                                                                   ;
1211
; SDR_BT         ; 0     ; Unsigned Binary                                                                   ;
1212
; SDR_CL         ; 011   ; Unsigned Binary                                                                   ;
1213
+----------------+-------+-----------------------------------------------------------------------------------+
1214
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1215
 
1216
 
1217
+--------------------------------------------------------------------------------------------------+
1218
; Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|command:command1 ;
1219
+----------------+-------+-------------------------------------------------------------------------+
1220
; Parameter Name ; Value ; Type                                                                    ;
1221
+----------------+-------+-------------------------------------------------------------------------+
1222
; INIT_PER       ; 24000 ; Signed Integer                                                          ;
1223
; REF_PER        ; 1024  ; Signed Integer                                                          ;
1224
; SC_CL          ; 3     ; Signed Integer                                                          ;
1225
; SC_RCD         ; 3     ; Signed Integer                                                          ;
1226
; SC_RRD         ; 7     ; Signed Integer                                                          ;
1227
; SC_PM          ; 1     ; Signed Integer                                                          ;
1228
; SC_BL          ; 1     ; Signed Integer                                                          ;
1229
; SDR_BL         ; 111   ; Unsigned Binary                                                         ;
1230
; SDR_BT         ; 0     ; Unsigned Binary                                                         ;
1231
; SDR_CL         ; 011   ; Unsigned Binary                                                         ;
1232
+----------------+-------+-------------------------------------------------------------------------+
1233
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1234
 
1235
 
1236
+----------------------------------------------------------------------------------------------------------+
1237
; Parameter Settings for User Entity Instance: Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1 ;
1238
+----------------+-------+---------------------------------------------------------------------------------+
1239
; Parameter Name ; Value ; Type                                                                            ;
1240
+----------------+-------+---------------------------------------------------------------------------------+
1241
; INIT_PER       ; 24000 ; Signed Integer                                                                  ;
1242
; REF_PER        ; 1024  ; Signed Integer                                                                  ;
1243
; SC_CL          ; 3     ; Signed Integer                                                                  ;
1244
; SC_RCD         ; 3     ; Signed Integer                                                                  ;
1245
; SC_RRD         ; 7     ; Signed Integer                                                                  ;
1246
; SC_PM          ; 1     ; Signed Integer                                                                  ;
1247
; SC_BL          ; 1     ; Signed Integer                                                                  ;
1248
; SDR_BL         ; 111   ; Unsigned Binary                                                                 ;
1249
; SDR_BT         ; 0     ; Unsigned Binary                                                                 ;
1250
; SDR_CL         ; 011   ; Unsigned Binary                                                                 ;
1251
+----------------+-------+---------------------------------------------------------------------------------+
1252
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1253
 
1254
 
1255
+--------------------------------------------------------------+
1256
; Parameter Settings for User Entity Instance: ps2_keyboard:u4 ;
1257
+-----------------------------+-------+------------------------+
1258
; Parameter Name              ; Value ; Type                   ;
1259
+-----------------------------+-------+------------------------+
1260
; TIMER_60USEC_VALUE_PP       ; 2950  ; Signed Integer         ;
1261
; TIMER_60USEC_BITS_PP        ; 12    ; Signed Integer         ;
1262
; TIMER_5USEC_VALUE_PP        ; 186   ; Signed Integer         ;
1263
; TIMER_5USEC_BITS_PP         ; 8     ; Signed Integer         ;
1264
; TRAP_SHIFT_KEYS_PP          ; 0     ; Signed Integer         ;
1265
; m1_rx_clk_h                 ; 1     ; Signed Integer         ;
1266
; m1_rx_clk_l                 ; 0     ; Signed Integer         ;
1267
; m1_rx_falling_edge_marker   ; 13    ; Signed Integer         ;
1268
; m1_rx_rising_edge_marker    ; 14    ; Signed Integer         ;
1269
; m1_tx_force_clk_l           ; 3     ; Signed Integer         ;
1270
; m1_tx_first_wait_clk_h      ; 10    ; Signed Integer         ;
1271
; m1_tx_first_wait_clk_l      ; 11    ; Signed Integer         ;
1272
; m1_tx_reset_timer           ; 12    ; Signed Integer         ;
1273
; m1_tx_wait_clk_h            ; 2     ; Signed Integer         ;
1274
; m1_tx_clk_h                 ; 4     ; Signed Integer         ;
1275
; m1_tx_clk_l                 ; 5     ; Signed Integer         ;
1276
; m1_tx_wait_keyboard_ack     ; 6     ; Signed Integer         ;
1277
; m1_tx_done_recovery         ; 7     ; Signed Integer         ;
1278
; m1_tx_error_no_keyboard_ack ; 8     ; Signed Integer         ;
1279
; m1_tx_rising_edge_marker    ; 9     ; Signed Integer         ;
1280
; m2_rx_data_ready            ; 1     ; Signed Integer         ;
1281
; m2_rx_data_ready_ack        ; 0     ; Signed Integer         ;
1282
+-----------------------------+-------+------------------------+
1283
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1284
 
1285
 
1286
+------------------------------------------------------------+
1287
; Parameter Settings for User Entity Instance: CMD_Decode:u5 ;
1288
+----------------+----------+--------------------------------+
1289
; Parameter Name ; Value    ; Type                           ;
1290
+----------------+----------+--------------------------------+
1291
; SETUP          ; 01100001 ; Unsigned Binary                ;
1292
; ERASE          ; 01110010 ; Unsigned Binary                ;
1293
; WRITE          ; 10000011 ; Unsigned Binary                ;
1294
; READ           ; 10010100 ; Unsigned Binary                ;
1295
; LCD_DAT        ; 10000011 ; Unsigned Binary                ;
1296
; LCD_CMD        ; 10010100 ; Unsigned Binary                ;
1297
; LED            ; 11110000 ; Unsigned Binary                ;
1298
; SEG7           ; 11100001 ; Unsigned Binary                ;
1299
; PS2            ; 11010010 ; Unsigned Binary                ;
1300
; FLASH          ; 11000011 ; Unsigned Binary                ;
1301
; SDRAM          ; 10110100 ; Unsigned Binary                ;
1302
; SRAM           ; 10100101 ; Unsigned Binary                ;
1303
; LCD            ; 10010110 ; Unsigned Binary                ;
1304
; VGA            ; 10000111 ; Unsigned Binary                ;
1305
; SDRSEL         ; 00011111 ; Unsigned Binary                ;
1306
; FLSEL          ; 00101110 ; Unsigned Binary                ;
1307
; EXTIO          ; 00111101 ; Unsigned Binary                ;
1308
; SET_REG        ; 01001100 ; Unsigned Binary                ;
1309
; SRSEL          ; 01011011 ; Unsigned Binary                ;
1310
; OUTSEL         ; 00110011 ; Unsigned Binary                ;
1311
; NORMAL         ; 10101010 ; Unsigned Binary                ;
1312
; DISPLAY        ; 11001100 ; Unsigned Binary                ;
1313
; BURST          ; 11111111 ; Unsigned Binary                ;
1314
; CMD_READ       ; 000      ; Unsigned Binary                ;
1315
; CMD_WRITE      ; 001      ; Unsigned Binary                ;
1316
; CMD_BLK_ERA    ; 010      ; Unsigned Binary                ;
1317
; CMD_SEC_ERA    ; 011      ; Unsigned Binary                ;
1318
; CMD_CHP_ERA    ; 100      ; Unsigned Binary                ;
1319
; CMD_ENTRY_ID   ; 101      ; Unsigned Binary                ;
1320
; CMD_RESET      ; 110      ; Unsigned Binary                ;
1321
+----------------+----------+--------------------------------+
1322
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1323
 
1324
 
1325
+---------------------------------------------------------------------------------------+
1326
; Parameter Settings for User Entity Instance: VGA_Audio_PLL:p1|altpll:altpll_component ;
1327
+-------------------------------+-------------------+-----------------------------------+
1328
; Parameter Name                ; Value             ; Type                              ;
1329
+-------------------------------+-------------------+-----------------------------------+
1330
; OPERATION_MODE                ; NORMAL            ; Untyped                           ;
1331
; PLL_TYPE                      ; FAST              ; Untyped                           ;
1332
; QUALIFY_CONF_DONE             ; OFF               ; Untyped                           ;
1333
; COMPENSATE_CLOCK              ; CLK0              ; Untyped                           ;
1334
; SCAN_CHAIN                    ; LONG              ; Untyped                           ;
1335
; PRIMARY_CLOCK                 ; INCLK0            ; Untyped                           ;
1336
; INCLK0_INPUT_FREQUENCY        ; 37037             ; Signed Integer                    ;
1337
; INCLK1_INPUT_FREQUENCY        ; 0                 ; Untyped                           ;
1338
; GATE_LOCK_SIGNAL              ; NO                ; Untyped                           ;
1339
; GATE_LOCK_COUNTER             ; 0                 ; Untyped                           ;
1340
; LOCK_HIGH                     ; 1                 ; Untyped                           ;
1341
; LOCK_LOW                      ; 1                 ; Untyped                           ;
1342
; VALID_LOCK_MULTIPLIER         ; 1                 ; Untyped                           ;
1343
; INVALID_LOCK_MULTIPLIER       ; 5                 ; Untyped                           ;
1344
; SWITCH_OVER_ON_LOSSCLK        ; OFF               ; Untyped                           ;
1345
; SWITCH_OVER_ON_GATED_LOCK     ; OFF               ; Untyped                           ;
1346
; ENABLE_SWITCH_OVER_COUNTER    ; OFF               ; Untyped                           ;
1347
; SKIP_VCO                      ; OFF               ; Untyped                           ;
1348
; SWITCH_OVER_COUNTER           ; 0                 ; Untyped                           ;
1349
; SWITCH_OVER_TYPE              ; AUTO              ; Untyped                           ;
1350
; FEEDBACK_SOURCE               ; EXTCLK0           ; Untyped                           ;
1351
; BANDWIDTH                     ; 0                 ; Untyped                           ;
1352
; BANDWIDTH_TYPE                ; AUTO              ; Untyped                           ;
1353
; SPREAD_FREQUENCY              ; 0                 ; Untyped                           ;
1354
; DOWN_SPREAD                   ; 0                 ; Untyped                           ;
1355
; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF               ; Untyped                           ;
1356
; SELF_RESET_ON_LOSS_LOCK       ; OFF               ; Untyped                           ;
1357
; CLK9_MULTIPLY_BY              ; 0                 ; Untyped                           ;
1358
; CLK8_MULTIPLY_BY              ; 0                 ; Untyped                           ;
1359
; CLK7_MULTIPLY_BY              ; 0                 ; Untyped                           ;
1360
; CLK6_MULTIPLY_BY              ; 0                 ; Untyped                           ;
1361
; CLK5_MULTIPLY_BY              ; 1                 ; Untyped                           ;
1362
; CLK4_MULTIPLY_BY              ; 1                 ; Untyped                           ;
1363
; CLK3_MULTIPLY_BY              ; 1                 ; Untyped                           ;
1364
; CLK2_MULTIPLY_BY              ; 1                 ; Untyped                           ;
1365
; CLK1_MULTIPLY_BY              ; 2                 ; Signed Integer                    ;
1366
; CLK0_MULTIPLY_BY              ; 14                ; Signed Integer                    ;
1367
; CLK9_DIVIDE_BY                ; 0                 ; Untyped                           ;
1368
; CLK8_DIVIDE_BY                ; 0                 ; Untyped                           ;
1369
; CLK7_DIVIDE_BY                ; 0                 ; Untyped                           ;
1370
; CLK6_DIVIDE_BY                ; 0                 ; Untyped                           ;
1371
; CLK5_DIVIDE_BY                ; 1                 ; Untyped                           ;
1372
; CLK4_DIVIDE_BY                ; 1                 ; Untyped                           ;
1373
; CLK3_DIVIDE_BY                ; 1                 ; Untyped                           ;
1374
; CLK2_DIVIDE_BY                ; 1                 ; Untyped                           ;
1375
; CLK1_DIVIDE_BY                ; 3                 ; Signed Integer                    ;
1376
; CLK0_DIVIDE_BY                ; 15                ; Signed Integer                    ;
1377
; CLK9_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1378
; CLK8_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1379
; CLK7_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1380
; CLK6_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1381
; CLK5_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1382
; CLK4_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1383
; CLK3_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1384
; CLK2_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1385
; CLK1_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1386
; CLK0_PHASE_SHIFT              ; 0                 ; Untyped                           ;
1387
; CLK5_TIME_DELAY               ; 0                 ; Untyped                           ;
1388
; CLK4_TIME_DELAY               ; 0                 ; Untyped                           ;
1389
; CLK3_TIME_DELAY               ; 0                 ; Untyped                           ;
1390
; CLK2_TIME_DELAY               ; 0                 ; Untyped                           ;
1391
; CLK1_TIME_DELAY               ; 0                 ; Untyped                           ;
1392
; CLK0_TIME_DELAY               ; 0                 ; Untyped                           ;
1393
; CLK9_DUTY_CYCLE               ; 50                ; Untyped                           ;
1394
; CLK8_DUTY_CYCLE               ; 50                ; Untyped                           ;
1395
; CLK7_DUTY_CYCLE               ; 50                ; Untyped                           ;
1396
; CLK6_DUTY_CYCLE               ; 50                ; Untyped                           ;
1397
; CLK5_DUTY_CYCLE               ; 50                ; Untyped                           ;
1398
; CLK4_DUTY_CYCLE               ; 50                ; Untyped                           ;
1399
; CLK3_DUTY_CYCLE               ; 50                ; Untyped                           ;
1400
; CLK2_DUTY_CYCLE               ; 50                ; Untyped                           ;
1401
; CLK1_DUTY_CYCLE               ; 50                ; Signed Integer                    ;
1402
; CLK0_DUTY_CYCLE               ; 50                ; Signed Integer                    ;
1403
; CLK9_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1404
; CLK8_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1405
; CLK7_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1406
; CLK6_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1407
; CLK5_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1408
; CLK4_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1409
; CLK3_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1410
; CLK2_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1411
; CLK1_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1412
; CLK0_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                           ;
1413
; CLK9_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1414
; CLK8_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1415
; CLK7_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1416
; CLK6_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1417
; CLK5_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1418
; CLK4_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1419
; CLK3_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1420
; CLK2_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1421
; CLK1_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1422
; CLK0_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                           ;
1423
; LOCK_WINDOW_UI                ;  0.05             ; Untyped                           ;
1424
; LOCK_WINDOW_UI_BITS           ; UNUSED            ; Untyped                           ;
1425
; VCO_RANGE_DETECTOR_LOW_BITS   ; UNUSED            ; Untyped                           ;
1426
; VCO_RANGE_DETECTOR_HIGH_BITS  ; UNUSED            ; Untyped                           ;
1427
; DPA_MULTIPLY_BY               ; 0                 ; Untyped                           ;
1428
; DPA_DIVIDE_BY                 ; 1                 ; Untyped                           ;
1429
; DPA_DIVIDER                   ; 0                 ; Untyped                           ;
1430
; EXTCLK3_MULTIPLY_BY           ; 1                 ; Untyped                           ;
1431
; EXTCLK2_MULTIPLY_BY           ; 1                 ; Untyped                           ;
1432
; EXTCLK1_MULTIPLY_BY           ; 1                 ; Untyped                           ;
1433
; EXTCLK0_MULTIPLY_BY           ; 1                 ; Untyped                           ;
1434
; EXTCLK3_DIVIDE_BY             ; 1                 ; Untyped                           ;
1435
; EXTCLK2_DIVIDE_BY             ; 1                 ; Untyped                           ;
1436
; EXTCLK1_DIVIDE_BY             ; 1                 ; Untyped                           ;
1437
; EXTCLK0_DIVIDE_BY             ; 1                 ; Untyped                           ;
1438
; EXTCLK3_PHASE_SHIFT           ; 0                 ; Untyped                           ;
1439
; EXTCLK2_PHASE_SHIFT           ; 0                 ; Untyped                           ;
1440
; EXTCLK1_PHASE_SHIFT           ; 0                 ; Untyped                           ;
1441
; EXTCLK0_PHASE_SHIFT           ; 0                 ; Untyped                           ;
1442
; EXTCLK3_TIME_DELAY            ; 0                 ; Untyped                           ;
1443
; EXTCLK2_TIME_DELAY            ; 0                 ; Untyped                           ;
1444
; EXTCLK1_TIME_DELAY            ; 0                 ; Untyped                           ;
1445
; EXTCLK0_TIME_DELAY            ; 0                 ; Untyped                           ;
1446
; EXTCLK3_DUTY_CYCLE            ; 50                ; Untyped                           ;
1447
; EXTCLK2_DUTY_CYCLE            ; 50                ; Untyped                           ;
1448
; EXTCLK1_DUTY_CYCLE            ; 50                ; Untyped                           ;
1449
; EXTCLK0_DUTY_CYCLE            ; 50                ; Untyped                           ;
1450
; VCO_MULTIPLY_BY               ; 0                 ; Untyped                           ;
1451
; VCO_DIVIDE_BY                 ; 0                 ; Untyped                           ;
1452
; SCLKOUT0_PHASE_SHIFT          ; 0                 ; Untyped                           ;
1453
; SCLKOUT1_PHASE_SHIFT          ; 0                 ; Untyped                           ;
1454
; VCO_MIN                       ; 0                 ; Untyped                           ;
1455
; VCO_MAX                       ; 0                 ; Untyped                           ;
1456
; VCO_CENTER                    ; 0                 ; Untyped                           ;
1457
; PFD_MIN                       ; 0                 ; Untyped                           ;
1458
; PFD_MAX                       ; 0                 ; Untyped                           ;
1459
; M_INITIAL                     ; 0                 ; Untyped                           ;
1460
; M                             ; 0                 ; Untyped                           ;
1461
; N                             ; 1                 ; Untyped                           ;
1462
; M2                            ; 1                 ; Untyped                           ;
1463
; N2                            ; 1                 ; Untyped                           ;
1464
; SS                            ; 1                 ; Untyped                           ;
1465
; C0_HIGH                       ; 0                 ; Untyped                           ;
1466
; C1_HIGH                       ; 0                 ; Untyped                           ;
1467
; C2_HIGH                       ; 0                 ; Untyped                           ;
1468
; C3_HIGH                       ; 0                 ; Untyped                           ;
1469
; C4_HIGH                       ; 0                 ; Untyped                           ;
1470
; C5_HIGH                       ; 0                 ; Untyped                           ;
1471
; C6_HIGH                       ; 0                 ; Untyped                           ;
1472
; C7_HIGH                       ; 0                 ; Untyped                           ;
1473
; C8_HIGH                       ; 0                 ; Untyped                           ;
1474
; C9_HIGH                       ; 0                 ; Untyped                           ;
1475
; C0_LOW                        ; 0                 ; Untyped                           ;
1476
; C1_LOW                        ; 0                 ; Untyped                           ;
1477
; C2_LOW                        ; 0                 ; Untyped                           ;
1478
; C3_LOW                        ; 0                 ; Untyped                           ;
1479
; C4_LOW                        ; 0                 ; Untyped                           ;
1480
; C5_LOW                        ; 0                 ; Untyped                           ;
1481
; C6_LOW                        ; 0                 ; Untyped                           ;
1482
; C7_LOW                        ; 0                 ; Untyped                           ;
1483
; C8_LOW                        ; 0                 ; Untyped                           ;
1484
; C9_LOW                        ; 0                 ; Untyped                           ;
1485
; C0_INITIAL                    ; 0                 ; Untyped                           ;
1486
; C1_INITIAL                    ; 0                 ; Untyped                           ;
1487
; C2_INITIAL                    ; 0                 ; Untyped                           ;
1488
; C3_INITIAL                    ; 0                 ; Untyped                           ;
1489
; C4_INITIAL                    ; 0                 ; Untyped                           ;
1490
; C5_INITIAL                    ; 0                 ; Untyped                           ;
1491
; C6_INITIAL                    ; 0                 ; Untyped                           ;
1492
; C7_INITIAL                    ; 0                 ; Untyped                           ;
1493
; C8_INITIAL                    ; 0                 ; Untyped                           ;
1494
; C9_INITIAL                    ; 0                 ; Untyped                           ;
1495
; C0_MODE                       ; BYPASS            ; Untyped                           ;
1496
; C1_MODE                       ; BYPASS            ; Untyped                           ;
1497
; C2_MODE                       ; BYPASS            ; Untyped                           ;
1498
; C3_MODE                       ; BYPASS            ; Untyped                           ;
1499
; C4_MODE                       ; BYPASS            ; Untyped                           ;
1500
; C5_MODE                       ; BYPASS            ; Untyped                           ;
1501
; C6_MODE                       ; BYPASS            ; Untyped                           ;
1502
; C7_MODE                       ; BYPASS            ; Untyped                           ;
1503
; C8_MODE                       ; BYPASS            ; Untyped                           ;
1504
; C9_MODE                       ; BYPASS            ; Untyped                           ;
1505
; C0_PH                         ; 0                 ; Untyped                           ;
1506
; C1_PH                         ; 0                 ; Untyped                           ;
1507
; C2_PH                         ; 0                 ; Untyped                           ;
1508
; C3_PH                         ; 0                 ; Untyped                           ;
1509
; C4_PH                         ; 0                 ; Untyped                           ;
1510
; C5_PH                         ; 0                 ; Untyped                           ;
1511
; C6_PH                         ; 0                 ; Untyped                           ;
1512
; C7_PH                         ; 0                 ; Untyped                           ;
1513
; C8_PH                         ; 0                 ; Untyped                           ;
1514
; C9_PH                         ; 0                 ; Untyped                           ;
1515
; L0_HIGH                       ; 1                 ; Untyped                           ;
1516
; L1_HIGH                       ; 1                 ; Untyped                           ;
1517
; G0_HIGH                       ; 1                 ; Untyped                           ;
1518
; G1_HIGH                       ; 1                 ; Untyped                           ;
1519
; G2_HIGH                       ; 1                 ; Untyped                           ;
1520
; G3_HIGH                       ; 1                 ; Untyped                           ;
1521
; E0_HIGH                       ; 1                 ; Untyped                           ;
1522
; E1_HIGH                       ; 1                 ; Untyped                           ;
1523
; E2_HIGH                       ; 1                 ; Untyped                           ;
1524
; E3_HIGH                       ; 1                 ; Untyped                           ;
1525
; L0_LOW                        ; 1                 ; Untyped                           ;
1526
; L1_LOW                        ; 1                 ; Untyped                           ;
1527
; G0_LOW                        ; 1                 ; Untyped                           ;
1528
; G1_LOW                        ; 1                 ; Untyped                           ;
1529
; G2_LOW                        ; 1                 ; Untyped                           ;
1530
; G3_LOW                        ; 1                 ; Untyped                           ;
1531
; E0_LOW                        ; 1                 ; Untyped                           ;
1532
; E1_LOW                        ; 1                 ; Untyped                           ;
1533
; E2_LOW                        ; 1                 ; Untyped                           ;
1534
; E3_LOW                        ; 1                 ; Untyped                           ;
1535
; L0_INITIAL                    ; 1                 ; Untyped                           ;
1536
; L1_INITIAL                    ; 1                 ; Untyped                           ;
1537
; G0_INITIAL                    ; 1                 ; Untyped                           ;
1538
; G1_INITIAL                    ; 1                 ; Untyped                           ;
1539
; G2_INITIAL                    ; 1                 ; Untyped                           ;
1540
; G3_INITIAL                    ; 1                 ; Untyped                           ;
1541
; E0_INITIAL                    ; 1                 ; Untyped                           ;
1542
; E1_INITIAL                    ; 1                 ; Untyped                           ;
1543
; E2_INITIAL                    ; 1                 ; Untyped                           ;
1544
; E3_INITIAL                    ; 1                 ; Untyped                           ;
1545
; L0_MODE                       ; BYPASS            ; Untyped                           ;
1546
; L1_MODE                       ; BYPASS            ; Untyped                           ;
1547
; G0_MODE                       ; BYPASS            ; Untyped                           ;
1548
; G1_MODE                       ; BYPASS            ; Untyped                           ;
1549
; G2_MODE                       ; BYPASS            ; Untyped                           ;
1550
; G3_MODE                       ; BYPASS            ; Untyped                           ;
1551
; E0_MODE                       ; BYPASS            ; Untyped                           ;
1552
; E1_MODE                       ; BYPASS            ; Untyped                           ;
1553
; E2_MODE                       ; BYPASS            ; Untyped                           ;
1554
; E3_MODE                       ; BYPASS            ; Untyped                           ;
1555
; L0_PH                         ; 0                 ; Untyped                           ;
1556
; L1_PH                         ; 0                 ; Untyped                           ;
1557
; G0_PH                         ; 0                 ; Untyped                           ;
1558
; G1_PH                         ; 0                 ; Untyped                           ;
1559
; G2_PH                         ; 0                 ; Untyped                           ;
1560
; G3_PH                         ; 0                 ; Untyped                           ;
1561
; E0_PH                         ; 0                 ; Untyped                           ;
1562
; E1_PH                         ; 0                 ; Untyped                           ;
1563
; E2_PH                         ; 0                 ; Untyped                           ;
1564
; E3_PH                         ; 0                 ; Untyped                           ;
1565
; M_PH                          ; 0                 ; Untyped                           ;
1566
; C1_USE_CASC_IN                ; OFF               ; Untyped                           ;
1567
; C2_USE_CASC_IN                ; OFF               ; Untyped                           ;
1568
; C3_USE_CASC_IN                ; OFF               ; Untyped                           ;
1569
; C4_USE_CASC_IN                ; OFF               ; Untyped                           ;
1570
; C5_USE_CASC_IN                ; OFF               ; Untyped                           ;
1571
; C6_USE_CASC_IN                ; OFF               ; Untyped                           ;
1572
; C7_USE_CASC_IN                ; OFF               ; Untyped                           ;
1573
; C8_USE_CASC_IN                ; OFF               ; Untyped                           ;
1574
; C9_USE_CASC_IN                ; OFF               ; Untyped                           ;
1575
; CLK0_COUNTER                  ; G0                ; Untyped                           ;
1576
; CLK1_COUNTER                  ; G0                ; Untyped                           ;
1577
; CLK2_COUNTER                  ; G0                ; Untyped                           ;
1578
; CLK3_COUNTER                  ; G0                ; Untyped                           ;
1579
; CLK4_COUNTER                  ; G0                ; Untyped                           ;
1580
; CLK5_COUNTER                  ; G0                ; Untyped                           ;
1581
; CLK6_COUNTER                  ; E0                ; Untyped                           ;
1582
; CLK7_COUNTER                  ; E1                ; Untyped                           ;
1583
; CLK8_COUNTER                  ; E2                ; Untyped                           ;
1584
; CLK9_COUNTER                  ; E3                ; Untyped                           ;
1585
; L0_TIME_DELAY                 ; 0                 ; Untyped                           ;
1586
; L1_TIME_DELAY                 ; 0                 ; Untyped                           ;
1587
; G0_TIME_DELAY                 ; 0                 ; Untyped                           ;
1588
; G1_TIME_DELAY                 ; 0                 ; Untyped                           ;
1589
; G2_TIME_DELAY                 ; 0                 ; Untyped                           ;
1590
; G3_TIME_DELAY                 ; 0                 ; Untyped                           ;
1591
; E0_TIME_DELAY                 ; 0                 ; Untyped                           ;
1592
; E1_TIME_DELAY                 ; 0                 ; Untyped                           ;
1593
; E2_TIME_DELAY                 ; 0                 ; Untyped                           ;
1594
; E3_TIME_DELAY                 ; 0                 ; Untyped                           ;
1595
; M_TIME_DELAY                  ; 0                 ; Untyped                           ;
1596
; N_TIME_DELAY                  ; 0                 ; Untyped                           ;
1597
; EXTCLK3_COUNTER               ; E3                ; Untyped                           ;
1598
; EXTCLK2_COUNTER               ; E2                ; Untyped                           ;
1599
; EXTCLK1_COUNTER               ; E1                ; Untyped                           ;
1600
; EXTCLK0_COUNTER               ; E0                ; Untyped                           ;
1601
; ENABLE0_COUNTER               ; L0                ; Untyped                           ;
1602
; ENABLE1_COUNTER               ; L0                ; Untyped                           ;
1603
; CHARGE_PUMP_CURRENT           ; 2                 ; Untyped                           ;
1604
; LOOP_FILTER_R                 ;  1.000000         ; Untyped                           ;
1605
; LOOP_FILTER_C                 ; 5                 ; Untyped                           ;
1606
; CHARGE_PUMP_CURRENT_BITS      ; 9999              ; Untyped                           ;
1607
; LOOP_FILTER_R_BITS            ; 9999              ; Untyped                           ;
1608
; LOOP_FILTER_C_BITS            ; 9999              ; Untyped                           ;
1609
; VCO_POST_SCALE                ; 0                 ; Untyped                           ;
1610
; CLK2_OUTPUT_FREQUENCY         ; 0                 ; Untyped                           ;
1611
; CLK1_OUTPUT_FREQUENCY         ; 0                 ; Untyped                           ;
1612
; CLK0_OUTPUT_FREQUENCY         ; 0                 ; Untyped                           ;
1613
; INTENDED_DEVICE_FAMILY        ; Cyclone II        ; Untyped                           ;
1614
; PORT_CLKENA0                  ; PORT_CONNECTIVITY ; Untyped                           ;
1615
; PORT_CLKENA1                  ; PORT_CONNECTIVITY ; Untyped                           ;
1616
; PORT_CLKENA2                  ; PORT_CONNECTIVITY ; Untyped                           ;
1617
; PORT_CLKENA3                  ; PORT_CONNECTIVITY ; Untyped                           ;
1618
; PORT_CLKENA4                  ; PORT_CONNECTIVITY ; Untyped                           ;
1619
; PORT_CLKENA5                  ; PORT_CONNECTIVITY ; Untyped                           ;
1620
; PORT_EXTCLKENA0               ; PORT_CONNECTIVITY ; Untyped                           ;
1621
; PORT_EXTCLKENA1               ; PORT_CONNECTIVITY ; Untyped                           ;
1622
; PORT_EXTCLKENA2               ; PORT_CONNECTIVITY ; Untyped                           ;
1623
; PORT_EXTCLKENA3               ; PORT_CONNECTIVITY ; Untyped                           ;
1624
; PORT_EXTCLK0                  ; PORT_CONNECTIVITY ; Untyped                           ;
1625
; PORT_EXTCLK1                  ; PORT_CONNECTIVITY ; Untyped                           ;
1626
; PORT_EXTCLK2                  ; PORT_CONNECTIVITY ; Untyped                           ;
1627
; PORT_EXTCLK3                  ; PORT_CONNECTIVITY ; Untyped                           ;
1628
; PORT_CLKBAD0                  ; PORT_CONNECTIVITY ; Untyped                           ;
1629
; PORT_CLKBAD1                  ; PORT_CONNECTIVITY ; Untyped                           ;
1630
; PORT_CLK0                     ; PORT_CONNECTIVITY ; Untyped                           ;
1631
; PORT_CLK1                     ; PORT_CONNECTIVITY ; Untyped                           ;
1632
; PORT_CLK2                     ; PORT_CONNECTIVITY ; Untyped                           ;
1633
; PORT_CLK3                     ; PORT_CONNECTIVITY ; Untyped                           ;
1634
; PORT_CLK4                     ; PORT_CONNECTIVITY ; Untyped                           ;
1635
; PORT_CLK5                     ; PORT_CONNECTIVITY ; Untyped                           ;
1636
; PORT_CLK6                     ; PORT_UNUSED       ; Untyped                           ;
1637
; PORT_CLK7                     ; PORT_UNUSED       ; Untyped                           ;
1638
; PORT_CLK8                     ; PORT_UNUSED       ; Untyped                           ;
1639
; PORT_CLK9                     ; PORT_UNUSED       ; Untyped                           ;
1640
; PORT_SCANDATA                 ; PORT_CONNECTIVITY ; Untyped                           ;
1641
; PORT_SCANDATAOUT              ; PORT_CONNECTIVITY ; Untyped                           ;
1642
; PORT_SCANDONE                 ; PORT_CONNECTIVITY ; Untyped                           ;
1643
; PORT_SCLKOUT1                 ; PORT_CONNECTIVITY ; Untyped                           ;
1644
; PORT_SCLKOUT0                 ; PORT_CONNECTIVITY ; Untyped                           ;
1645
; PORT_ACTIVECLOCK              ; PORT_CONNECTIVITY ; Untyped                           ;
1646
; PORT_CLKLOSS                  ; PORT_CONNECTIVITY ; Untyped                           ;
1647
; PORT_INCLK1                   ; PORT_CONNECTIVITY ; Untyped                           ;
1648
; PORT_INCLK0                   ; PORT_CONNECTIVITY ; Untyped                           ;
1649
; PORT_FBIN                     ; PORT_CONNECTIVITY ; Untyped                           ;
1650
; PORT_PLLENA                   ; PORT_CONNECTIVITY ; Untyped                           ;
1651
; PORT_CLKSWITCH                ; PORT_CONNECTIVITY ; Untyped                           ;
1652
; PORT_ARESET                   ; PORT_CONNECTIVITY ; Untyped                           ;
1653
; PORT_PFDENA                   ; PORT_CONNECTIVITY ; Untyped                           ;
1654
; PORT_SCANCLK                  ; PORT_CONNECTIVITY ; Untyped                           ;
1655
; PORT_SCANACLR                 ; PORT_CONNECTIVITY ; Untyped                           ;
1656
; PORT_SCANREAD                 ; PORT_CONNECTIVITY ; Untyped                           ;
1657
; PORT_SCANWRITE                ; PORT_CONNECTIVITY ; Untyped                           ;
1658
; PORT_ENABLE0                  ; PORT_CONNECTIVITY ; Untyped                           ;
1659
; PORT_ENABLE1                  ; PORT_CONNECTIVITY ; Untyped                           ;
1660
; PORT_LOCKED                   ; PORT_CONNECTIVITY ; Untyped                           ;
1661
; PORT_CONFIGUPDATE             ; PORT_CONNECTIVITY ; Untyped                           ;
1662
; PORT_FBOUT                    ; PORT_CONNECTIVITY ; Untyped                           ;
1663
; PORT_PHASEDONE                ; PORT_CONNECTIVITY ; Untyped                           ;
1664
; PORT_PHASESTEP                ; PORT_CONNECTIVITY ; Untyped                           ;
1665
; PORT_PHASEUPDOWN              ; PORT_CONNECTIVITY ; Untyped                           ;
1666
; PORT_SCANCLKENA               ; PORT_CONNECTIVITY ; Untyped                           ;
1667
; PORT_PHASECOUNTERSELECT       ; PORT_CONNECTIVITY ; Untyped                           ;
1668
; PORT_VCOOVERRANGE             ; PORT_CONNECTIVITY ; Untyped                           ;
1669
; PORT_VCOUNDERRANGE            ; PORT_CONNECTIVITY ; Untyped                           ;
1670
; M_TEST_SOURCE                 ; 5                 ; Untyped                           ;
1671
; C0_TEST_SOURCE                ; 5                 ; Untyped                           ;
1672
; C1_TEST_SOURCE                ; 5                 ; Untyped                           ;
1673
; C2_TEST_SOURCE                ; 5                 ; Untyped                           ;
1674
; C3_TEST_SOURCE                ; 5                 ; Untyped                           ;
1675
; C4_TEST_SOURCE                ; 5                 ; Untyped                           ;
1676
; C5_TEST_SOURCE                ; 5                 ; Untyped                           ;
1677
; C6_TEST_SOURCE                ; 5                 ; Untyped                           ;
1678
; C7_TEST_SOURCE                ; 5                 ; Untyped                           ;
1679
; C8_TEST_SOURCE                ; 5                 ; Untyped                           ;
1680
; C9_TEST_SOURCE                ; 5                 ; Untyped                           ;
1681
; CBXI_PARAMETER                ; NOTHING           ; Untyped                           ;
1682
; VCO_FREQUENCY_CONTROL         ; AUTO              ; Untyped                           ;
1683
; VCO_PHASE_SHIFT_STEP          ; 0                 ; Untyped                           ;
1684
; WIDTH_CLOCK                   ; 6                 ; Untyped                           ;
1685
; WIDTH_PHASECOUNTERSELECT      ; 4                 ; Untyped                           ;
1686
; USING_FBMIMICBIDIR_PORT       ; OFF               ; Untyped                           ;
1687
; DEVICE_FAMILY                 ; Cyclone II        ; Untyped                           ;
1688
; SCAN_CHAIN_MIF_FILE           ; UNUSED            ; Untyped                           ;
1689
; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF               ; Untyped                           ;
1690
; AUTO_CARRY_CHAINS             ; ON                ; AUTO_CARRY                        ;
1691
; IGNORE_CARRY_BUFFERS          ; OFF               ; IGNORE_CARRY                      ;
1692
; AUTO_CASCADE_CHAINS           ; ON                ; AUTO_CASCADE                      ;
1693
; IGNORE_CASCADE_BUFFERS        ; OFF               ; IGNORE_CASCADE                    ;
1694
+-------------------------------+-------------------+-----------------------------------+
1695
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1696
 
1697
 
1698
+----------------------------------------------------------------+
1699
; Parameter Settings for User Entity Instance: VGA_Controller:u8 ;
1700
+----------------+-------+---------------------------------------+
1701
; Parameter Name ; Value ; Type                                  ;
1702
+----------------+-------+---------------------------------------+
1703
; H_SYNC_CYC     ; 96    ; Signed Integer                        ;
1704
; H_SYNC_BACK    ; 48    ; Signed Integer                        ;
1705
; H_SYNC_ACT     ; 640   ; Signed Integer                        ;
1706
; H_SYNC_FRONT   ; 16    ; Signed Integer                        ;
1707
; H_SYNC_TOTAL   ; 800   ; Signed Integer                        ;
1708
; V_SYNC_CYC     ; 2     ; Signed Integer                        ;
1709
; V_SYNC_BACK    ; 32    ; Signed Integer                        ;
1710
; V_SYNC_ACT     ; 480   ; Signed Integer                        ;
1711
; V_SYNC_FRONT   ; 11    ; Signed Integer                        ;
1712
; V_SYNC_TOTAL   ; 525   ; Signed Integer                        ;
1713
; X_START        ; 148   ; Signed Integer                        ;
1714
; Y_START        ; 34    ; Signed Integer                        ;
1715
+----------------+-------+---------------------------------------+
1716
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1717
 
1718
 
1719
+-------------------------------------------------------------+
1720
; Parameter Settings for User Entity Instance: VGA_OSD_RAM:u9 ;
1721
+----------------+-------+------------------------------------+
1722
; Parameter Name ; Value ; Type                               ;
1723
+----------------+-------+------------------------------------+
1724
; START_X        ; 60    ; Signed Integer                     ;
1725
; START_Y        ; 50    ; Signed Integer                     ;
1726
; END_X          ; 580   ; Signed Integer                     ;
1727
; END_Y          ; 450   ; Signed Integer                     ;
1728
+----------------+-------+------------------------------------+
1729
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1730
 
1731
 
1732
+--------------------------------------------------------------------------------------------------------+
1733
; Parameter Settings for User Entity Instance: VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component ;
1734
+------------------------------------+----------------------+--------------------------------------------+
1735
; Parameter Name                     ; Value                ; Type                                       ;
1736
+------------------------------------+----------------------+--------------------------------------------+
1737
; BYTE_SIZE_BLOCK                    ; 8                    ; Untyped                                    ;
1738
; AUTO_CARRY_CHAINS                  ; ON                   ; AUTO_CARRY                                 ;
1739
; IGNORE_CARRY_BUFFERS               ; OFF                  ; IGNORE_CARRY                               ;
1740
; AUTO_CASCADE_CHAINS                ; ON                   ; AUTO_CASCADE                               ;
1741
; IGNORE_CASCADE_BUFFERS             ; OFF                  ; IGNORE_CASCADE                             ;
1742
; WIDTH_BYTEENA                      ; 1                    ; Untyped                                    ;
1743
; OPERATION_MODE                     ; DUAL_PORT            ; Untyped                                    ;
1744
; WIDTH_A                            ; 1                    ; Signed Integer                             ;
1745
; WIDTHAD_A                          ; 18                   ; Signed Integer                             ;
1746
; NUMWORDS_A                         ; 208000               ; Signed Integer                             ;
1747
; OUTDATA_REG_A                      ; UNREGISTERED         ; Untyped                                    ;
1748
; ADDRESS_ACLR_A                     ; NONE                 ; Untyped                                    ;
1749
; OUTDATA_ACLR_A                     ; NONE                 ; Untyped                                    ;
1750
; WRCONTROL_ACLR_A                   ; NONE                 ; Untyped                                    ;
1751
; INDATA_ACLR_A                      ; NONE                 ; Untyped                                    ;
1752
; BYTEENA_ACLR_A                     ; NONE                 ; Untyped                                    ;
1753
; WIDTH_B                            ; 8                    ; Signed Integer                             ;
1754
; WIDTHAD_B                          ; 15                   ; Signed Integer                             ;
1755
; NUMWORDS_B                         ; 26000                ; Signed Integer                             ;
1756
; INDATA_REG_B                       ; CLOCK1               ; Untyped                                    ;
1757
; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1               ; Untyped                                    ;
1758
; RDCONTROL_REG_B                    ; CLOCK1               ; Untyped                                    ;
1759
; ADDRESS_REG_B                      ; CLOCK1               ; Untyped                                    ;
1760
; OUTDATA_REG_B                      ; CLOCK1               ; Untyped                                    ;
1761
; BYTEENA_REG_B                      ; CLOCK1               ; Untyped                                    ;
1762
; INDATA_ACLR_B                      ; NONE                 ; Untyped                                    ;
1763
; WRCONTROL_ACLR_B                   ; NONE                 ; Untyped                                    ;
1764
; ADDRESS_ACLR_B                     ; NONE                 ; Untyped                                    ;
1765
; OUTDATA_ACLR_B                     ; NONE                 ; Untyped                                    ;
1766
; RDCONTROL_ACLR_B                   ; NONE                 ; Untyped                                    ;
1767
; BYTEENA_ACLR_B                     ; NONE                 ; Untyped                                    ;
1768
; WIDTH_BYTEENA_A                    ; 1                    ; Signed Integer                             ;
1769
; WIDTH_BYTEENA_B                    ; 1                    ; Untyped                                    ;
1770
; RAM_BLOCK_TYPE                     ; M4K                  ; Untyped                                    ;
1771
; BYTE_SIZE                          ; 8                    ; Untyped                                    ;
1772
; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE            ; Untyped                                    ;
1773
; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ ; Untyped                                    ;
1774
; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ ; Untyped                                    ;
1775
; INIT_FILE                          ; Img_DATA.hex         ; Untyped                                    ;
1776
; INIT_FILE_LAYOUT                   ; PORT_B               ; Untyped                                    ;
1777
; MAXIMUM_DEPTH                      ; 0                    ; Untyped                                    ;
1778
; CLOCK_ENABLE_INPUT_A               ; BYPASS               ; Untyped                                    ;
1779
; CLOCK_ENABLE_INPUT_B               ; BYPASS               ; Untyped                                    ;
1780
; CLOCK_ENABLE_OUTPUT_A              ; NORMAL               ; Untyped                                    ;
1781
; CLOCK_ENABLE_OUTPUT_B              ; BYPASS               ; Untyped                                    ;
1782
; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN      ; Untyped                                    ;
1783
; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN      ; Untyped                                    ;
1784
; ENABLE_ECC                         ; FALSE                ; Untyped                                    ;
1785
; DEVICE_FAMILY                      ; Cyclone II           ; Untyped                                    ;
1786
; CBXI_PARAMETER                     ; altsyncram_f7o1      ; Untyped                                    ;
1787
+------------------------------------+----------------------+--------------------------------------------+
1788
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1789
 
1790
 
1791
+----------------------------------------------------------------+
1792
; Parameter Settings for User Entity Instance: I2C_AV_Config:u10 ;
1793
+----------------+----------+------------------------------------+
1794
; Parameter Name ; Value    ; Type                               ;
1795
+----------------+----------+------------------------------------+
1796
; CLK_Freq       ; 50000000 ; Signed Integer                     ;
1797
; I2C_Freq       ; 20000    ; Signed Integer                     ;
1798
; LUT_SIZE       ; 11       ; Signed Integer                     ;
1799
; Dummy_DATA     ; 0        ; Signed Integer                     ;
1800
; SET_LIN_L      ; 1        ; Signed Integer                     ;
1801
; SET_LIN_R      ; 2        ; Signed Integer                     ;
1802
; SET_HEAD_L     ; 3        ; Signed Integer                     ;
1803
; SET_HEAD_R     ; 4        ; Signed Integer                     ;
1804
; A_PATH_CTRL    ; 5        ; Signed Integer                     ;
1805
; D_PATH_CTRL    ; 6        ; Signed Integer                     ;
1806
; POWER_ON       ; 7        ; Signed Integer                     ;
1807
; SET_FORMAT     ; 8        ; Signed Integer                     ;
1808
; SAMPLE_CTRL    ; 9        ; Signed Integer                     ;
1809
; SET_ACTIVE     ; 10       ; Signed Integer                     ;
1810
+----------------+----------+------------------------------------+
1811
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1812
 
1813
 
1814
+------------------------------------------------------------+
1815
; Parameter Settings for User Entity Instance: AUDIO_DAC:u11 ;
1816
+------------------+----------+------------------------------+
1817
; Parameter Name   ; Value    ; Type                         ;
1818
+------------------+----------+------------------------------+
1819
; REF_CLK          ; 18432000 ; Signed Integer               ;
1820
; SAMPLE_RATE      ; 48000    ; Signed Integer               ;
1821
; DATA_WIDTH       ; 16       ; Signed Integer               ;
1822
; CHANNEL_NUM      ; 2        ; Signed Integer               ;
1823
; SIN_SAMPLE_DATA  ; 48       ; Signed Integer               ;
1824
; FLASH_DATA_NUM   ; 4194304  ; Signed Integer               ;
1825
; SDRAM_DATA_NUM   ; 4194304  ; Signed Integer               ;
1826
; SRAM_DATA_NUM    ; 262144   ; Signed Integer               ;
1827
; FLASH_ADDR_WIDTH ; 22       ; Signed Integer               ;
1828
; SDRAM_ADDR_WIDTH ; 22       ; Signed Integer               ;
1829
; SRAM_ADDR_WIDTH  ; 18       ; Signed Integer               ;
1830
; FLASH_DATA_WIDTH ; 8        ; Signed Integer               ;
1831
; SDRAM_DATA_WIDTH ; 16       ; Signed Integer               ;
1832
; SRAM_DATA_WIDTH  ; 16       ; Signed Integer               ;
1833
; SIN_SANPLE       ; 0        ; Signed Integer               ;
1834
; FLASH_DATA       ; 1        ; Signed Integer               ;
1835
; SDRAM_DATA       ; 2        ; Signed Integer               ;
1836
; SRAM_DATA        ; 3        ; Signed Integer               ;
1837
+------------------+----------+------------------------------+
1838
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
1839
 
1840
 
1841
+------------------------------------------------------------------------------------------------------------+
1842
; altpll Parameter Settings by Entity Instance                                                               ;
1843
+-------------------------------+----------------------------------------------------------------------------+
1844
; Name                          ; Value                                                                      ;
1845
+-------------------------------+----------------------------------------------------------------------------+
1846
; Number of entity instances    ; 2                                                                          ;
1847
; Entity Instance               ; Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component ;
1848
;     -- OPERATION_MODE         ; NORMAL                                                                     ;
1849
;     -- PLL_TYPE               ; FAST                                                                       ;
1850
;     -- PRIMARY_CLOCK          ; INCLK0                                                                     ;
1851
;     -- INCLK0_INPUT_FREQUENCY ; 20000                                                                      ;
1852
;     -- INCLK1_INPUT_FREQUENCY ; 0                                                                          ;
1853
;     -- VCO_MULTIPLY_BY        ; 0                                                                          ;
1854
;     -- VCO_DIVIDE_BY          ; 0                                                                          ;
1855
; Entity Instance               ; VGA_Audio_PLL:p1|altpll:altpll_component                                   ;
1856
;     -- OPERATION_MODE         ; NORMAL                                                                     ;
1857
;     -- PLL_TYPE               ; FAST                                                                       ;
1858
;     -- PRIMARY_CLOCK          ; INCLK0                                                                     ;
1859
;     -- INCLK0_INPUT_FREQUENCY ; 37037                                                                      ;
1860
;     -- INCLK1_INPUT_FREQUENCY ; 0                                                                          ;
1861
;     -- VCO_MULTIPLY_BY        ; 0                                                                          ;
1862
;     -- VCO_DIVIDE_BY          ; 0                                                                          ;
1863
+-------------------------------+----------------------------------------------------------------------------+
1864
 
1865
 
1866
+-------------------------------------------------------------------------------------------------------+
1867
; altsyncram Parameter Settings by Entity Instance                                                      ;
1868
+-------------------------------------------+-----------------------------------------------------------+
1869
; Name                                      ; Value                                                     ;
1870
+-------------------------------------------+-----------------------------------------------------------+
1871
; Number of entity instances                ; 1                                                         ;
1872
; Entity Instance                           ; VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component ;
1873
;     -- OPERATION_MODE                     ; DUAL_PORT                                                 ;
1874
;     -- WIDTH_A                            ; 1                                                         ;
1875
;     -- NUMWORDS_A                         ; 208000                                                    ;
1876
;     -- OUTDATA_REG_A                      ; UNREGISTERED                                              ;
1877
;     -- WIDTH_B                            ; 8                                                         ;
1878
;     -- NUMWORDS_B                         ; 26000                                                     ;
1879
;     -- ADDRESS_REG_B                      ; CLOCK1                                                    ;
1880
;     -- OUTDATA_REG_B                      ; CLOCK1                                                    ;
1881
;     -- RAM_BLOCK_TYPE                     ; M4K                                                       ;
1882
;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE                                                 ;
1883
+-------------------------------------------+-----------------------------------------------------------+
1884
 
1885
 
1886
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1887
; Port Connectivity Checks: "AUDIO_DAC:u11"                                                                                                                                      ;
1888
+-------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1889
; Port        ; Type   ; Severity ; Details                                                                                                                                      ;
1890
+-------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1891
; oSDRAM_ADDR ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
1892
; iSDRAM_DATA ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
1893
; oSRAM_ADDR  ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
1894
; iSRAM_DATA  ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
1895
+-------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1896
 
1897
 
1898
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1899
; Port Connectivity Checks: "I2C_AV_Config:u10|I2C_Controller:u0"                                                                                                               ;
1900
+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1901
; Port       ; Type   ; Severity ; Details                                                                                                                                      ;
1902
+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1903
; W_R        ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
1904
; SD_COUNTER ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
1905
; SDO        ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
1906
+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1907
 
1908
 
1909
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1910
; Port Connectivity Checks: "VGA_OSD_RAM:u9|Img_RAM:u0"                                                                                                                                                             ;
1911
+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1912
; Port      ; Type  ; Severity ; Details                                                                                                                                                                            ;
1913
+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1914
; wraddress ; Input ; Warning  ; Input port expression (19 bits) is wider than the input port (18 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1915
; rdaddress ; Input ; Warning  ; Input port expression (16 bits) is wider than the input port (15 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1916
+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1917
 
1918
 
1919
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1920
; Port Connectivity Checks: "VGA_OSD_RAM:u9"                                                                                                                                                                            ;
1921
+--------------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1922
; Port         ; Type  ; Severity ; Details                                                                                                                                                                             ;
1923
+--------------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1924
; iVGA_ADDR    ; Input ; Warning  ; Input port expression (20 bits) is wider than the input port (19 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts.  ;
1925
; iON_R        ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1926
; iON_R[9..0]  ; Input ; Info     ; Stuck at VCC                                                                                                                                                                        ;
1927
; iON_G        ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1928
; iON_G[9..0]  ; Input ; Info     ; Stuck at VCC                                                                                                                                                                        ;
1929
; iON_B        ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1930
; iON_B[9..0]  ; Input ; Info     ; Stuck at VCC                                                                                                                                                                        ;
1931
; iOFF_R       ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1932
; iOFF_R[9..0] ; Input ; Info     ; Stuck at GND                                                                                                                                                                        ;
1933
; iOFF_G       ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1934
; iOFF_G[9..0] ; Input ; Info     ; Stuck at GND                                                                                                                                                                        ;
1935
; iOFF_B       ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (10 bits) it drives.  The 22 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1936
; iOFF_B[8..0] ; Input ; Info     ; Stuck at GND                                                                                                                                                                        ;
1937
; iOFF_B[9]    ; Input ; Info     ; Stuck at VCC                                                                                                                                                                        ;
1938
; iWR_DATA     ; Input ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                        ;
1939
; iWR_ADDR     ; Input ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                        ;
1940
; iWR_EN       ; Input ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                        ;
1941
; iWR_CLK      ; Input ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                        ;
1942
+--------------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1943
 
1944
 
1945
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
1946
; Port Connectivity Checks: "VGA_Controller:u8"                                                                                                       ;
1947
+----------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
1948
; Port                 ; Type   ; Severity ; Details                                                                                                  ;
1949
+----------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
1950
; iCursor_RGB_EN[2..0] ; Input  ; Info     ; Stuck at VCC                                                                                             ;
1951
; oVGA_R[5..0]         ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                      ;
1952
; oVGA_G[5..0]         ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                      ;
1953
; oVGA_B[5..0]         ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                      ;
1954
; oVGA_SYNC            ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ;
1955
; oVGA_BLANK           ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ;
1956
; oVGA_CLOCK           ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ;
1957
+----------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
1958
 
1959
 
1960
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1961
; Port Connectivity Checks: "Multi_Sram:u6"                                                                                                                                                                          ;
1962
+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1963
; Port      ; Type   ; Severity ; Details                                                                                                                                                                            ;
1964
+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1965
; iAS1_ADDR ; Input  ; Warning  ; Input port expression (19 bits) is wider than the input port (18 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
1966
; iAS1_WE_N ; Input  ; Info     ; Stuck at VCC                                                                                                                                                                       ;
1967
; iAS1_OE_N ; Input  ; Info     ; Stuck at GND                                                                                                                                                                       ;
1968
; iSelect   ; Input  ; Warning  ; Input port expression (3 bits) is wider than the input port (2 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts.   ;
1969
; iAS1_DATA ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1970
; oAS2_DATA ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                                                           ;
1971
; iAS2_DATA ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1972
; iAS2_ADDR ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1973
; iAS2_WE_N ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1974
; iAS2_OE_N ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1975
; oAS3_DATA ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                                                           ;
1976
; iAS3_DATA ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1977
; iAS3_ADDR ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1978
; iAS3_WE_N ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1979
; iAS3_OE_N ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND.                                       ;
1980
+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1981
 
1982
 
1983
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1984
; Port Connectivity Checks: "CMD_Decode:u5"                                                                                                                                                               ;
1985
+-------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1986
; Port        ; Type   ; Severity ; Details                                                                                                                                                               ;
1987
+-------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1988
; oLED_GREEN  ; Output ; Warning  ; Output or bidir port (9 bits) is wider than the port expression (8 bits) it drives; bit(s) "oLED_GREEN[8..8]" have no fanouts                                         ;
1989
; oLED_RED    ; Output ; Warning  ; Output or bidir port (18 bits) is wider than the port expression (10 bits) it drives; bit(s) "oLED_RED[17..10]" have no fanouts                                       ;
1990
; oSDR_Select ; Output ; Warning  ; Output or bidir port (2 bits) is smaller than the port expression (3 bits) it drives.  The 1 most-significant bit(s) in the port expression will be connected to GND. ;
1991
; oFL_Select  ; Output ; Warning  ; Output or bidir port (2 bits) is smaller than the port expression (3 bits) it drives.  The 1 most-significant bit(s) in the port expression will be connected to GND. ;
1992
; oSR_Select  ; Output ; Warning  ; Output or bidir port (2 bits) is smaller than the port expression (3 bits) it drives.  The 1 most-significant bit(s) in the port expression will be connected to GND. ;
1993
+-------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1994
 
1995
 
1996
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1997
; Port Connectivity Checks: "ps2_keyboard:u4"                                                                                                                                                 ;
1998
+--------------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
1999
; Port                     ; Type   ; Severity ; Details                                                                                                                                      ;
2000
+--------------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
2001
; ps2_clk_en_o_            ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2002
; ps2_data_en_o_           ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2003
; rx_extended              ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2004
; rx_released              ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2005
; rx_shift_key_on          ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2006
; rx_scan_code             ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2007
; tx_data                  ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
2008
; tx_write                 ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
2009
; tx_write_ack_o           ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2010
; tx_error_no_keyboard_ack ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                                     ;
2011
; translate                ; Input  ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
2012
+--------------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
2013
 
2014
 
2015
+----------------------------------------------------------------------------------------------------------------+
2016
; Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1"                        ;
2017
+------+--------+----------+-------------------------------------------------------------------------------------+
2018
; Port ; Type   ; Severity ; Details                                                                             ;
2019
+------+--------+----------+-------------------------------------------------------------------------------------+
2020
; DQM  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
2021
+------+--------+----------+-------------------------------------------------------------------------------------+
2022
 
2023
 
2024
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2025
; Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1"                                                                                  ;
2026
+----------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
2027
; Port     ; Type  ; Severity ; Details                                                                                                                                      ;
2028
+----------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
2029
; CMD      ; Input ; Warning  ; Input port expression (2 bits) is smaller than the input port (3 bits) it drives.  Extra input bit(s) "CMD[2..2]" will be connected to GND.  ;
2030
; INIT_ACK ; Input ; Warning  ; Declared by entity but not connected by instance. If a default value exists, it will be used.  Otherwise, the port will be connected to GND. ;
2031
+----------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+
2032
 
2033
 
2034
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
2035
; Port Connectivity Checks: "Multi_Sdram:u3|Sdram_Controller:u1"                                                                                             ;
2036
+--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------+
2037
; Port         ; Type   ; Severity ; Details                                                                                                                 ;
2038
+--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------+
2039
; ADDR[22]     ; Input  ; Info     ; Stuck at GND                                                                                                            ;
2040
; IN_REQ       ; Output ; Info     ; Explicitly unconnected                                                                                                  ;
2041
; OUT_VALID    ; Output ; Info     ; Explicitly unconnected                                                                                                  ;
2042
; DM           ; Input  ; Info     ; Stuck at GND                                                                                                            ;
2043
; LENGTH[7..1] ; Input  ; Info     ; Stuck at GND                                                                                                            ;
2044
; LENGTH[0]    ; Input  ; Info     ; Stuck at VCC                                                                                                            ;
2045
; CS_N         ; Output ; Warning  ; Output or bidir port (2 bits) is wider than the port expression (1 bits) it drives; bit(s) "CS_N[1..1]" have no fanouts ;
2046
; ACT          ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.                ;
2047
+--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------+
2048
 
2049
 
2050
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2051
; Port Connectivity Checks: "Multi_Sdram:u3"                                                                                                                                                                       ;
2052
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2053
; Port      ; Type   ; Severity ; Details                                                                                                                                                                          ;
2054
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2055
; oAS1_DATA ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                                                                                              ;
2056
; iAS1_DATA ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2057
; iAS1_ADDR ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2058
; iAS1_WR_n ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2059
; oAS2_DATA ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                                                                                              ;
2060
; iAS2_DATA ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2061
; iAS2_ADDR ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2062
; iAS2_WR_n ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2063
; oAS3_DATA ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                                                                                              ;
2064
; iAS3_DATA ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2065
; iAS3_ADDR ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2066
; iAS3_WR_n ; Input  ; Info     ; Stuck at GND                                                                                                                                                                     ;
2067
; iSelect   ; Input  ; Warning  ; Input port expression (3 bits) is wider than the input port (2 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
2068
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2069
 
2070
 
2071
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2072
; Port Connectivity Checks: "Multi_Flash:u2"                                                                                                                                                                       ;
2073
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2074
; Port      ; Type   ; Severity ; Details                                                                                                                                                                          ;
2075
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2076
; oAS2_DATA ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                                                                                              ;
2077
; oAS3_DATA ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed.                                                                                              ;
2078
; iSelect   ; Input  ; Warning  ; Input port expression (3 bits) is wider than the input port (2 bits) it drives.  The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
2079
+-----------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2080
 
2081
 
2082
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2083
; Port Connectivity Checks: "SEG7_LUT_4:u0"                                                                                                                                                                     ;
2084
+------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2085
; Port ; Type  ; Severity ; Details                                                                                                                                                                             ;
2086
+------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2087
; iDIG ; Input ; Warning  ; Input port expression (32 bits) is wider than the input port (16 bits) it drives.  The 16 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
2088
+------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2089
 
2090
 
2091
+---------------------------------------------------------------------------------------------------+
2092
; Port Connectivity Checks: "CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component" ;
2093
+-------------+-------+----------+------------------------------------------------------------------+
2094
; Port        ; Type  ; Severity ; Details                                                          ;
2095
+-------------+-------+----------+------------------------------------------------------------------+
2096
; ena         ; Input ; Info     ; Stuck at VCC                                                     ;
2097
; inclk[3..1] ; Input ; Info     ; Stuck at GND                                                     ;
2098
; clkselect   ; Input ; Info     ; Stuck at GND                                                     ;
2099
+-------------+-------+----------+------------------------------------------------------------------+
2100
 
2101
 
2102
+-------------------------------+
2103
; Analysis & Synthesis Messages ;
2104
+-------------------------------+
2105
Info: *******************************************************************
2106
Info: Running Quartus II Analysis & Synthesis
2107
    Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
2108
    Info: Processing started: Sun Oct 11 12:22:15 2009
2109
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off CII_Starter_USB_API -c CII_Starter_USB_API
2110
Info: Found 1 design units, including 1 entities, in source file VGA_Controller/Img_RAM.v
2111
    Info: Found entity 1: Img_RAM
2112
Info: Found 1 design units, including 1 entities, in source file VGA_Controller/VGA_OSD_RAM.v
2113
    Info: Found entity 1: VGA_OSD_RAM
2114
Info: Found 1 design units, including 1 entities, in source file VGA_Controller/VGA_Controller.v
2115
    Info: Found entity 1: VGA_Controller
2116
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/command.v
2117
    Info: Found entity 1: command
2118
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/control_interface.v
2119
    Info: Found entity 1: control_interface
2120
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/Multi_Sdram.v
2121
    Info: Found entity 1: Multi_Sdram
2122
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/PLL1.v
2123
    Info: Found entity 1: PLL1
2124
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/sdr_data_path.v
2125
    Info: Found entity 1: sdr_data_path
2126
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/Sdram_Controller.v
2127
    Info: Found entity 1: Sdram_Controller
2128
Info: Found 1 design units, including 1 entities, in source file Multi_Sdram/Sdram_Multiplexer.v
2129
    Info: Found entity 1: Sdram_Multiplexer
2130
Info: Found 1 design units, including 1 entities, in source file Multi_Flash/Flash_Controller.v
2131
    Info: Found entity 1: Flash_Controller
2132
Info: Found 1 design units, including 1 entities, in source file Multi_Flash/Flash_Multiplexer.v
2133
    Info: Found entity 1: Flash_Multiplexer
2134
Info: Found 1 design units, including 1 entities, in source file Multi_Flash/Multi_Flash.v
2135
    Info: Found entity 1: Multi_Flash
2136
Info: Found 1 design units, including 1 entities, in source file AUDIO_DAC.v
2137
    Info: Found entity 1: AUDIO_DAC
2138
Info: Found 2 design units, including 2 entities, in source file CLK_LOCK.v
2139
    Info: Found entity 1: CLK_LOCK_altclkctrl_tb8
2140
    Info: Found entity 2: CLK_LOCK
2141
Info: Found 1 design units, including 1 entities, in source file CMD_Decode.v
2142
    Info: Found entity 1: CMD_Decode
2143
Info: Found 1 design units, including 1 entities, in source file CII_Starter_USB_API.v
2144
    Info: Found entity 1: CII_Starter_USB_API
2145
Info: Found 1 design units, including 1 entities, in source file I2C_AV_Config.v
2146
    Info: Found entity 1: I2C_AV_Config
2147
Info: Found 1 design units, including 1 entities, in source file I2C_Controller.v
2148
    Info: Found entity 1: I2C_Controller
2149
Info: Found 1 design units, including 1 entities, in source file Multi_Sram.v
2150
    Info: Found entity 1: Multi_Sram
2151
Info: Found 1 design units, including 1 entities, in source file ps2_keyboard.v
2152
    Info: Found entity 1: ps2_keyboard
2153
Info: Found 1 design units, including 1 entities, in source file Reset_Delay.v
2154
    Info: Found entity 1: Reset_Delay
2155
Info: Found 1 design units, including 1 entities, in source file RS232_Controller.v
2156
    Info: Found entity 1: RS232_Controller
2157
Info: Found 1 design units, including 1 entities, in source file SEG7_LUT.v
2158
    Info: Found entity 1: SEG7_LUT
2159
Info: Found 1 design units, including 1 entities, in source file SRAM_16Bit_512K.v
2160
    Info: Found entity 1: SRAM_16Bit_512K
2161
Info: Found 3 design units, including 3 entities, in source file USB_JTAG.v
2162
    Info: Found entity 1: USB_JTAG
2163
    Info: Found entity 2: JTAG_REC
2164
    Info: Found entity 3: JTAG_TRANS
2165
Info: Found 1 design units, including 1 entities, in source file VGA_Audio_PLL.v
2166
    Info: Found entity 1: VGA_Audio_PLL
2167
Info: Found 1 design units, including 1 entities, in source file SEG7_LUT_4.v
2168
    Info: Found entity 1: SEG7_LUT_4
2169
Info: Found 1 design units, including 1 entities, in source file VGA_Controller/VGA_Pattern.v
2170
    Info: Found entity 1: VGA_Pattern
2171
Info: Elaborating entity "CII_Starter_USB_API" for the top level hierarchy
2172
Warning (10034): Output port "UART_TXD" at CII_Starter_USB_API.v(116) has no driver
2173
Warning (10034): Output port "SD_CLK" at CII_Starter_USB_API.v(150) has no driver
2174
Info: Elaborating entity "CLK_LOCK" for hierarchy "CLK_LOCK:p0"
2175
Info: Elaborating entity "CLK_LOCK_altclkctrl_tb8" for hierarchy "CLK_LOCK:p0|CLK_LOCK_altclkctrl_tb8:CLK_LOCK_altclkctrl_tb8_component"
2176
Info: Elaborating entity "Reset_Delay" for hierarchy "Reset_Delay:d0"
2177
Warning (10230): Verilog HDL assignment warning at Reset_Delay.v(22): truncated value with size 32 to match size of target (20)
2178
Info: Elaborating entity "SEG7_LUT_4" for hierarchy "SEG7_LUT_4:u0"
2179
Info: Elaborating entity "SEG7_LUT" for hierarchy "SEG7_LUT_4:u0|SEG7_LUT:u0"
2180
Info: Elaborating entity "USB_JTAG" for hierarchy "USB_JTAG:u1"
2181
Info: Elaborating entity "JTAG_REC" for hierarchy "USB_JTAG:u1|JTAG_REC:u0"
2182
Warning (10230): Verilog HDL assignment warning at USB_JTAG.v(93): truncated value with size 32 to match size of target (3)
2183
Info: Elaborating entity "JTAG_TRANS" for hierarchy "USB_JTAG:u1|JTAG_TRANS:u1"
2184
Warning (10230): Verilog HDL assignment warning at USB_JTAG.v(128): truncated value with size 32 to match size of target (3)
2185
Info: Elaborating entity "Multi_Flash" for hierarchy "Multi_Flash:u2"
2186
Info: Elaborating entity "Flash_Multiplexer" for hierarchy "Multi_Flash:u2|Flash_Multiplexer:u0"
2187
Info: Elaborating entity "Flash_Controller" for hierarchy "Multi_Flash:u2|Flash_Controller:u1"
2188
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(95): truncated value with size 32 to match size of target (11)
2189
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(144): truncated value with size 32 to match size of target (11)
2190
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(239): truncated value with size 32 to match size of target (22)
2191
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(251): truncated value with size 32 to match size of target (22)
2192
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(252): truncated value with size 32 to match size of target (22)
2193
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(253): truncated value with size 32 to match size of target (22)
2194
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(254): truncated value with size 32 to match size of target (22)
2195
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(255): truncated value with size 32 to match size of target (22)
2196
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(256): truncated value with size 32 to match size of target (22)
2197
Warning (10230): Verilog HDL assignment warning at Flash_Controller.v(257): truncated value with size 32 to match size of target (22)
2198
Info: Elaborating entity "Multi_Sdram" for hierarchy "Multi_Sdram:u3"
2199
Info: Elaborating entity "Sdram_Multiplexer" for hierarchy "Multi_Sdram:u3|Sdram_Multiplexer:u0"
2200
Info: Elaborating entity "Sdram_Controller" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1"
2201
Warning (10230): Verilog HDL assignment warning at Sdram_Controller.v(237): truncated value with size 32 to match size of target (9)
2202
Info: Elaborating entity "PLL1" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1"
2203
Info: Elaborating entity "altpll" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component"
2204
Info: Elaborated megafunction instantiation "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component"
2205
Info: Instantiated megafunction "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component" with the following parameter:
2206
    Info: Parameter "clk0_duty_cycle" = "50"
2207
    Info: Parameter "lpm_type" = "altpll"
2208
    Info: Parameter "clk0_multiply_by" = "1"
2209
    Info: Parameter "inclk0_input_frequency" = "20000"
2210
    Info: Parameter "clk0_divide_by" = "1"
2211
    Info: Parameter "pll_type" = "FAST"
2212
    Info: Parameter "clk2_phase_shift" = "0"
2213
    Info: Parameter "intended_device_family" = "Cyclone II"
2214
    Info: Parameter "clk2_divide_by" = "1"
2215
    Info: Parameter "operation_mode" = "NORMAL"
2216
    Info: Parameter "clk2_duty_cycle" = "50"
2217
    Info: Parameter "compensate_clock" = "CLK0"
2218
    Info: Parameter "clk0_phase_shift" = "0"
2219
    Info: Parameter "clk2_multiply_by" = "1"
2220
Info: Elaborating entity "control_interface" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1|control_interface:control1"
2221
Warning (10230): Verilog HDL assignment warning at control_interface.v(132): truncated value with size 32 to match size of target (16)
2222
Warning (10230): Verilog HDL assignment warning at control_interface.v(137): truncated value with size 32 to match size of target (16)
2223
Warning (10230): Verilog HDL assignment warning at control_interface.v(162): truncated value with size 32 to match size of target (16)
2224
Info: Elaborating entity "command" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1|command:command1"
2225
Warning (10240): Verilog HDL Always Construct warning at command.v(251): inferring latch(es) for variable "oe_shift", which holds its previous value in one or more paths through the always construct
2226
Warning (10240): Verilog HDL Always Construct warning at command.v(251): inferring latch(es) for variable "oe1", which holds its previous value in one or more paths through the always construct
2227
Warning (10240): Verilog HDL Always Construct warning at command.v(251): inferring latch(es) for variable "oe2", which holds its previous value in one or more paths through the always construct
2228
Info: Elaborating entity "sdr_data_path" for hierarchy "Multi_Sdram:u3|Sdram_Controller:u1|sdr_data_path:data_path1"
2229
Warning (10036): Verilog HDL or VHDL warning at sdr_data_path.v(36): object "DM1" assigned a value but never read
2230
Info: Elaborating entity "ps2_keyboard" for hierarchy "ps2_keyboard:u4"
2231
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(321): truncated value with size 32 to match size of target (1)
2232
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(332): truncated value with size 32 to match size of target (1)
2233
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(333): truncated value with size 32 to match size of target (1)
2234
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(338): truncated value with size 32 to match size of target (1)
2235
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(339): truncated value with size 32 to match size of target (1)
2236
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(341): truncated value with size 32 to match size of target (1)
2237
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(359): truncated value with size 32 to match size of target (4)
2238
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(388): truncated value with size 32 to match size of target (12)
2239
Warning (10230): Verilog HDL assignment warning at ps2_keyboard.v(396): truncated value with size 32 to match size of target (8)
2240
Info: Elaborating entity "CMD_Decode" for hierarchy "CMD_Decode:u5"
2241
Warning (10036): Verilog HDL or VHDL warning at CMD_Decode.v(93): object "sel_PS2" assigned a value but never read
2242
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(261): truncated value with size 24 to match size of target (18)
2243
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(262): truncated value with size 16 to match size of target (9)
2244
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(319): truncated value with size 24 to match size of target (22)
2245
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(320): truncated value with size 16 to match size of target (8)
2246
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(445): truncated value with size 24 to match size of target (22)
2247
Warning (10230): Verilog HDL assignment warning at CMD_Decode.v(529): truncated value with size 24 to match size of target (18)
2248
Info: Elaborating entity "Multi_Sram" for hierarchy "Multi_Sram:u6"
2249
Info: Elaborating entity "VGA_Audio_PLL" for hierarchy "VGA_Audio_PLL:p1"
2250
Info: Elaborating entity "altpll" for hierarchy "VGA_Audio_PLL:p1|altpll:altpll_component"
2251
Info: Elaborated megafunction instantiation "VGA_Audio_PLL:p1|altpll:altpll_component"
2252
Info: Instantiated megafunction "VGA_Audio_PLL:p1|altpll:altpll_component" with the following parameter:
2253
    Info: Parameter "clk0_divide_by" = "15"
2254
    Info: Parameter "clk0_duty_cycle" = "50"
2255
    Info: Parameter "clk0_multiply_by" = "14"
2256
    Info: Parameter "clk0_phase_shift" = "0"
2257
    Info: Parameter "clk1_divide_by" = "3"
2258
    Info: Parameter "clk1_duty_cycle" = "50"
2259
    Info: Parameter "clk1_multiply_by" = "2"
2260
    Info: Parameter "clk1_phase_shift" = "0"
2261
    Info: Parameter "compensate_clock" = "CLK0"
2262
    Info: Parameter "inclk0_input_frequency" = "37037"
2263
    Info: Parameter "intended_device_family" = "Cyclone II"
2264
    Info: Parameter "lpm_type" = "altpll"
2265
    Info: Parameter "operation_mode" = "NORMAL"
2266
    Info: Parameter "pll_type" = "FAST"
2267
Info: Elaborating entity "VGA_Controller" for hierarchy "VGA_Controller:u8"
2268
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(86): truncated value with size 32 to match size of target (10)
2269
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(89): truncated value with size 32 to match size of target (10)
2270
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(92): truncated value with size 32 to match size of target (10)
2271
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(110): truncated value with size 32 to match size of target (10)
2272
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(111): truncated value with size 32 to match size of target (10)
2273
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(112): truncated value with size 32 to match size of target (20)
2274
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(171): truncated value with size 32 to match size of target (10)
2275
Warning (10230): Verilog HDL assignment warning at VGA_Controller.v(197): truncated value with size 32 to match size of target (10)
2276
Info: Elaborating entity "VGA_OSD_RAM" for hierarchy "VGA_OSD_RAM:u9"
2277
Warning (10230): Verilog HDL assignment warning at VGA_OSD_RAM.v(68): truncated value with size 32 to match size of target (19)
2278
Info: Elaborating entity "Img_RAM" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0"
2279
Info: Elaborating entity "altsyncram" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component"
2280
Info: Elaborated megafunction instantiation "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component"
2281
Info: Instantiated megafunction "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component" with the following parameter:
2282
    Info: Parameter "address_reg_b" = "CLOCK1"
2283
    Info: Parameter "clock_enable_input_a" = "BYPASS"
2284
    Info: Parameter "clock_enable_input_b" = "BYPASS"
2285
    Info: Parameter "clock_enable_output_b" = "BYPASS"
2286
    Info: Parameter "init_file" = "Img_DATA.hex"
2287
    Info: Parameter "init_file_layout" = "PORT_B"
2288
    Info: Parameter "intended_device_family" = "Cyclone II"
2289
    Info: Parameter "lpm_type" = "altsyncram"
2290
    Info: Parameter "numwords_a" = "208000"
2291
    Info: Parameter "numwords_b" = "26000"
2292
    Info: Parameter "operation_mode" = "DUAL_PORT"
2293
    Info: Parameter "outdata_aclr_b" = "NONE"
2294
    Info: Parameter "outdata_reg_b" = "CLOCK1"
2295
    Info: Parameter "power_up_uninitialized" = "FALSE"
2296
    Info: Parameter "ram_block_type" = "M4K"
2297
    Info: Parameter "widthad_a" = "18"
2298
    Info: Parameter "widthad_b" = "15"
2299
    Info: Parameter "width_a" = "1"
2300
    Info: Parameter "width_b" = "8"
2301
    Info: Parameter "width_byteena_a" = "1"
2302
Info: Found 1 design units, including 1 entities, in source file db/altsyncram_f7o1.tdf
2303
    Info: Found entity 1: altsyncram_f7o1
2304
Info: Elaborating entity "altsyncram_f7o1" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated"
2305
Info: Found 1 design units, including 1 entities, in source file db/altsyncram_e132.tdf
2306
    Info: Found entity 1: altsyncram_e132
2307
Info: Elaborating entity "altsyncram_e132" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1"
2308
Warning: Variable or input pin "clocken1" is defined but never used
2309
Warning: Byte addressed memory initialization file "Img_DATA.hex" was read in the word-addressed format
2310
Info: Found 1 design units, including 1 entities, in source file db/decode_qpa.tdf
2311
    Info: Found entity 1: decode_qpa
2312
Info: Elaborating entity "decode_qpa" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode3"
2313
Info: Elaborating entity "decode_qpa" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|decode_qpa:decode_a"
2314
Info: Found 1 design units, including 1 entities, in source file db/mux_akb.tdf
2315
    Info: Found entity 1: mux_akb
2316
Info: Elaborating entity "mux_akb" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|mux_akb:mux5"
2317
Info: Found 1 design units, including 1 entities, in source file db/mux_3kb.tdf
2318
    Info: Found entity 1: mux_3kb
2319
Info: Elaborating entity "mux_3kb" for hierarchy "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|mux_3kb:mux6"
2320
Info: Elaborating entity "I2C_AV_Config" for hierarchy "I2C_AV_Config:u10"
2321
Warning (10230): Verilog HDL assignment warning at I2C_AV_Config.v(65): truncated value with size 32 to match size of target (16)
2322
Warning (10230): Verilog HDL assignment warning at I2C_AV_Config.v(113): truncated value with size 32 to match size of target (4)
2323
Info: Elaborating entity "I2C_Controller" for hierarchy "I2C_AV_Config:u10|I2C_Controller:u0"
2324
Warning (10230): Verilog HDL assignment warning at I2C_Controller.v(49): truncated value with size 32 to match size of target (1)
2325
Warning (10230): Verilog HDL assignment warning at I2C_Controller.v(48): truncated value with size 32 to match size of target (1)
2326
Warning (10230): Verilog HDL assignment warning at I2C_Controller.v(61): truncated value with size 32 to match size of target (6)
2327
Info: Elaborating entity "AUDIO_DAC" for hierarchy "AUDIO_DAC:u11"
2328
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(104): truncated value with size 32 to match size of target (4)
2329
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(129): truncated value with size 32 to match size of target (9)
2330
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(137): truncated value with size 32 to match size of target (8)
2331
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(145): truncated value with size 32 to match size of target (7)
2332
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(158): truncated value with size 32 to match size of target (6)
2333
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(172): truncated value with size 32 to match size of target (22)
2334
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(208): truncated value with size 32 to match size of target (22)
2335
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(239): truncated value with size 32 to match size of target (18)
2336
Warning (10230): Verilog HDL assignment warning at AUDIO_DAC.v(268): truncated value with size 32 to match size of target (4)
2337
Warning: Net is missing source, defaulting to GND
2338
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2339
Warning: Net is missing source, defaulting to GND
2340
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2341
Warning: Net is missing source, defaulting to GND
2342
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2343
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2344
Warning: Net is missing source, defaulting to GND
2345
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2346
    Warning (12110): Net "mFL_Select[2]" is missing source, defaulting to GND
2347
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2348
Warning: Net is missing source, defaulting to GND
2349
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2350
    Warning (12110): Net "mFL_Select[2]" is missing source, defaulting to GND
2351
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2352
Warning: Net is missing source, defaulting to GND
2353
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2354
    Warning (12110): Net "mFL_Select[2]" is missing source, defaulting to GND
2355
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2356
Warning: Net is missing source, defaulting to GND
2357
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2358
    Warning (12110): Net "mFL_Select[2]" is missing source, defaulting to GND
2359
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2360
Warning: Net is missing source, defaulting to GND
2361
    Warning (12110): Net "mSDR_Select[2]" is missing source, defaulting to GND
2362
    Warning (12110): Net "mFL_Select[2]" is missing source, defaulting to GND
2363
    Warning (12110): Net "mSR_Select[2]" is missing source, defaulting to GND
2364
Warning: 13 hierarchies have connectivity warnings - see the Connectivity Checks report folder
2365
Info: Resynthesizing 0 WYSIWYG logic cells and I/Os using "speed" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched
2366
Warning: The following nodes have both tri-state and non-tri-state drivers
2367
    Warning: Inserted always-enabled tri-state buffer between "AUD_BCLK" and its non-tri-state driver.
2368
Warning: The following bidir pins have no drivers
2369
    Warning: Bidir "SD_DAT3" has no driver
2370
    Warning: Bidir "SD_CMD" has no driver
2371
Info: Registers with preset signals will power-up high
2372
Info: DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back
2373
Info: Performing gate-level register retiming
2374
Info: Not allowed to move 384 registers
2375
    Info: Not allowed to move at least 5 registers because they are in a sequence of registers directly fed by input pins
2376
    Info: Not allowed to move at least 47 registers because they feed output pins directly
2377
    Info: Not allowed to move at least 166 registers because they are fed by registers in a different clock domain
2378
    Info: Not allowed to move at least 161 registers because they feed registers in a different clock domain
2379
    Info: Not allowed to move at least 5 registers because they feed clock or asynchronous control signals of other registers
2380
Info: Quartus II software applied gate-level register retiming to 3 clock domains
2381
    Info: Quartus II software applied gate-level register retiming to clock "CLOCK_50": created 2 new registers, removed 0 registers, left 446 registers untouched
2382
    Info: Quartus II software applied gate-level register retiming to clock "Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0": created 1 new registers, removed 0 registers, left 144 registers untouched
2383
    Info: Quartus II software applied gate-level register retiming to clock "VGA_Audio_PLL:p1|altpll:altpll_component|_clk0": created 24 new registers, removed 1 registers, left 35 registers untouched
2384
Warning: TRI or OPNDRN buffers permanently enabled
2385
    Warning: Node "AUD_BCLK~synth"
2386
Warning: Output pins are stuck at VCC or GND
2387
    Warning (13410): Pin "UART_TXD" is stuck at GND
2388
    Warning (13410): Pin "FL_RST_N" is stuck at VCC
2389
    Warning (13410): Pin "SRAM_UB_N" is stuck at GND
2390
    Warning (13410): Pin "SRAM_LB_N" is stuck at GND
2391
    Warning (13410): Pin "SRAM_CE_N" is stuck at GND
2392
    Warning (13410): Pin "SD_CLK" is stuck at GND
2393
Info: 33 registers lost all their fanouts during netlist optimizations. The first 33 are displayed below.
2394
    Info: Register "ps2_keyboard:u4|m1_state.m1_tx_reset_timer" lost all its fanouts during netlist optimizations.
2395
    Info: Register "CMD_Decode:u5|mSR_ST~36" lost all its fanouts during netlist optimizations.
2396
    Info: Register "CMD_Decode:u5|mSR_ST~37" lost all its fanouts during netlist optimizations.
2397
    Info: Register "CMD_Decode:u5|mSR_ST~38" lost all its fanouts during netlist optimizations.
2398
    Info: Register "CMD_Decode:u5|mSDR_ST~44" lost all its fanouts during netlist optimizations.
2399
    Info: Register "CMD_Decode:u5|mSDR_ST~45" lost all its fanouts during netlist optimizations.
2400
    Info: Register "CMD_Decode:u5|mSDR_ST~46" lost all its fanouts during netlist optimizations.
2401
    Info: Register "CMD_Decode:u5|mPS2_ST~21" lost all its fanouts during netlist optimizations.
2402
    Info: Register "CMD_Decode:u5|mPS2_ST~22" lost all its fanouts during netlist optimizations.
2403
    Info: Register "CMD_Decode:u5|mFL_ST~54" lost all its fanouts during netlist optimizations.
2404
    Info: Register "CMD_Decode:u5|mFL_ST~55" lost all its fanouts during netlist optimizations.
2405
    Info: Register "CMD_Decode:u5|mFL_ST~56" lost all its fanouts during netlist optimizations.
2406
    Info: Register "ps2_keyboard:u4|m1_state~20" lost all its fanouts during netlist optimizations.
2407
    Info: Register "ps2_keyboard:u4|m1_state~21" lost all its fanouts during netlist optimizations.
2408
    Info: Register "ps2_keyboard:u4|m1_state~22" lost all its fanouts during netlist optimizations.
2409
    Info: Register "ps2_keyboard:u4|m1_state~23" lost all its fanouts during netlist optimizations.
2410
    Info: Register "Multi_Sdram:u3|Sdram_Multiplexer:u0|ST~25" lost all its fanouts during netlist optimizations.
2411
    Info: Register "Multi_Sdram:u3|Sdram_Multiplexer:u0|ST~26" lost all its fanouts during netlist optimizations.
2412
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|ST~66" lost all its fanouts during netlist optimizations.
2413
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|ST~67" lost all its fanouts during netlist optimizations.
2414
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|ST~68" lost all its fanouts during netlist optimizations.
2415
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|ST~69" lost all its fanouts during netlist optimizations.
2416
    Info: Register "Multi_Flash:u2|Flash_Multiplexer:u0|ST~25" lost all its fanouts during netlist optimizations.
2417
    Info: Register "Multi_Flash:u2|Flash_Multiplexer:u0|ST~26" lost all its fanouts during netlist optimizations.
2418
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[3]" lost all its fanouts during netlist optimizations.
2419
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[4]" lost all its fanouts during netlist optimizations.
2420
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[5]" lost all its fanouts during netlist optimizations.
2421
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[6]" lost all its fanouts during netlist optimizations.
2422
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[7]" lost all its fanouts during netlist optimizations.
2423
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[8]" lost all its fanouts during netlist optimizations.
2424
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[9]" lost all its fanouts during netlist optimizations.
2425
    Info: Register "Multi_Flash:u2|Flash_Controller:u1|Cont_DIV[10]" lost all its fanouts during netlist optimizations.
2426
    Info: Register "VGA_OSD_RAM:u9|oRed[9]" lost all its fanouts during netlist optimizations.
2427
Warning: Design contains 17 input pin(s) that do not drive logic
2428
    Warning (15610): No output dependent on input pin "CLOCK_24[0]"
2429
    Warning (15610): No output dependent on input pin "CLOCK_24[1]"
2430
    Warning (15610): No output dependent on input pin "CLOCK_27[1]"
2431
    Warning (15610): No output dependent on input pin "EXT_CLOCK"
2432
    Warning (15610): No output dependent on input pin "KEY[1]"
2433
    Warning (15610): No output dependent on input pin "KEY[2]"
2434
    Warning (15610): No output dependent on input pin "KEY[3]"
2435
    Warning (15610): No output dependent on input pin "SW[2]"
2436
    Warning (15610): No output dependent on input pin "SW[3]"
2437
    Warning (15610): No output dependent on input pin "SW[4]"
2438
    Warning (15610): No output dependent on input pin "SW[5]"
2439
    Warning (15610): No output dependent on input pin "SW[6]"
2440
    Warning (15610): No output dependent on input pin "SW[7]"
2441
    Warning (15610): No output dependent on input pin "SW[8]"
2442
    Warning (15610): No output dependent on input pin "SW[9]"
2443
    Warning (15610): No output dependent on input pin "UART_RXD"
2444
    Warning (15610): No output dependent on input pin "AUD_ADCDAT"
2445
Info: Implemented 2691 device resources after synthesis - the final resource count might be different
2446
    Info: Implemented 27 input pins
2447
    Info: Implemented 139 output pins
2448
    Info: Implemented 117 bidirectional pins
2449
    Info: Implemented 2354 logic cells
2450
    Info: Implemented 51 RAM segments
2451
    Info: Implemented 2 ClockLock PLLs
2452
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a37" has a port clk1 that is stuck at GND
2453
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a38" has a port clk1 that is stuck at GND
2454
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a36" has a port clk1 that is stuck at GND
2455
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a39" has a port clk1 that is stuck at GND
2456
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a33" has a port clk1 that is stuck at GND
2457
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a34" has a port clk1 that is stuck at GND
2458
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a32" has a port clk1 that is stuck at GND
2459
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a35" has a port clk1 that is stuck at GND
2460
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a41" has a port clk1 that is stuck at GND
2461
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a40" has a port clk1 that is stuck at GND
2462
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a43" has a port clk1 that is stuck at GND
2463
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a42" has a port clk1 that is stuck at GND
2464
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a47" has a port clk1 that is stuck at GND
2465
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a45" has a port clk1 that is stuck at GND
2466
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a46" has a port clk1 that is stuck at GND
2467
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a44" has a port clk1 that is stuck at GND
2468
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a50" has a port clk1 that is stuck at GND
2469
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a48" has a port clk1 that is stuck at GND
2470
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a49" has a port clk1 that is stuck at GND
2471
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a5" has a port clk1 that is stuck at GND
2472
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a6" has a port clk1 that is stuck at GND
2473
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a4" has a port clk1 that is stuck at GND
2474
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a7" has a port clk1 that is stuck at GND
2475
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a1" has a port clk1 that is stuck at GND
2476
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a2" has a port clk1 that is stuck at GND
2477
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a0" has a port clk1 that is stuck at GND
2478
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a3" has a port clk1 that is stuck at GND
2479
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a12" has a port clk1 that is stuck at GND
2480
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a8" has a port clk1 that is stuck at GND
2481
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a14" has a port clk1 that is stuck at GND
2482
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a10" has a port clk1 that is stuck at GND
2483
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a13" has a port clk1 that is stuck at GND
2484
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a9" has a port clk1 that is stuck at GND
2485
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a15" has a port clk1 that is stuck at GND
2486
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a11" has a port clk1 that is stuck at GND
2487
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a21" has a port clk1 that is stuck at GND
2488
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a22" has a port clk1 that is stuck at GND
2489
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a20" has a port clk1 that is stuck at GND
2490
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a23" has a port clk1 that is stuck at GND
2491
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a17" has a port clk1 that is stuck at GND
2492
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a18" has a port clk1 that is stuck at GND
2493
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a16" has a port clk1 that is stuck at GND
2494
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a19" has a port clk1 that is stuck at GND
2495
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a27" has a port clk1 that is stuck at GND
2496
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a25" has a port clk1 that is stuck at GND
2497
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a26" has a port clk1 that is stuck at GND
2498
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a24" has a port clk1 that is stuck at GND
2499
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a31" has a port clk1 that is stuck at GND
2500
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a29" has a port clk1 that is stuck at GND
2501
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a30" has a port clk1 that is stuck at GND
2502
Warning (15400): WYSIWYG primitive "VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a28" has a port clk1 that is stuck at GND
2503
Info: Quartus II Analysis & Synthesis was successful. 0 errors, 175 warnings
2504
    Info: Peak virtual memory: 212 megabytes
2505
    Info: Processing ended: Sun Oct 11 12:23:17 2009
2506
    Info: Elapsed time: 00:01:02
2507
    Info: Total CPU time (on all processors): 00:00:55
2508
 
2509
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.