OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.pin] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
 -- Copyright (C) 1991-2009 Altera Corporation
2
 -- Your use of Altera Corporation's design tools, logic functions
3
 -- and other software and tools, and its AMPP partner logic
4
 -- functions, and any output files from any of the foregoing
5
 -- (including device programming or simulation files), and any
6
 -- associated documentation or information are expressly subject
7
 -- to the terms and conditions of the Altera Program License
8
 -- Subscription Agreement, Altera MegaCore Function License
9
 -- Agreement, or other applicable license agreement, including,
10
 -- without limitation, that your use is for the sole purpose of
11
 -- programming logic devices manufactured by Altera and sold by
12
 -- Altera or its authorized distributors.  Please refer to the
13
 -- applicable agreement for further details.
14
 --
15
 -- This is a Quartus II output file. It is for reporting purposes only, and is
16
 -- not intended for use as a Quartus II input file. This file cannot be used
17
 -- to make Quartus II pin assignments - for instructions on how to make pin
18
 -- assignments, please see Quartus II help.
19
 ---------------------------------------------------------------------------------
20
 
21
 
22
 
23
 ---------------------------------------------------------------------------------
24
 -- NC            : No Connect. This pin has no internal connection to the device.
25
 -- DNU           : Do Not Use. This pin MUST NOT be connected.
26
 -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
27
 -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
28
 --                 of its bank.
29
 --                                     Bank 1:         3.3V
30
 --                                     Bank 2:         3.3V
31
 --                                     Bank 3:         3.3V
32
 --                                     Bank 4:         3.3V
33
 --                                     Bank 5:         3.3V
34
 --                                     Bank 6:         3.3V
35
 --                                     Bank 7:         3.3V
36
 --                                     Bank 8:         3.3V
37
 -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
38
 --                                     It can also be used to report unused dedicated pins. The connection
39
 --                                     on the board for unused dedicated pins depends on whether this will
40
 --                                     be used in a future design. One example is device migration. When
41
 --                                     using device migration, refer to the device pin-tables. If it is a
42
 --                                     GND pin in the pin table or if it will not be used in a future design
43
 --                                     for another purpose the it MUST be connected to GND. If it is an unused
44
 --                                     dedicated pin, then it can be connected to a valid signal on the board
45
 --                                     (low, high, or toggling) if that signal is required for a different
46
 --                                     revision of the design.
47
 -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
48
 --                                     This pin should be connected to GND. It may also be connected  to a
49
 --                                     valid signal  on the board  (low, high, or toggling)  if that signal
50
 --                                     is required for a different revision of the design.
51
 -- GND*          : Unused  I/O  pin.   For transceiver I/O banks (Bank 13, 14, 15, 16 and 17),
52
 --                 connect each pin marked GND* either individually through a 10k Ohm resistor
53
 --                 to GND or tie all pins together and connect through a single 10k Ohm resistor
54
 --                 to GND.
55
 --                 For non-transceiver I/O banks, connect each pin marked GND* directly to GND
56
 --                 or leave it unconnected.
57
 -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
58
 -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
59
 -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
60
 -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
61
 -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
62
 ---------------------------------------------------------------------------------
63
 
64
 
65
 
66
 ---------------------------------------------------------------------------------
67
 -- Pin directions (input, output or bidir) are based on device operating in user mode.
68
 ---------------------------------------------------------------------------------
69
 
70
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
71
CHIP  "CII_Starter_USB_API"  ASSIGNED TO AN: EP2C20F484C7
72
 
73
Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
74
-------------------------------------------------------------------------------------------------------------
75
GND                          : A1        : gnd    :                   :         :           :
76
VCCIO3                       : A2        : power  :                   : 3.3V    : 3         :
77
I2C_SCLK                     : A3        : output : 3.3-V LVTTL       :         : 3         : Y
78
AUD_BCLK                     : A4        : bidir  : 3.3-V LVTTL       :         : 3         : Y
79
AUD_DACLRCK                  : A5        : output : 3.3-V LVTTL       :         : 3         : Y
80
AUD_ADCLRCK                  : A6        : output : 3.3-V LVTTL       :         : 3         : Y
81
VGA_R[2]                     : A7        : output : 3.3-V LVTTL       :         : 3         : Y
82
VGA_G[3]                     : A8        : output : 3.3-V LVTTL       :         : 3         : Y
83
VGA_B[0]                     : A9        : output : 3.3-V LVTTL       :         : 3         : Y
84
VGA_B[2]                     : A10       : output : 3.3-V LVTTL       :         : 3         : Y
85
VGA_HS                       : A11       : output : 3.3-V LVTTL       :         : 3         : Y
86
CLOCK_24[1]                  : A12       : input  : 3.3-V LVTTL       :         : 4         : Y
87
GPIO_0[0]                    : A13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
88
GPIO_0[2]                    : A14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
89
GPIO_0[4]                    : A15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
90
GPIO_0[6]                    : A16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
91
GPIO_0[8]                    : A17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
92
GPIO_0[10]                   : A18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
93
GPIO_0[12]                   : A19       : bidir  : 3.3-V LVTTL       :         : 4         : Y
94
GPIO_0[14]                   : A20       : bidir  : 3.3-V LVTTL       :         : 4         : Y
95
VCCIO4                       : A21       : power  :                   : 3.3V    : 4         :
96
GND                          : A22       : gnd    :                   :         :           :
97
VCCIO1                       : AA1       : power  :                   : 3.3V    : 1         :
98
GND                          : AA2       : gnd    :                   :         :           :
99
SRAM_ADDR[0]                 : AA3       : output : 3.3-V LVTTL       :         : 8         : Y
100
SRAM_ADDR[2]                 : AA4       : output : 3.3-V LVTTL       :         : 8         : Y
101
SRAM_ADDR[4]                 : AA5       : output : 3.3-V LVTTL       :         : 8         : Y
102
SRAM_DQ[0]                   : AA6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
103
SRAM_DQ[2]                   : AA7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
104
SRAM_DQ[4]                   : AA8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
105
SRAM_DQ[6]                   : AA9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
106
SRAM_WE_N                    : AA10      : output : 3.3-V LVTTL       :         : 8         : Y
107
SRAM_ADDR[6]                 : AA11      : output : 3.3-V LVTTL       :         : 8         : Y
108
FL_ADDR[15]                  : AA12      : output : 3.3-V LVTTL       :         : 7         : Y
109
FL_ADDR[13]                  : AA13      : output : 3.3-V LVTTL       :         : 7         : Y
110
FL_ADDR[1]                   : AA14      : output : 3.3-V LVTTL       :         : 7         : Y
111
FL_OE_N                      : AA15      : output : 3.3-V LVTTL       :         : 7         : Y
112
FL_DQ[1]                     : AA16      : bidir  : 3.3-V LVTTL       :         : 7         : Y
113
FL_DQ[3]                     : AA17      : bidir  : 3.3-V LVTTL       :         : 7         : Y
114
FL_DQ[5]                     : AA18      : bidir  : 3.3-V LVTTL       :         : 7         : Y
115
FL_DQ[7]                     : AA19      : bidir  : 3.3-V LVTTL       :         : 7         : Y
116
FL_ADDR[17]                  : AA20      : output : 3.3-V LVTTL       :         : 7         : Y
117
GND                          : AA21      : gnd    :                   :         :           :
118
VCCIO6                       : AA22      : power  :                   : 3.3V    : 6         :
119
GND                          : AB1       : gnd    :                   :         :           :
120
VCCIO8                       : AB2       : power  :                   : 3.3V    : 8         :
121
SRAM_ADDR[1]                 : AB3       : output : 3.3-V LVTTL       :         : 8         : Y
122
SRAM_ADDR[3]                 : AB4       : output : 3.3-V LVTTL       :         : 8         : Y
123
SRAM_CE_N                    : AB5       : output : 3.3-V LVTTL       :         : 8         : Y
124
SRAM_DQ[1]                   : AB6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
125
SRAM_DQ[3]                   : AB7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
126
SRAM_DQ[5]                   : AB8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
127
SRAM_DQ[7]                   : AB9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
128
SRAM_ADDR[5]                 : AB10      : output : 3.3-V LVTTL       :         : 8         : Y
129
SRAM_ADDR[7]                 : AB11      : output : 3.3-V LVTTL       :         : 8         : Y
130
FL_ADDR[16]                  : AB12      : output : 3.3-V LVTTL       :         : 7         : Y
131
FL_ADDR[14]                  : AB13      : output : 3.3-V LVTTL       :         : 7         : Y
132
FL_ADDR[12]                  : AB14      : output : 3.3-V LVTTL       :         : 7         : Y
133
GND*                         : AB15      :        :                   :         : 7         :
134
FL_DQ[0]                     : AB16      : bidir  : 3.3-V LVTTL       :         : 7         : Y
135
FL_DQ[2]                     : AB17      : bidir  : 3.3-V LVTTL       :         : 7         : Y
136
FL_DQ[4]                     : AB18      : bidir  : 3.3-V LVTTL       :         : 7         : Y
137
FL_DQ[6]                     : AB19      : bidir  : 3.3-V LVTTL       :         : 7         : Y
138
FL_ADDR[0]                   : AB20      : output : 3.3-V LVTTL       :         : 7         : Y
139
VCCIO7                       : AB21      : power  :                   : 3.3V    : 7         :
140
GND                          : AB22      : gnd    :                   :         :           :
141
VCCIO2                       : B1        : power  :                   : 3.3V    : 2         :
142
GND                          : B2        : gnd    :                   :         :           :
143
I2C_SDAT                     : B3        : bidir  : 3.3-V LVTTL       :         : 3         : Y
144
AUD_XCK                      : B4        : output : 3.3-V LVTTL       :         : 3         : Y
145
AUD_DACDAT                   : B5        : output : 3.3-V LVTTL       :         : 3         : Y
146
AUD_ADCDAT                   : B6        : input  : 3.3-V LVTTL       :         : 3         : Y
147
VGA_R[3]                     : B7        : output : 3.3-V LVTTL       :         : 3         : Y
148
VGA_G[0]                     : B8        : output : 3.3-V LVTTL       :         : 3         : Y
149
VGA_G[2]                     : B9        : output : 3.3-V LVTTL       :         : 3         : Y
150
VGA_B[3]                     : B10       : output : 3.3-V LVTTL       :         : 3         : Y
151
VGA_VS                       : B11       : output : 3.3-V LVTTL       :         : 3         : Y
152
CLOCK_24[0]                  : B12       : input  : 3.3-V LVTTL       :         : 4         : Y
153
GPIO_0[1]                    : B13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
154
GPIO_0[3]                    : B14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
155
GPIO_0[5]                    : B15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
156
GPIO_0[7]                    : B16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
157
GPIO_0[9]                    : B17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
158
GPIO_0[11]                   : B18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
159
GPIO_0[13]                   : B19       : bidir  : 3.3-V LVTTL       :         : 4         : Y
160
GPIO_0[15]                   : B20       : bidir  : 3.3-V LVTTL       :         : 4         : Y
161
GND                          : B21       : gnd    :                   :         :           :
162
VCCIO5                       : B22       : power  :                   : 3.3V    : 5         :
163
HEX2[3]                      : C1        : output : 3.3-V LVTTL       :         : 2         : Y
164
HEX2[2]                      : C2        : output : 3.3-V LVTTL       :         : 2         : Y
165
~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3        : input  : 3.3-V LVTTL       :         : 2         : N
166
~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4        : input  : 3.3-V LVTTL       :         : 2         : N
167
GND                          : C5        : gnd    :                   :         :           :
168
VCCIO3                       : C6        : power  :                   : 3.3V    : 3         :
169
TCK                          : C7        : input  : 3.3-V LVTTL       :         : 3         : Y
170
GND                          : C8        : gnd    :                   :         :           :
171
VGA_R[1]                     : C9        : output : 3.3-V LVTTL       :         : 3         : Y
172
VGA_G[1]                     : C10       : output : 3.3-V LVTTL       :         : 3         : Y
173
VCCIO3                       : C11       : power  :                   : 3.3V    : 3         :
174
VCCIO4                       : C12       : power  :                   : 3.3V    : 4         :
175
GND*                         : C13       :        :                   :         : 4         :
176
GPIO_1[10]                   : C14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
177
GND                          : C15       : gnd    :                   :         :           :
178
SD_CMD                       : C16       : bidir  : 3.3-V LVTTL       :         : 4         : N
179
GPIO_1[14]                   : C17       : bidir  : 3.3-V LVTTL       :         : 4         : Y
180
GPIO_1[15]                   : C18       : bidir  : 3.3-V LVTTL       :         : 4         : Y
181
GPIO_1[16]                   : C19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
182
GPIO_1[17]                   : C20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
183
GPIO_0[16]                   : C21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
184
GPIO_0[17]                   : C22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
185
HEX1[6]                      : D1        : output : 3.3-V LVTTL       :         : 2         : Y
186
HEX1[5]                      : D2        : output : 3.3-V LVTTL       :         : 2         : Y
187
HEX2[6]                      : D3        : output : 3.3-V LVTTL       :         : 2         : Y
188
HEX3[6]                      : D4        : output : 3.3-V LVTTL       :         : 2         : Y
189
HEX3[1]                      : D5        : output : 3.3-V LVTTL       :         : 2         : Y
190
HEX3[2]                      : D6        : output : 3.3-V LVTTL       :         : 2         : Y
191
TDO                          : D7        : output : 3.3-V LVTTL       :         : 3         : Y
192
TCS                          : D8        : input  : 3.3-V LVTTL       :         : 3         : Y
193
VGA_R[0]                     : D9        : output : 3.3-V LVTTL       :         : 3         : Y
194
GND                          : D10       : gnd    :                   :         :           :
195
VGA_B[1]                     : D11       : output : 3.3-V LVTTL       :         : 3         : Y
196
CLOCK_27[0]                  : D12       : input  : 3.3-V LVTTL       :         : 3         : Y
197
GND                          : D13       : gnd    :                   :         :           :
198
GPIO_1[11]                   : D14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
199
GPIO_1[12]                   : D15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
200
GPIO_1[13]                   : D16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
201
VCCIO4                       : D17       : power  :                   : 3.3V    : 4         :
202
GND                          : D18       : gnd    :                   :         :           :
203
GPIO_1[18]                   : D19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
204
GPIO_1[19]                   : D20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
205
GPIO_0[18]                   : D21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
206
GPIO_0[19]                   : D22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
207
HEX1[0]                      : E1        : output : 3.3-V LVTTL       :         : 2         : Y
208
HEX0[6]                      : E2        : output : 3.3-V LVTTL       :         : 2         : Y
209
HEX2[4]                      : E3        : output : 3.3-V LVTTL       :         : 2         : Y
210
HEX2[5]                      : E4        : output : 3.3-V LVTTL       :         : 2         : Y
211
VCCD_PLL3                    : E5        : power  :                   : 1.2V    :           :
212
VCCA_PLL3                    : E6        : power  :                   : 1.2V    :           :
213
SD_DAT                       : E7        : bidir  : 3.3-V LVTTL       :         : 3         : N
214
TDI                          : E8        : input  : 3.3-V LVTTL       :         : 3         : Y
215
GND*                         : E9        :        :                   :         : 3         :
216
VCCIO3                       : E10       : power  :                   : 3.3V    : 3         :
217
GND*                         : E11       :        :                   :         : 3         :
218
CLOCK_27[1]                  : E12       : input  : 3.3-V LVTTL       :         : 3         : Y
219
VCCIO4                       : E13       : power  :                   : 3.3V    : 4         :
220
GPIO_1[4]                    : E14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
221
GPIO_1[5]                    : E15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
222
GNDA_PLL2                    : E16       : gnd    :                   :         :           :
223
GND_PLL2                     : E17       : gnd    :                   :         :           :
224
GPIO_1[23]                   : E18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
225
GPIO_1[22]                   : E19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
226
GPIO_1[20]                   : E20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
227
GPIO_0[20]                   : E21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
228
GPIO_0[21]                   : E22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
229
HEX0[5]                      : F1        : output : 3.3-V LVTTL       :         : 2         : Y
230
HEX0[4]                      : F2        : output : 3.3-V LVTTL       :         : 2         : Y
231
HEX3[5]                      : F3        : output : 3.3-V LVTTL       :         : 2         : Y
232
HEX3[0]                      : F4        : output : 3.3-V LVTTL       :         : 2         : Y
233
GND_PLL3                     : F5        : gnd    :                   :         :           :
234
GND_PLL3                     : F6        : gnd    :                   :         :           :
235
GNDA_PLL3                    : F7        : gnd    :                   :         :           :
236
GND*                         : F8        :        :                   :         : 3         :
237
SD_CLK                       : F9        : output : 3.3-V LVTTL       :         : 3         : N
238
GND*                         : F10       :        :                   :         : 3         :
239
GND*                         : F11       :        :                   :         : 3         :
240
GPIO_1[8]                    : F12       : bidir  : 3.3-V LVTTL       :         : 4         : Y
241
GPIO_1[9]                    : F13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
242
UART_RXD                     : F14       : input  : 3.3-V LVTTL       :         : 4         : Y
243
GPIO_1[6]                    : F15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
244
VCCA_PLL2                    : F16       : power  :                   : 1.2V    :           :
245
VCCD_PLL2                    : F17       : power  :                   : 1.2V    :           :
246
GND_PLL2                     : F18       : gnd    :                   :         :           :
247
GND                          : F19       : gnd    :                   :         :           :
248
GPIO_1[21]                   : F20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
249
GPIO_0[22]                   : F21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
250
GPIO_0[23]                   : F22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
251
NC                           : G1        :        :                   :         :           :
252
NC                           : G2        :        :                   :         :           :
253
HEX1[4]                      : G3        : output : 3.3-V LVTTL       :         : 2         : Y
254
GND                          : G4        : gnd    :                   :         :           :
255
HEX2[0]                      : G5        : output : 3.3-V LVTTL       :         : 2         : Y
256
HEX2[1]                      : G6        : output : 3.3-V LVTTL       :         : 2         : Y
257
GND*                         : G7        :        :                   :         : 3         :
258
GND*                         : G8        :        :                   :         : 3         :
259
VCCIO3                       : G9        : power  :                   : 3.3V    : 3         :
260
GND                          : G10       : gnd    :                   :         :           :
261
SD_DAT3                      : G11       : bidir  : 3.3-V LVTTL       :         : 3         : N
262
UART_TXD                     : G12       : output : 3.3-V LVTTL       :         : 4         : Y
263
GND                          : G13       : gnd    :                   :         :           :
264
VCCIO4                       : G14       : power  :                   : 3.3V    : 4         :
265
GPIO_1[3]                    : G15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
266
GPIO_1[7]                    : G16       : bidir  : 3.3-V LVTTL       :         : 4         : Y
267
GPIO_1[26]                   : G17       : bidir  : 3.3-V LVTTL       :         : 5         : Y
268
GPIO_1[25]                   : G18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
269
VCCIO5                       : G19       : power  :                   : 3.3V    : 5         :
270
GPIO_1[24]                   : G20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
271
GPIO_0[24]                   : G21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
272
GPIO_0[25]                   : G22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
273
HEX0[3]                      : H1        : output : 3.3-V LVTTL       :         : 2         : Y
274
HEX0[2]                      : H2        : output : 3.3-V LVTTL       :         : 2         : Y
275
GND*                         : H3        :        :                   :         : 2         :
276
HEX1[3]                      : H4        : output : 3.3-V LVTTL       :         : 2         : Y
277
HEX1[2]                      : H5        : output : 3.3-V LVTTL       :         : 2         : Y
278
HEX1[1]                      : H6        : output : 3.3-V LVTTL       :         : 2         : Y
279
GND*                         : H7        :        :                   :         : 3         :
280
GND*                         : H8        :        :                   :         : 3         :
281
GND*                         : H9        :        :                   :         : 3         :
282
GND*                         : H10       :        :                   :         : 3         :
283
GND*                         : H11       :        :                   :         : 3         :
284
GPIO_1[0]                    : H12       : bidir  : 3.3-V LVTTL       :         : 4         : Y
285
GPIO_1[1]                    : H13       : bidir  : 3.3-V LVTTL       :         : 4         : Y
286
GPIO_1[2]                    : H14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
287
PS2_CLK                      : H15       : input  : 3.3-V LVTTL       :         : 4         : Y
288
GND*                         : H16       :        :                   :         : 5         :
289
GPIO_1[27]                   : H17       : bidir  : 3.3-V LVTTL       :         : 5         : Y
290
GPIO_1[29]                   : H18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
291
GND*                         : H19       :        :                   :         : 5         :
292
GND                          : H20       : gnd    :                   :         :           :
293
NC                           : H21       :        :                   :         :           :
294
NC                           : H22       :        :                   :         :           :
295
HEX0[1]                      : J1        : output : 3.3-V LVTTL       :         : 2         : Y
296
HEX0[0]                      : J2        : output : 3.3-V LVTTL       :         : 2         : Y
297
NC                           : J3        :        :                   :         :           :
298
HEX3[3]                      : J4        : output : 3.3-V LVTTL       :         : 2         : Y
299
NC                           : J5        :        :                   :         :           :
300
NC                           : J6        :        :                   :         :           :
301
VCCIO2                       : J7        : power  :                   : 3.3V    : 2         :
302
NC                           : J8        :        :                   :         :           :
303
NC                           : J9        :        :                   :         :           :
304
VCCINT                       : J10       : power  :                   : 1.2V    :           :
305
VCCINT                       : J11       : power  :                   : 1.2V    :           :
306
VCCINT                       : J12       : power  :                   : 1.2V    :           :
307
VCCINT                       : J13       : power  :                   : 1.2V    :           :
308
PS2_DAT                      : J14       : input  : 3.3-V LVTTL       :         : 4         : Y
309
GPIO_1[28]                   : J15       : bidir  : 3.3-V LVTTL       :         : 5         : Y
310
VCCIO5                       : J16       : power  :                   : 3.3V    : 5         :
311
GND*                         : J17       :        :                   :         : 5         :
312
GPIO_0[32]                   : J18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
313
GPIO_0[30]                   : J19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
314
GPIO_0[31]                   : J20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
315
GPIO_0[26]                   : J21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
316
GPIO_0[27]                   : J22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
317
nCE                          : K1        :        :                   :         : 2         :
318
TCK                          : K2        : input  :                   :         : 2         :
319
GND                          : K3        : gnd    :                   :         :           :
320
DATA0                        : K4        : input  :                   :         : 2         :
321
TDI                          : K5        : input  :                   :         : 2         :
322
TMS                          : K6        : input  :                   :         : 2         :
323
GND                          : K7        : gnd    :                   :         :           :
324
NC                           : K8        :        :                   :         :           :
325
VCCINT                       : K9        : power  :                   : 1.2V    :           :
326
GND                          : K10       : gnd    :                   :         :           :
327
GND                          : K11       : gnd    :                   :         :           :
328
GND                          : K12       : gnd    :                   :         :           :
329
GND                          : K13       : gnd    :                   :         :           :
330
VCCINT                       : K14       : power  :                   : 1.2V    :           :
331
NC                           : K15       :        :                   :         :           :
332
GND                          : K16       : gnd    :                   :         :           :
333
NC                           : K17       :        :                   :         :           :
334
NC                           : K18       :        :                   :         :           :
335
GND                          : K19       : gnd    :                   :         :           :
336
GPIO_0[33]                   : K20       : bidir  : 3.3-V LVTTL       :         : 5         : Y
337
GPIO_0[28]                   : K21       : bidir  : 3.3-V LVTTL       :         : 5         : Y
338
GPIO_0[29]                   : K22       : bidir  : 3.3-V LVTTL       :         : 5         : Y
339
CLOCK_50                     : L1        : input  : 3.3-V LVTTL       :         : 2         : Y
340
SW[9]                        : L2        : input  : 3.3-V LVTTL       :         : 2         : Y
341
VCCIO2                       : L3        : power  :                   : 3.3V    : 2         :
342
nCONFIG                      : L4        :        :                   :         : 2         :
343
TDO                          : L5        : output :                   :         : 2         :
344
DCLK                         : L6        :        :                   :         : 2         :
345
NC                           : L7        :        :                   :         :           :
346
HEX3[4]                      : L8        : output : 3.3-V LVTTL       :         : 2         : Y
347
VCCINT                       : L9        : power  :                   : 1.2V    :           :
348
GND                          : L10       : gnd    :                   :         :           :
349
GND                          : L11       : gnd    :                   :         :           :
350
GND                          : L12       : gnd    :                   :         :           :
351
GND                          : L13       : gnd    :                   :         :           :
352
VCCINT                       : L14       : power  :                   : 1.2V    :           :
353
NC                           : L15       :        :                   :         :           :
354
NC                           : L16       :        :                   :         :           :
355
NC                           : L17       :        :                   :         :           :
356
GPIO_0[35]                   : L18       : bidir  : 3.3-V LVTTL       :         : 5         : Y
357
GPIO_0[34]                   : L19       : bidir  : 3.3-V LVTTL       :         : 5         : Y
358
VCCIO5                       : L20       : power  :                   : 3.3V    : 5         :
359
SW[1]                        : L21       : input  : 3.3-V LVTTL       :         : 5         : Y
360
SW[0]                        : L22       : input  : 3.3-V LVTTL       :         : 5         : Y
361
SW[8]                        : M1        : input  : 3.3-V LVTTL       :         : 1         : Y
362
SW[7]                        : M2        : input  : 3.3-V LVTTL       :         : 1         : Y
363
VCCIO1                       : M3        : power  :                   : 3.3V    : 1         :
364
GND                          : M4        : gnd    :                   :         :           :
365
DRAM_UDQM                    : M5        : output : 3.3-V LVTTL       :         : 1         : Y
366
GND*                         : M6        :        :                   :         : 1         :
367
NC                           : M7        :        :                   :         :           :
368
NC                           : M8        :        :                   :         :           :
369
VCCINT                       : M9        : power  :                   : 1.2V    :           :
370
GND                          : M10       : gnd    :                   :         :           :
371
GND                          : M11       : gnd    :                   :         :           :
372
GND                          : M12       : gnd    :                   :         :           :
373
GND                          : M13       : gnd    :                   :         :           :
374
VCCINT                       : M14       : power  :                   : 1.2V    :           :
375
NC                           : M15       :        :                   :         :           :
376
NC                           : M16       :        :                   :         :           :
377
MSEL0                        : M17       :        :                   :         : 6         :
378
FL_CE_N                      : M18       : output : 3.3-V LVTTL       :         : 6         : N
379
GND*                         : M19       :        :                   :         : 6         :
380
VCCIO6                       : M20       : power  :                   : 3.3V    : 6         :
381
EXT_CLOCK                    : M21       : input  : 3.3-V LVTTL       :         : 6         : Y
382
SW[2]                        : M22       : input  : 3.3-V LVTTL       :         : 6         : Y
383
DRAM_DQ[8]                   : N1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
384
DRAM_DQ[9]                   : N2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
385
DRAM_CKE                     : N3        : output : 3.3-V LVTTL       :         : 1         : Y
386
DRAM_ADDR[9]                 : N4        : output : 3.3-V LVTTL       :         : 1         : Y
387
NC                           : N5        :        :                   :         :           :
388
DRAM_ADDR[11]                : N6        : output : 3.3-V LVTTL       :         : 1         : Y
389
GND                          : N7        : gnd    :                   :         :           :
390
NC                           : N8        :        :                   :         :           :
391
VCCINT                       : N9        : power  :                   : 1.2V    :           :
392
GND                          : N10       : gnd    :                   :         :           :
393
GND                          : N11       : gnd    :                   :         :           :
394
GND                          : N12       : gnd    :                   :         :           :
395
GND                          : N13       : gnd    :                   :         :           :
396
VCCINT                       : N14       : power  :                   : 1.2V    :           :
397
GPIO_1[33]                   : N15       : bidir  : 3.3-V LVTTL       :         : 6         : Y
398
GND                          : N16       : gnd    :                   :         :           :
399
MSEL1                        : N17       :        :                   :         : 6         :
400
CONF_DONE                    : N18       :        :                   :         : 6         :
401
GND                          : N19       : gnd    :                   :         :           :
402
nSTATUS                      : N20       :        :                   :         : 6         :
403
GPIO_1[31]                   : N21       : bidir  : 3.3-V LVTTL       :         : 6         : Y
404
GPIO_1[30]                   : N22       : bidir  : 3.3-V LVTTL       :         : 6         : Y
405
DRAM_DQ[10]                  : P1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
406
DRAM_DQ[11]                  : P2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
407
DRAM_ADDR[8]                 : P3        : output : 3.3-V LVTTL       :         : 1         : Y
408
NC                           : P4        :        :                   :         :           :
409
DRAM_ADDR[7]                 : P5        : output : 3.3-V LVTTL       :         : 1         : Y
410
DRAM_ADDR[6]                 : P6        : output : 3.3-V LVTTL       :         : 1         : Y
411
VCCIO1                       : P7        : power  :                   : 3.3V    : 1         :
412
GND*                         : P8        :        :                   :         : 8         :
413
GND*                         : P9        :        :                   :         : 8         :
414
VCCINT                       : P10       : power  :                   : 1.2V    :           :
415
VCCINT                       : P11       : power  :                   : 1.2V    :           :
416
VCCINT                       : P12       : power  :                   : 1.2V    :           :
417
VCCINT                       : P13       : power  :                   : 1.2V    :           :
418
NC                           : P14       :        :                   :         :           :
419
GPIO_1[32]                   : P15       : bidir  : 3.3-V LVTTL       :         : 6         : Y
420
VCCIO6                       : P16       : power  :                   : 3.3V    : 6         :
421
GPIO_1[34]                   : P17       : bidir  : 3.3-V LVTTL       :         : 6         : Y
422
GPIO_1[35]                   : P18       : bidir  : 3.3-V LVTTL       :         : 6         : Y
423
NC                           : P19       :        :                   :         :           :
424
NC                           : P20       :        :                   :         :           :
425
NC                           : P21       :        :                   :         :           :
426
NC                           : P22       :        :                   :         :           :
427
DRAM_DQ[12]                  : R1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
428
DRAM_DQ[13]                  : R2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
429
GND                          : R3        : gnd    :                   :         :           :
430
NC                           : R4        :        :                   :         :           :
431
DRAM_ADDR[5]                 : R5        : output : 3.3-V LVTTL       :         : 1         : Y
432
DRAM_ADDR[4]                 : R6        : output : 3.3-V LVTTL       :         : 1         : Y
433
DRAM_LDQM                    : R7        : output : 3.3-V LVTTL       :         : 1         : Y
434
DRAM_WE_N                    : R8        : output : 3.3-V LVTTL       :         : 1         : Y
435
SRAM_DQ[12]                  : R9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
436
SRAM_ADDR[14]                : R10       : output : 3.3-V LVTTL       :         : 8         : Y
437
SRAM_ADDR[10]                : R11       : output : 3.3-V LVTTL       :         : 8         : Y
438
FL_ADDR[10]                  : R12       : output : 3.3-V LVTTL       :         : 7         : Y
439
FL_ADDR[21]                  : R13       : output : 3.3-V LVTTL       :         : 7         : Y
440
FL_ADDR[8]                   : R14       : output : 3.3-V LVTTL       :         : 7         : Y
441
FL_ADDR[3]                   : R15       : output : 3.3-V LVTTL       :         : 7         : Y
442
GND*                         : R16       :        :                   :         : 7         :
443
LEDR[9]                      : R17       : output : 3.3-V LVTTL       :         : 6         : Y
444
LEDR[8]                      : R18       : output : 3.3-V LVTTL       :         : 6         : Y
445
LEDR[1]                      : R19       : output : 3.3-V LVTTL       :         : 6         : Y
446
LEDR[0]                      : R20       : output : 3.3-V LVTTL       :         : 6         : Y
447
KEY[1]                       : R21       : input  : 3.3-V LVTTL       :         : 6         : Y
448
KEY[0]                       : R22       : input  : 3.3-V LVTTL       :         : 6         : Y
449
DRAM_DQ[14]                  : T1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
450
DRAM_DQ[15]                  : T2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
451
DRAM_CAS_N                   : T3        : output : 3.3-V LVTTL       :         : 1         : Y
452
VCCIO1                       : T4        : power  :                   : 3.3V    : 1         :
453
DRAM_RAS_N                   : T5        : output : 3.3-V LVTTL       :         : 1         : Y
454
DRAM_CS_N                    : T6        : output : 3.3-V LVTTL       :         : 1         : Y
455
SRAM_ADDR[15]                : T7        : output : 3.3-V LVTTL       :         : 8         : Y
456
SRAM_OE_N                    : T8        : output : 3.3-V LVTTL       :         : 8         : Y
457
VCCIO8                       : T9        : power  :                   : 3.3V    : 8         :
458
GND                          : T10       : gnd    :                   :         :           :
459
SRAM_ADDR[11]                : T11       : output : 3.3-V LVTTL       :         : 8         : Y
460
FL_ADDR[11]                  : T12       : output : 3.3-V LVTTL       :         : 7         : Y
461
GND                          : T13       : gnd    :                   :         :           :
462
VCCIO7                       : T14       : power  :                   : 3.3V    : 7         :
463
FL_ADDR[4]                   : T15       : output : 3.3-V LVTTL       :         : 7         : Y
464
GND*                         : T16       :        :                   :         : 7         :
465
GND_PLL4                     : T17       : gnd    :                   :         :           :
466
LEDR[4]                      : T18       : output : 3.3-V LVTTL       :         : 6         : Y
467
VCCIO6                       : T19       : power  :                   : 3.3V    : 6         :
468
GND                          : T20       : gnd    :                   :         :           :
469
KEY[3]                       : T21       : input  : 3.3-V LVTTL       :         : 6         : Y
470
KEY[2]                       : T22       : input  : 3.3-V LVTTL       :         : 6         : Y
471
DRAM_DQ[0]                   : U1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
472
DRAM_DQ[1]                   : U2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
473
DRAM_BA_0                    : U3        : output : 3.3-V LVTTL       :         : 1         : Y
474
DRAM_CLK                     : U4        : output : 3.3-V LVTTL       :         : 1         : Y
475
GND_PLL1                     : U5        : gnd    :                   :         :           :
476
VCCD_PLL1                    : U6        : power  :                   : 1.2V    :           :
477
VCCA_PLL1                    : U7        : power  :                   : 1.2V    :           :
478
SRAM_DQ[15]                  : U8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
479
SRAM_DQ[11]                  : U9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
480
SRAM_ADDR[13]                : U10       : output : 3.3-V LVTTL       :         : 8         : Y
481
SW[6]                        : U11       : input  : 3.3-V LVTTL       :         : 8         : Y
482
SW[5]                        : U12       : input  : 3.3-V LVTTL       :         : 8         : Y
483
FL_ADDR[20]                  : U13       : output : 3.3-V LVTTL       :         : 7         : Y
484
FL_ADDR[18]                  : U14       : output : 3.3-V LVTTL       :         : 7         : Y
485
FL_ADDR[5]                   : U15       : output : 3.3-V LVTTL       :         : 7         : Y
486
VCCA_PLL4                    : U16       : power  :                   : 1.2V    :           :
487
VCCD_PLL4                    : U17       : power  :                   : 1.2V    :           :
488
LEDR[7]                      : U18       : output : 3.3-V LVTTL       :         : 6         : Y
489
LEDR[2]                      : U19       : output : 3.3-V LVTTL       :         : 6         : Y
490
GND*                         : U20       :        :                   :         : 6         :
491
LEDG[1]                      : U21       : output : 3.3-V LVTTL       :         : 6         : Y
492
LEDG[0]                      : U22       : output : 3.3-V LVTTL       :         : 6         : Y
493
DRAM_DQ[2]                   : V1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
494
DRAM_DQ[3]                   : V2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
495
GND                          : V3        : gnd    :                   :         :           :
496
DRAM_BA_1                    : V4        : output : 3.3-V LVTTL       :         : 1         : Y
497
GND_PLL1                     : V5        : gnd    :                   :         :           :
498
GND                          : V6        : gnd    :                   :         :           :
499
GNDA_PLL1                    : V7        : gnd    :                   :         :           :
500
SRAM_DQ[14]                  : V8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
501
SRAM_DQ[10]                  : V9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
502
VCCIO8                       : V10       : power  :                   : 3.3V    : 8         :
503
SRAM_ADDR[8]                 : V11       : output : 3.3-V LVTTL       :         : 8         : Y
504
SW[3]                        : V12       : input  : 3.3-V LVTTL       :         : 7         : Y
505
VCCIO7                       : V13       : power  :                   : 3.3V    : 7         :
506
FL_ADDR[19]                  : V14       : output : 3.3-V LVTTL       :         : 7         : Y
507
FL_ADDR[6]                   : V15       : output : 3.3-V LVTTL       :         : 7         : Y
508
GNDA_PLL4                    : V16       : gnd    :                   :         :           :
509
GND                          : V17       : gnd    :                   :         :           :
510
GND_PLL4                     : V18       : gnd    :                   :         :           :
511
LEDR[5]                      : V19       : output : 3.3-V LVTTL       :         : 6         : Y
512
GND*                         : V20       :        :                   :         : 6         :
513
LEDG[3]                      : V21       : output : 3.3-V LVTTL       :         : 6         : Y
514
LEDG[2]                      : V22       : output : 3.3-V LVTTL       :         : 6         : Y
515
DRAM_DQ[4]                   : W1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
516
DRAM_DQ[5]                   : W2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
517
DRAM_ADDR[10]                : W3        : output : 3.3-V LVTTL       :         : 1         : Y
518
DRAM_ADDR[0]                 : W4        : output : 3.3-V LVTTL       :         : 1         : Y
519
DRAM_ADDR[1]                 : W5        : output : 3.3-V LVTTL       :         : 1         : Y
520
VCCIO8                       : W6        : power  :                   : 3.3V    : 8         :
521
SRAM_UB_N                    : W7        : output : 3.3-V LVTTL       :         : 8         : Y
522
SRAM_DQ[13]                  : W8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
523
SRAM_DQ[9]                   : W9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
524
GND                          : W10       : gnd    :                   :         :           :
525
SRAM_ADDR[9]                 : W11       : output : 3.3-V LVTTL       :         : 8         : Y
526
SW[4]                        : W12       : input  : 3.3-V LVTTL       :         : 7         : Y
527
GND                          : W13       : gnd    :                   :         :           :
528
FL_RST_N                     : W14       : output : 3.3-V LVTTL       :         : 7         : Y
529
FL_ADDR[7]                   : W15       : output : 3.3-V LVTTL       :         : 7         : Y
530
GND*                         : W16       :        :                   :         : 7         :
531
VCCIO7                       : W17       : power  :                   : 3.3V    : 7         :
532
NC                           : W18       :        :                   :         :           :
533
GND                          : W19       : gnd    :                   :         :           :
534
~LVDS91p/nCEO~               : W20       : output : 3.3-V LVTTL       :         : 6         : N
535
LEDG[5]                      : W21       : output : 3.3-V LVTTL       :         : 6         : Y
536
LEDG[4]                      : W22       : output : 3.3-V LVTTL       :         : 6         : Y
537
DRAM_DQ[6]                   : Y1        : bidir  : 3.3-V LVTTL       :         : 1         : Y
538
DRAM_DQ[7]                   : Y2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
539
DRAM_ADDR[2]                 : Y3        : output : 3.3-V LVTTL       :         : 1         : Y
540
DRAM_ADDR[3]                 : Y4        : output : 3.3-V LVTTL       :         : 1         : Y
541
SRAM_ADDR[17]                : Y5        : output : 3.3-V LVTTL       :         : 8         : Y
542
SRAM_ADDR[16]                : Y6        : output : 3.3-V LVTTL       :         : 8         : Y
543
SRAM_LB_N                    : Y7        : output : 3.3-V LVTTL       :         : 8         : Y
544
GND                          : Y8        : gnd    :                   :         :           :
545
SRAM_DQ[8]                   : Y9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
546
SRAM_ADDR[12]                : Y10       : output : 3.3-V LVTTL       :         : 8         : Y
547
VCCIO8                       : Y11       : power  :                   : 3.3V    : 8         :
548
VCCIO7                       : Y12       : power  :                   : 3.3V    : 7         :
549
FL_ADDR[9]                   : Y13       : output : 3.3-V LVTTL       :         : 7         : Y
550
FL_WE_N                      : Y14       : output : 3.3-V LVTTL       :         : 7         : Y
551
GND                          : Y15       : gnd    :                   :         :           :
552
FL_ADDR[2]                   : Y16       : output : 3.3-V LVTTL       :         : 7         : Y
553
GND*                         : Y17       :        :                   :         : 7         :
554
LEDR[6]                      : Y18       : output : 3.3-V LVTTL       :         : 6         : Y
555
LEDR[3]                      : Y19       : output : 3.3-V LVTTL       :         : 6         : Y
556
GND*                         : Y20       :        :                   :         : 6         :
557
LEDG[7]                      : Y21       : output : 3.3-V LVTTL       :         : 6         : Y
558
LEDG[6]                      : Y22       : output : 3.3-V LVTTL       :         : 6         : Y

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.