OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.tan.summary] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
--------------------------------------------------------------------------------------
2
Timing Analyzer Summary
3
--------------------------------------------------------------------------------------
4
 
5
Type           : Worst-case tsu
6
Slack          : N/A
7
Required Time  : None
8
Actual Time    : 9.865 ns
9
From           : SRAM_DQ[6]
10
To             : VGA_Controller:u8|Cur_Color_B[8]
11
From Clock     : --
12
To Clock       : CLOCK_27[0]
13
Failed Paths   : 0
14
 
15
Type           : Worst-case tco
16
Slack          : N/A
17
Required Time  : None
18
Actual Time    : 17.508 ns
19
From           : AUDIO_DAC:u11|SEL_Cont[2]
20
To             : AUD_DACDAT
21
From Clock     : CLOCK_27[0]
22
To Clock       : --
23
Failed Paths   : 0
24
 
25
Type           : Worst-case tpd
26
Slack          : N/A
27
Required Time  : None
28
Actual Time    : 11.850 ns
29
From           : SW[0]
30
To             : AUD_DACDAT
31
From Clock     : --
32
To Clock       : --
33
Failed Paths   : 0
34
 
35
Type           : Worst-case th
36
Slack          : N/A
37
Required Time  : None
38
Actual Time    : -0.192 ns
39
From           : TCS
40
To             : USB_JTAG:u1|JTAG_REC:u0|rDATA[3]
41
From Clock     : --
42
To Clock       : CLOCK_50
43
Failed Paths   : 0
44
 
45
Type           : Clock Setup: 'TCK'
46
Slack          : -0.817 ns
47
Required Time  : 400.00 MHz ( period = 2.500 ns )
48
Actual Time    : 301.48 MHz ( period = 3.317 ns )
49
From           : USB_JTAG:u1|JTAG_TRANS:u1|rCont[0]
50
To             : USB_JTAG:u1|JTAG_TRANS:u1|TDO
51
From Clock     : TCK
52
To Clock       : TCK
53
Failed Paths   : 3
54
 
55
Type           : Clock Setup: 'CLOCK_50'
56
Slack          : 0.888 ns
57
Required Time  : 50.00 MHz ( period = 20.000 ns )
58
Actual Time    : N/A
59
From           : Multi_Sdram:u3|Sdram_Controller:u1|mDATAOUT[6]
60
To             : Multi_Sdram:u3|Sdram_Controller:u1|DATAOUT[6]
61
From Clock     : Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0
62
To Clock       : CLOCK_50
63
Failed Paths   : 0
64
 
65
Type           : Clock Setup: 'Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0'
66
Slack          : 12.096 ns
67
Required Time  : 50.00 MHz ( period = 20.000 ns )
68
Actual Time    : N/A
69
From           : Multi_Sdram:u3|Sdram_Multiplexer:u0|mSDR_RD
70
To             : Multi_Sdram:u3|Sdram_Controller:u1|Write
71
From Clock     : CLOCK_50
72
To Clock       : Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0
73
Failed Paths   : 0
74
 
75
Type           : Clock Setup: 'VGA_Audio_PLL:p1|altpll:altpll_component|_clk1'
76
Slack          : 25.135 ns
77
Required Time  : 18.00 MHz ( period = 55.555 ns )
78
Actual Time    : 189.18 MHz ( period = 5.286 ns )
79
From           : AUDIO_DAC:u11|FLASH_Cont[0]
80
To             : AUDIO_DAC:u11|FLASH_Out_Tmp[0]
81
From Clock     : VGA_Audio_PLL:p1|altpll:altpll_component|_clk1
82
To Clock       : VGA_Audio_PLL:p1|altpll:altpll_component|_clk1
83
Failed Paths   : 0
84
 
85
Type           : Clock Setup: 'VGA_Audio_PLL:p1|altpll:altpll_component|_clk0'
86
Slack          : 25.397 ns
87
Required Time  : 25.20 MHz ( period = 39.682 ns )
88
Actual Time    : 70.00 MHz ( period = 14.285 ns )
89
From           : VGA_Controller:u8|oCoord_Y[0]
90
To             : VGA_OSD_RAM:u9|Img_RAM:u0|altsyncram:altsyncram_component|altsyncram_f7o1:auto_generated|altsyncram_e132:altsyncram1|ram_block2a44~porta_address_reg8
91
From Clock     : VGA_Audio_PLL:p1|altpll:altpll_component|_clk0
92
To Clock       : VGA_Audio_PLL:p1|altpll:altpll_component|_clk0
93
Failed Paths   : 0
94
 
95
Type           : Clock Hold: 'Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0'
96
Slack          : 0.445 ns
97
Required Time  : 50.00 MHz ( period = 20.000 ns )
98
Actual Time    : N/A
99
From           : Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rw_flag
100
To             : Multi_Sdram:u3|Sdram_Controller:u1|command:command1|rw_flag
101
From Clock     : Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0
102
To Clock       : Multi_Sdram:u3|Sdram_Controller:u1|PLL1:sdram_pll1|altpll:altpll_component|_clk0
103
Failed Paths   : 0
104
 
105
Type           : Clock Hold: 'VGA_Audio_PLL:p1|altpll:altpll_component|_clk0'
106
Slack          : 0.445 ns
107
Required Time  : 25.20 MHz ( period = 39.682 ns )
108
Actual Time    : N/A
109
From           : VGA_Controller:u8|oVGA_V_SYNC
110
To             : VGA_Controller:u8|oVGA_V_SYNC
111
From Clock     : VGA_Audio_PLL:p1|altpll:altpll_component|_clk0
112
To Clock       : VGA_Audio_PLL:p1|altpll:altpll_component|_clk0
113
Failed Paths   : 0
114
 
115
Type           : Clock Hold: 'VGA_Audio_PLL:p1|altpll:altpll_component|_clk1'
116
Slack          : 0.445 ns
117
Required Time  : 18.00 MHz ( period = 55.555 ns )
118
Actual Time    : N/A
119
From           : AUDIO_DAC:u11|LRCK_2X
120
To             : AUDIO_DAC:u11|LRCK_2X
121
From Clock     : VGA_Audio_PLL:p1|altpll:altpll_component|_clk1
122
To Clock       : VGA_Audio_PLL:p1|altpll:altpll_component|_clk1
123
Failed Paths   : 0
124
 
125
Type           : Clock Hold: 'TCK'
126
Slack          : 0.445 ns
127
Required Time  : 400.00 MHz ( period = 2.500 ns )
128
Actual Time    : N/A
129
From           : USB_JTAG:u1|JTAG_TRANS:u1|rCont[0]
130
To             : USB_JTAG:u1|JTAG_TRANS:u1|rCont[0]
131
From Clock     : TCK
132
To Clock       : TCK
133
Failed Paths   : 0
134
 
135
Type           : Clock Hold: 'CLOCK_50'
136
Slack          : 0.445 ns
137
Required Time  : 50.00 MHz ( period = 20.000 ns )
138
Actual Time    : N/A
139
From           : I2C_AV_Config:u10|I2C_Controller:u0|SCLK
140
To             : I2C_AV_Config:u10|I2C_Controller:u0|SCLK
141
From Clock     : CLOCK_50
142
To Clock       : CLOCK_50
143
Failed Paths   : 0
144
 
145
Type           : Total number of failed paths
146
Slack          :
147
Required Time  :
148
Actual Time    :
149
From           :
150
To             :
151
From Clock     :
152
To Clock       :
153
Failed Paths   : 3
154
 
155
--------------------------------------------------------------------------------------
156
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.