OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [Img_RAM.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
//Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
 
14
// ============================================================
15
// File Name: Img_RAM.v
16
// Megafunction Name(s):
17
//                      altsyncram
18
// ============================================================
19
// ************************************************************
20
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
21
//
22
// 6.0 Build 202 06/20/2006 SP 1 SJ Full Version
23
// ************************************************************
24
 
25
 
26
//Copyright (C) 1991-2006 Altera Corporation
27
//Your use of Altera Corporation's design tools, logic functions 
28
//and other software and tools, and its AMPP partner logic 
29
//functions, and any output files any of the foregoing 
30
//(including device programming or simulation files), and any 
31
//associated documentation or information are expressly subject 
32
//to the terms and conditions of the Altera Program License 
33
//Subscription Agreement, Altera MegaCore Function License 
34
//Agreement, or other applicable license agreement, including, 
35
//without limitation, that your use is for the sole purpose of 
36
//programming logic devices manufactured by Altera and sold by 
37
//Altera or its authorized distributors.  Please refer to the 
38
//applicable agreement for further details.
39
 
40
 
41
// synopsys translate_off
42
`timescale 1 ps / 1 ps
43
// synopsys translate_on
44
module Img_RAM (
45
        data,
46
        rdaddress,
47
        rdclock,
48
        wraddress,
49
        wrclock,
50
        wren,
51
        q);
52
 
53
        input   [0:0]  data;
54
        input   [14:0]  rdaddress;
55
        input     rdclock;
56
        input   [17:0]  wraddress;
57
        input     wrclock;
58
        input     wren;
59
        output  [7:0]  q;
60
 
61
        wire [7:0] sub_wire0;
62
        wire [7:0] q = sub_wire0[7:0];
63
 
64
        altsyncram      altsyncram_component (
65
                                .wren_a (wren),
66
                                .clock0 (wrclock),
67
                                .clock1 (rdclock),
68
                                .address_a (wraddress),
69
                                .address_b (rdaddress),
70
                                .data_a (data),
71
                                .q_b (sub_wire0),
72
                                .aclr0 (1'b0),
73
                                .aclr1 (1'b0),
74
                                .addressstall_a (1'b0),
75
                                .addressstall_b (1'b0),
76
                                .byteena_a (1'b1),
77
                                .byteena_b (1'b1),
78
                                .clocken0 (1'b1),
79
                                .clocken1 (1'b1),
80
                                .data_b ({8{1'b1}}),
81
                                .q_a (),
82
                                .rden_b (1'b1),
83
                                .wren_b (1'b0));
84
        defparam
85
                altsyncram_component.address_reg_b = "CLOCK1",
86
                altsyncram_component.clock_enable_input_a = "BYPASS",
87
                altsyncram_component.clock_enable_input_b = "BYPASS",
88
                altsyncram_component.clock_enable_output_b = "BYPASS",
89
`ifdef NO_PLI
90
                altsyncram_component.init_file = "Img_DATA.rif"
91
`else
92
                altsyncram_component.init_file = "Img_DATA.hex"
93
`endif
94
,
95
                altsyncram_component.init_file_layout = "PORT_B",
96
                altsyncram_component.intended_device_family = "Cyclone II",
97
                altsyncram_component.lpm_type = "altsyncram",
98
                altsyncram_component.numwords_a = 208000,
99
                altsyncram_component.numwords_b = 26000,
100
                altsyncram_component.operation_mode = "DUAL_PORT",
101
                altsyncram_component.outdata_aclr_b = "NONE",
102
                altsyncram_component.outdata_reg_b = "CLOCK1",
103
                altsyncram_component.power_up_uninitialized = "FALSE",
104
                altsyncram_component.ram_block_type = "M4K",
105
                altsyncram_component.widthad_a = 18,
106
                altsyncram_component.widthad_b = 15,
107
                altsyncram_component.width_a = 1,
108
                altsyncram_component.width_b = 8,
109
                altsyncram_component.width_byteena_a = 1;
110
 
111
 
112
endmodule
113
 
114
// ============================================================
115
// CNX file retrieval info
116
// ============================================================
117
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
118
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
119
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
120
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
121
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
122
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
123
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
124
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
125
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
126
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
127
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
128
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
129
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
130
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
131
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
132
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
133
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
134
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
135
// Retrieval info: PRIVATE: Clock NUMERIC "1"
136
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
137
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
138
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
139
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
140
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
141
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
142
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
143
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
144
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
145
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
146
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
147
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "208000"
148
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "1"
149
// Retrieval info: PRIVATE: MIFfilename STRING "Img_DATA.hex"
150
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
151
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
152
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
153
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"
154
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
155
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
156
// Retrieval info: PRIVATE: REGq NUMERIC "1"
157
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
158
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
159
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
160
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
161
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
162
// Retrieval info: PRIVATE: VarWidth NUMERIC "1"
163
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "1"
164
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
165
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "1"
166
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
167
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
168
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
169
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
170
// Retrieval info: PRIVATE: enable NUMERIC "0"
171
// Retrieval info: PRIVATE: rden NUMERIC "0"
172
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
173
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
174
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
175
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
176
// Retrieval info: CONSTANT: INIT_FILE STRING "Img_DATA.hex"
177
// Retrieval info: CONSTANT: INIT_FILE_LAYOUT STRING "PORT_B"
178
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
179
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
180
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "208000"
181
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "26000"
182
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
183
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
184
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK1"
185
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
186
// Retrieval info: CONSTANT: RAM_BLOCK_TYPE STRING "M4K"
187
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "18"
188
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "15"
189
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "1"
190
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
191
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
192
// Retrieval info: USED_PORT: data 0 0 1 0 INPUT NODEFVAL data[0..0]
193
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
194
// Retrieval info: USED_PORT: rdaddress 0 0 15 0 INPUT NODEFVAL rdaddress[14..0]
195
// Retrieval info: USED_PORT: rdclock 0 0 0 0 INPUT NODEFVAL rdclock
196
// Retrieval info: USED_PORT: wraddress 0 0 18 0 INPUT NODEFVAL wraddress[17..0]
197
// Retrieval info: USED_PORT: wrclock 0 0 0 0 INPUT NODEFVAL wrclock
198
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
199
// Retrieval info: CONNECT: @data_a 0 0 1 0 data 0 0 1 0
200
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
201
// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
202
// Retrieval info: CONNECT: @address_a 0 0 18 0 wraddress 0 0 18 0
203
// Retrieval info: CONNECT: @address_b 0 0 15 0 rdaddress 0 0 15 0
204
// Retrieval info: CONNECT: @clock0 0 0 0 0 wrclock 0 0 0 0
205
// Retrieval info: CONNECT: @clock1 0 0 0 0 rdclock 0 0 0 0
206
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
207
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM.v TRUE
208
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM.inc FALSE
209
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM.cmp FALSE
210
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM.bsf FALSE
211
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM_inst.v FALSE
212
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM_bb.v FALSE
213
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM_waveforms.html FALSE
214
// Retrieval info: GEN_FILE: TYPE_NORMAL Img_RAM_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.