OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [Multi_Sdram/] [PLL1.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
//Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
 
14
// ============================================================
15
// File Name: PLL1.v
16
// Megafunction Name(s):
17
//                      altpll
18
// ============================================================
19
// ************************************************************
20
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
21
//
22
// 5.0 Build 168 06/22/2005 SP 1 SJ Full Version
23
// ************************************************************
24
 
25
 
26
//Copyright (C) 1991-2005 Altera Corporation
27
//Your use of Altera Corporation's design tools, logic functions 
28
//and other software and tools, and its AMPP partner logic       
29
//functions, and any output files any of the foregoing           
30
//(including device programming or simulation files), and any    
31
//associated documentation or information are expressly subject  
32
//to the terms and conditions of the Altera Program License      
33
//Subscription Agreement, Altera MegaCore Function License       
34
//Agreement, or other applicable license agreement, including,   
35
//without limitation, that your use is for the sole purpose of   
36
//programming logic devices manufactured by Altera and sold by   
37
//Altera or its authorized distributors.  Please refer to the    
38
//applicable agreement for further details.
39
 
40
 
41
// synopsys translate_off
42
`timescale 1 ps / 1 ps
43
// synopsys translate_on
44
module PLL1 (
45
        inclk0,
46
        c0,
47
        c2);
48
 
49
        input     inclk0;
50
        output    c0;
51
        output    c2;
52
 
53
        wire [5:0] sub_wire0;
54
        wire [0:0] sub_wire5 = 1'h0;
55
        wire [2:2] sub_wire2 = sub_wire0[2:2];
56
        wire [0:0] sub_wire1 = sub_wire0[0:0];
57
        wire  c0 = sub_wire1;
58
        wire  c2 = sub_wire2;
59
        wire  sub_wire3 = inclk0;
60
        wire [1:0] sub_wire4 = {sub_wire5, sub_wire3};
61
 
62
        altpll  altpll_component (
63
                                .inclk (sub_wire4),
64
                                .clk (sub_wire0)
65
                                // synopsys translate_off
66
                                ,
67
                                .activeclock (),
68
                                .areset (),
69
                                .clkbad (),
70
                                .clkena (),
71
                                .clkloss (),
72
                                .clkswitch (),
73
                                .enable0 (),
74
                                .enable1 (),
75
                                .extclk (),
76
                                .extclkena (),
77
                                .fbin (),
78
                                .locked (),
79
                                .pfdena (),
80
                                .pllena (),
81
                                .scanaclr (),
82
                                .scanclk (),
83
                                .scandata (),
84
                                .scandataout (),
85
                                .scandone (),
86
                                .scanread (),
87
                                .scanwrite (),
88
                                .sclkout0 (),
89
                                .sclkout1 ()
90
                                // synopsys translate_on
91
                                );
92
        defparam
93
                altpll_component.clk0_duty_cycle = 50,
94
                altpll_component.lpm_type = "altpll",
95
                altpll_component.clk0_multiply_by = 1,
96
                altpll_component.inclk0_input_frequency = 20000,
97
                altpll_component.clk0_divide_by = 1,
98
                altpll_component.pll_type = "FAST",
99
                altpll_component.clk2_phase_shift = "0",
100
                altpll_component.intended_device_family = "Cyclone II",
101
                altpll_component.clk2_divide_by = 1,
102
                altpll_component.operation_mode = "NORMAL",
103
                altpll_component.clk2_duty_cycle = 50,
104
                altpll_component.compensate_clock = "CLK0",
105
                altpll_component.clk0_phase_shift = "0",
106
                altpll_component.clk2_multiply_by = 1;
107
 
108
 
109
endmodule
110
 
111
// ============================================================
112
// CNX file retrieval info
113
// ============================================================
114
// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
115
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
116
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
117
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns"
118
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
119
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
120
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
121
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
122
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
123
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1"
124
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
125
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
126
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
127
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
128
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
129
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
130
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
131
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
132
// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
133
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ns"
134
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
135
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
136
// Retrieval info: PRIVATE: BANDWIDTH STRING "0.000"
137
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
138
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
139
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
140
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
141
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "2"
142
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
143
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
144
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
145
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
146
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
147
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
148
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
149
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
150
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
151
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
152
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
153
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
154
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
155
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "0.000"
156
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
157
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
158
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
159
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
160
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
161
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
162
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
163
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
164
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING ""
165
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
166
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
167
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
168
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
169
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
170
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
171
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
172
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
173
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
174
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
175
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
176
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
177
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
178
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
179
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
180
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
181
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "50.000"
182
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
183
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
184
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
185
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone II"
186
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
187
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
188
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
189
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
190
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "50.000"
191
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
192
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "ps"
193
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
194
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
195
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
196
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
197
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
198
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
199
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
200
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
201
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
202
// Retrieval info: CONSTANT: PLL_TYPE STRING "FAST"
203
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
204
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
205
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1"
206
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
207
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
208
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
209
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
210
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1"
211
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
212
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
213
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT VCC "c2"
214
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
215
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
216
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
217
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
218
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
219
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
220
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1.v TRUE FALSE
221
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1.inc FALSE FALSE
222
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1.cmp FALSE FALSE
223
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1.bsf FALSE FALSE
224
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1_inst.v FALSE FALSE
225
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL1_bb.v FALSE FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.