OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [DE1/] [ROM/] [HexFiles/] [rom.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
entity rom is
5
        port(
6
                Clk : in std_logic;
7
                A   : in std_logic_vector(13 downto 0);
8
                D   : out std_logic_vector(7 downto 0)
9
        );
10
end rom;
11
architecture rtl of rom is
12
begin
13
process (Clk)
14
begin
15
 if Clk'event and Clk = '1' then
16
        case A is
17
             when "00000000000000" => D <= x"C3";
18
             when "00000000000001" => D <= x"72";
19
             when "00000000000010" => D <= x"00";
20
             when "00000000000011" => D <= x"FF";
21
             when "00000000000100" => D <= x"AA";
22
             when "00000000000101" => D <= x"00";
23
             when "00000000000110" => D <= x"8C";
24
             when "00000000000111" => D <= x"0C";
25
             when "00000000001000" => D <= x"01";
26
             when "00000000001001" => D <= x"00";
27
             when "00000000001010" => D <= x"00";
28
             when "00000000001011" => D <= x"00";
29
             when "00000000001100" => D <= x"00";
30
             when "00000000001101" => D <= x"00";
31
             when "00000000001110" => D <= x"00";
32
             when "00000000001111" => D <= x"00";
33
             when "00000000010000" => D <= x"00";
34
             when "00000000010001" => D <= x"00";
35
             when "00000000010010" => D <= x"00";
36
             when "00000000010011" => D <= x"00";
37
             when "00000000010100" => D <= x"00";
38
             when "00000000010101" => D <= x"00";
39
             when "00000000010110" => D <= x"00";
40
             when "00000000010111" => D <= x"00";
41
             when "00000000011000" => D <= x"00";
42
             when "00000000011001" => D <= x"00";
43
             when "00000000011010" => D <= x"00";
44
             when "00000000011011" => D <= x"00";
45
             when "00000000011100" => D <= x"00";
46
             when "00000000011101" => D <= x"00";
47
             when "00000000011110" => D <= x"00";
48
             when others => D <= "ZZZZZZZZ";
49
        end case;
50
 end if;
51
end process;
52
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.