OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115d.sta.rpt] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
TimeQuest Timing Analyzer report for 073DE2115d
2
Fri Jun 17 12:51:07 2016
3
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. TimeQuest Timing Analyzer Summary
11
  3. Parallel Compilation
12
  4. Clocks
13
  5. Fmax Summary
14
  6. Timing Closure Recommendations
15
  7. Setup Summary
16
  8. Hold Summary
17
  9. Recovery Summary
18
 10. Removal Summary
19
 11. Minimum Pulse Width Summary
20
 12. Setup: 'clk_div:clkdiv_inst|clock_100Hz'
21
 13. Setup: 'SW[15]'
22
 14. Setup: 'CLOCK_50'
23
 15. Setup: 'T80se:z80_inst|MREQ_n'
24
 16. Setup: 'clk_div:clkdiv_inst|clock_25MHz'
25
 17. Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
26
 18. Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
27
 19. Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
28
 20. Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
29
 21. Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
30
 22. Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
31
 23. Hold: 'SW[15]'
32
 24. Hold: 'clk_div:clkdiv_inst|clock_100Hz'
33
 25. Hold: 'T80se:z80_inst|MREQ_n'
34
 26. Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
35
 27. Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
36
 28. Hold: 'CLOCK_50'
37
 29. Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
38
 30. Hold: 'clk_div:clkdiv_inst|clock_25MHz'
39
 31. Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
40
 32. Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
41
 33. Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
42
 34. Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
43
 35. Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
44
 36. Minimum Pulse Width: 'SW[15]'
45
 37. Minimum Pulse Width: 'CLOCK_50'
46
 38. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
47
 39. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
48
 40. Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
49
 41. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
50
 42. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
51
 43. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
52
 44. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
53
 45. Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
54
 46. Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
55
 47. Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'
56
 48. Setup Times
57
 49. Hold Times
58
 50. Clock to Output Times
59
 51. Minimum Clock to Output Times
60
 52. Output Enable Times
61
 53. Minimum Output Enable Times
62
 54. Output Disable Times
63
 55. Minimum Output Disable Times
64
 56. Metastability Report
65
 57. Board Trace Model Assignments
66
 58. Input Transition Times
67
 59. Signal Integrity Metrics (Slow 1200mv 85c Model)
68
 60. Setup Transfers
69
 61. Hold Transfers
70
 62. Recovery Transfers
71
 63. Removal Transfers
72
 64. Report TCCS
73
 65. Report RSKM
74
 66. Unconstrained Paths
75
 67. TimeQuest Timing Analyzer Messages
76
 
77
 
78
 
79
----------------
80
; Legal Notice ;
81
----------------
82
Copyright (C) 1991-2013 Altera Corporation
83
Your use of Altera Corporation's design tools, logic functions
84
and other software and tools, and its AMPP partner logic
85
functions, and any output files from any of the foregoing
86
(including device programming or simulation files), and any
87
associated documentation or information are expressly subject
88
to the terms and conditions of the Altera Program License
89
Subscription Agreement, Altera MegaCore Function License
90
Agreement, or other applicable license agreement, including,
91
without limitation, that your use is for the sole purpose of
92
programming logic devices manufactured by Altera and sold by
93
Altera or its authorized distributors.  Please refer to the
94
applicable agreement for further details.
95
 
96
 
97
 
98
+----------------------------------------------------------------------------------------+
99
; TimeQuest Timing Analyzer Summary                                                      ;
100
+--------------------+-------------------------------------------------------------------+
101
; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ;
102
; Revision Name      ; 073DE2115d                                                        ;
103
; Device Family      ; Cyclone IV E                                                      ;
104
; Device Name        ; EP4CE115F29C7                                                     ;
105
; Timing Models      ; Final                                                             ;
106
; Delay Model        ; Slow 1200mV 85C Model                                             ;
107
; Rise/Fall Delays   ; Enabled                                                           ;
108
+--------------------+-------------------------------------------------------------------+
109
 
110
 
111
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
112
+-------------------------------------+
113
; Parallel Compilation                ;
114
+----------------------------+--------+
115
; Processors                 ; Number ;
116
+----------------------------+--------+
117
; Number detected on machine ; 2      ;
118
; Maximum allowed            ; 1      ;
119
+----------------------------+--------+
120
 
121
 
122
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
123
; Clocks                                                                                                                                                                                                                                                                                   ;
124
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
125
; Clock Name                                                  ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets                                                         ;
126
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
127
; clk_div:clkdiv_inst|clock_1Khz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Khz_int }                          ;
128
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Mhz_int }                          ;
129
; clk_div:clkdiv_inst|clock_10Khz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_10Khz_int }                         ;
130
; clk_div:clkdiv_inst|clock_25MHz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25MHz }                             ;
131
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25Mhz_int }                         ;
132
; clk_div:clkdiv_inst|clock_100Hz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Hz }                             ;
133
; clk_div:clkdiv_inst|clock_100Khz_int                        ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Khz_int }                        ;
134
; CLOCK_50                                                    ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { CLOCK_50 }                                                    ;
135
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered } ;
136
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set }             ;
137
; SW[15]                                                      ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { SW[15] }                                                      ;
138
; T80se:z80_inst|MREQ_n                                       ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { T80se:z80_inst|MREQ_n }                                       ;
139
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
140
 
141
 
142
+---------------------------------------------------------------------------------------------------------------------------------------------+
143
; Fmax Summary                                                                                                                                ;
144
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
145
; Fmax       ; Restricted Fmax ; Clock Name                                                  ; Note                                           ;
146
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
147
; 61.46 MHz  ; 61.46 MHz       ; SW[15]                                                      ;                                                ;
148
; 64.28 MHz  ; 64.28 MHz       ; clk_div:clkdiv_inst|clock_100Hz                             ;                                                ;
149
; 147.91 MHz ; 147.91 MHz      ; clk_div:clkdiv_inst|clock_25MHz                             ;                                                ;
150
; 245.82 MHz ; 245.82 MHz      ; CLOCK_50                                                    ;                                                ;
151
; 323.62 MHz ; 323.62 MHz      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;                                                ;
152
; 527.7 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; limit due to minimum period restriction (tmin) ;
153
; 600.6 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; limit due to minimum period restriction (tmin) ;
154
; 657.03 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_10Khz_int                         ; limit due to minimum period restriction (tmin) ;
155
; 664.01 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_100Khz_int                        ; limit due to minimum period restriction (tmin) ;
156
; 757.58 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Khz_int                          ; limit due to minimum period restriction (tmin) ;
157
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
158
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
159
 
160
 
161
----------------------------------
162
; Timing Closure Recommendations ;
163
----------------------------------
164
HTML report is unavailable in plain text report export.
165
 
166
 
167
+---------------------------------------------------------------------------------------+
168
; Setup Summary                                                                         ;
169
+-------------------------------------------------------------+---------+---------------+
170
; Clock                                                       ; Slack   ; End Point TNS ;
171
+-------------------------------------------------------------+---------+---------------+
172
; clk_div:clkdiv_inst|clock_100Hz                             ; -18.853 ; -6188.176     ;
173
; SW[15]                                                      ; -15.271 ; -4622.675     ;
174
; CLOCK_50                                                    ; -9.393  ; -279.199      ;
175
; T80se:z80_inst|MREQ_n                                       ; -6.449  ; -1219.866     ;
176
; clk_div:clkdiv_inst|clock_25MHz                             ; -5.761  ; -272.854      ;
177
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.090  ; -36.933       ;
178
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.895  ; -3.964        ;
179
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.665  ; -0.945        ;
180
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.522  ; -0.875        ;
181
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.506  ; -0.831        ;
182
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.320  ; -0.600        ;
183
+-------------------------------------------------------------+---------+---------------+
184
 
185
 
186
+--------------------------------------------------------------------------------------+
187
; Hold Summary                                                                         ;
188
+-------------------------------------------------------------+--------+---------------+
189
; Clock                                                       ; Slack  ; End Point TNS ;
190
+-------------------------------------------------------------+--------+---------------+
191
; SW[15]                                                      ; -5.753 ; -586.538      ;
192
; clk_div:clkdiv_inst|clock_100Hz                             ; -1.638 ; -15.071       ;
193
; T80se:z80_inst|MREQ_n                                       ; -1.628 ; -63.193       ;
194
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.418 ; -0.418        ;
195
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.319 ; -0.319        ;
196
; CLOCK_50                                                    ; -0.260 ; -0.703        ;
197
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.127 ; -0.127        ;
198
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.048  ; 0.000         ;
199
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 0.106  ; 0.000         ;
200
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.440  ; 0.000         ;
201
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.477  ; 0.000         ;
202
+-------------------------------------------------------------+--------+---------------+
203
 
204
 
205
+--------------------------------------------------------------------------+
206
; Recovery Summary                                                         ;
207
+-------------------------------------------------+--------+---------------+
208
; Clock                                           ; Slack  ; End Point TNS ;
209
+-------------------------------------------------+--------+---------------+
210
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -2.384 ; -2.384        ;
211
+-------------------------------------------------+--------+---------------+
212
 
213
 
214
+-------------------------------------------------------------------------+
215
; Removal Summary                                                         ;
216
+-------------------------------------------------+-------+---------------+
217
; Clock                                           ; Slack ; End Point TNS ;
218
+-------------------------------------------------+-------+---------------+
219
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 2.874 ; 0.000         ;
220
+-------------------------------------------------+-------+---------------+
221
 
222
 
223
+--------------------------------------------------------------------------------------+
224
; Minimum Pulse Width Summary                                                          ;
225
+-------------------------------------------------------------+--------+---------------+
226
; Clock                                                       ; Slack  ; End Point TNS ;
227
+-------------------------------------------------------------+--------+---------------+
228
; SW[15]                                                      ; -3.000 ; -1318.219     ;
229
; CLOCK_50                                                    ; -3.000 ; -143.065      ;
230
; clk_div:clkdiv_inst|clock_100Hz                             ; -2.693 ; -619.205      ;
231
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.693 ; -178.641      ;
232
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.285 ; -29.555       ;
233
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -1.285 ; -7.710        ;
234
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -1.285 ; -5.140        ;
235
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -1.285 ; -5.140        ;
236
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -1.285 ; -5.140        ;
237
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.285 ; -5.140        ;
238
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -1.285 ; -1.285        ;
239
; T80se:z80_inst|MREQ_n                                       ; 0.261  ; 0.000         ;
240
+-------------------------------------------------------------+--------+---------------+
241
 
242
 
243
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
244
; Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                             ;
245
+---------+---------------------------------+------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+
246
; Slack   ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
247
+---------+---------------------------------+------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+
248
; -18.853 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.479     ;
249
; -18.853 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.479     ;
250
; -18.778 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 15.403     ;
251
; -18.778 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 15.403     ;
252
; -18.756 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 15.387     ;
253
; -18.754 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.384     ;
254
; -18.754 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.384     ;
255
; -18.682 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.364     ; 15.306     ;
256
; -18.682 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.364     ; 15.306     ;
257
; -18.681 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.311     ;
258
; -18.679 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.308     ;
259
; -18.679 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.308     ;
260
; -18.650 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.279     ;
261
; -18.589 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.215     ;
262
; -18.589 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.215     ;
263
; -18.585 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.214     ;
264
; -18.583 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.211     ;
265
; -18.583 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.211     ;
266
; -18.575 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.203     ;
267
; -18.568 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.364     ; 15.192     ;
268
; -18.568 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.364     ; 15.192     ;
269
; -18.492 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.118     ;
270
; -18.492 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.118     ;
271
; -18.492 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 15.123     ;
272
; -18.490 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.120     ;
273
; -18.490 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.120     ;
274
; -18.489 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 15.114     ;
275
; -18.489 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 15.114     ;
276
; -18.480 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.366     ; 15.102     ;
277
; -18.479 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 15.106     ;
278
; -18.471 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.100     ;
279
; -18.469 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.097     ;
280
; -18.469 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.097     ;
281
; -18.416 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.042     ;
282
; -18.416 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.042     ;
283
; -18.410 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.036     ;
284
; -18.410 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 15.036     ;
285
; -18.405 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.367     ; 15.026     ;
286
; -18.395 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.024     ;
287
; -18.395 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 15.026     ;
288
; -18.394 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.023     ;
289
; -18.393 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.023     ;
290
; -18.393 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.023     ;
291
; -18.392 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 15.022     ;
292
; -18.390 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.019     ;
293
; -18.390 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.019     ;
294
; -18.386 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 15.017     ;
295
; -18.386 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 15.015     ;
296
; -18.384 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 15.015     ;
297
; -18.381 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.009     ;
298
; -18.379 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 15.007     ;
299
; -18.365 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 14.992     ;
300
; -18.357 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.356     ; 14.989     ;
301
; -18.320 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 14.948     ;
302
; -18.319 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 14.947     ;
303
; -18.319 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.950     ;
304
; -18.317 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.947     ;
305
; -18.317 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.947     ;
306
; -18.313 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.944     ;
307
; -18.311 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.941     ;
308
; -18.311 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.941     ;
309
; -18.311 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.941     ;
310
; -18.309 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.368     ; 14.929     ;
311
; -18.309 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.939     ;
312
; -18.306 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 14.933     ;
313
; -18.304 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 14.931     ;
314
; -18.289 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.918     ;
315
; -18.286 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 14.914     ;
316
; -18.282 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.913     ;
317
; -18.229 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.858     ;
318
; -18.227 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.853     ;
319
; -18.227 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.853     ;
320
; -18.224 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 14.851     ;
321
; -18.223 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.361     ; 14.850     ;
322
; -18.216 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.366     ; 14.838     ;
323
; -18.215 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.844     ;
324
; -18.213 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.842     ;
325
; -18.213 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.842     ;
326
; -18.210 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.836     ;
327
; -18.208 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.834     ;
328
; -18.207 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.836     ;
329
; -18.195 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.368     ; 14.815     ;
330
; -18.193 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.824     ;
331
; -18.192 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.818     ;
332
; -18.192 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.818     ;
333
; -18.190 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.821     ;
334
; -18.186 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.358     ; 14.816     ;
335
; -18.178 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.809     ;
336
; -18.177 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.808     ;
337
; -18.158 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.789     ;
338
; -18.158 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.357     ; 14.789     ;
339
; -18.154 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.360     ; 14.782     ;
340
; -18.153 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.779     ;
341
; -18.153 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.362     ; 14.779     ;
342
; -18.143 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 14.768     ;
343
; -18.143 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.363     ; 14.768     ;
344
; -18.134 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.351     ; 14.771     ;
345
; -18.134 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.351     ; 14.771     ;
346
; -18.131 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.760     ;
347
; -18.131 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -4.359     ; 14.760     ;
348
+---------+---------------------------------+------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+
349
 
350
 
351
+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
352
; Setup: 'SW[15]'                                                                                                                                                  ;
353
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
354
; Slack   ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
355
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
356
; -15.271 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.790     ; 15.479     ;
357
; -15.271 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.790     ; 15.479     ;
358
; -15.196 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.791     ; 15.403     ;
359
; -15.196 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.791     ; 15.403     ;
360
; -15.174 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 15.387     ;
361
; -15.172 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 15.384     ;
362
; -15.172 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 15.384     ;
363
; -15.100 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.792     ; 15.306     ;
364
; -15.100 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.792     ; 15.306     ;
365
; -15.099 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 15.311     ;
366
; -15.097 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.308     ;
367
; -15.097 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.308     ;
368
; -15.068 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.279     ;
369
; -15.031 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.215     ;
370
; -15.031 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.215     ;
371
; -15.003 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.214     ;
372
; -15.001 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.211     ;
373
; -15.001 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.211     ;
374
; -14.993 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.203     ;
375
; -14.986 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.792     ; 15.192     ;
376
; -14.986 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.792     ; 15.192     ;
377
; -14.934 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.809     ; 15.123     ;
378
; -14.934 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.118     ;
379
; -14.934 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.118     ;
380
; -14.932 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 15.120     ;
381
; -14.932 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 15.120     ;
382
; -14.931 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.815     ; 15.114     ;
383
; -14.931 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.815     ; 15.114     ;
384
; -14.898 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; SW[15]      ; 1.000        ; -0.794     ; 15.102     ;
385
; -14.897 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 15.106     ;
386
; -14.889 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.100     ;
387
; -14.887 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.097     ;
388
; -14.887 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.097     ;
389
; -14.858 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.042     ;
390
; -14.858 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.042     ;
391
; -14.852 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.036     ;
392
; -14.852 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 15.036     ;
393
; -14.837 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.809     ; 15.026     ;
394
; -14.835 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 15.023     ;
395
; -14.835 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 15.023     ;
396
; -14.834 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 15.022     ;
397
; -14.832 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 15.019     ;
398
; -14.832 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 15.019     ;
399
; -14.828 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 15.015     ;
400
; -14.823 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; SW[15]      ; 1.000        ; -0.795     ; 15.026     ;
401
; -14.813 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.024     ;
402
; -14.812 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 15.023     ;
403
; -14.804 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 15.017     ;
404
; -14.802 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 15.015     ;
405
; -14.799 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.009     ;
406
; -14.797 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 15.007     ;
407
; -14.783 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 14.992     ;
408
; -14.775 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; SW[15]      ; 1.000        ; -0.784     ; 14.989     ;
409
; -14.761 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.809     ; 14.950     ;
410
; -14.759 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 14.947     ;
411
; -14.759 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 14.947     ;
412
; -14.755 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.809     ; 14.944     ;
413
; -14.753 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 14.941     ;
414
; -14.753 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.810     ; 14.941     ;
415
; -14.738 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 14.948     ;
416
; -14.737 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.788     ; 14.947     ;
417
; -14.731 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 14.918     ;
418
; -14.729 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 14.941     ;
419
; -14.728 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.812     ; 14.914     ;
420
; -14.727 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; SW[15]      ; 1.000        ; -0.796     ; 14.929     ;
421
; -14.727 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 14.939     ;
422
; -14.724 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 14.933     ;
423
; -14.722 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 14.931     ;
424
; -14.700 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.913     ;
425
; -14.669 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.853     ;
426
; -14.669 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.853     ;
427
; -14.658 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; SW[15]      ; 1.000        ; -0.818     ; 14.838     ;
428
; -14.655 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 14.842     ;
429
; -14.649 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 14.836     ;
430
; -14.647 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 14.858     ;
431
; -14.642 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 14.851     ;
432
; -14.641 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.789     ; 14.850     ;
433
; -14.634 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.818     ;
434
; -14.634 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.818     ;
435
; -14.633 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 14.844     ;
436
; -14.631 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.787     ; 14.842     ;
437
; -14.628 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.790     ; 14.836     ;
438
; -14.626 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.790     ; 14.834     ;
439
; -14.613 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|IncDecZ                  ; SW[15]       ; SW[15]      ; 1.000        ; -0.796     ; 14.815     ;
440
; -14.611 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.824     ;
441
; -14.608 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][3] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.821     ;
442
; -14.604 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7] ; SW[15]       ; SW[15]      ; 1.000        ; -0.786     ; 14.816     ;
443
; -14.596 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.809     ;
444
; -14.595 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.779     ;
445
; -14.595 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.814     ; 14.779     ;
446
; -14.595 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.808     ;
447
; -14.585 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.815     ; 14.768     ;
448
; -14.585 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.815     ; 14.768     ;
449
; -14.576 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.789     ;
450
; -14.576 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[15]       ; SW[15]      ; 1.000        ; -0.785     ; 14.789     ;
451
; -14.576 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.803     ; 14.771     ;
452
; -14.576 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.803     ; 14.771     ;
453
; -14.573 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 14.760     ;
454
; -14.572 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1] ; SW[15]       ; SW[15]      ; 1.000        ; -0.811     ; 14.759     ;
455
; -14.572 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6] ; SW[15]       ; SW[15]      ; 1.000        ; -0.809     ; 14.761     ;
456
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
457
 
458
 
459
+------------------------------------------------------------------------------------------------------------------------------------------+
460
; Setup: 'CLOCK_50'                                                                                                                        ;
461
+--------+------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+
462
; Slack  ; From Node        ; To Node                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
463
+--------+------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+
464
; -9.393 ; next_char_sig[0] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.899     ; 3.472      ;
465
; -9.365 ; next_char_sig[3] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 3.442      ;
466
; -9.325 ; next_char_sig[1] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 3.401      ;
467
; -9.312 ; next_char_sig[2] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 3.405      ;
468
; -9.199 ; next_char_sig[6] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 3.293      ;
469
; -9.134 ; next_char_sig[7] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 3.225      ;
470
; -9.075 ; next_char_sig[0] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.889     ; 3.164      ;
471
; -9.073 ; next_char_sig[4] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 3.151      ;
472
; -9.052 ; next_char_sig[3] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.891     ; 3.139      ;
473
; -9.035 ; next_char_sig[0] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 3.113      ;
474
; -9.026 ; next_char_sig[5] ; LCD:lcd_inst|next_command.print_string ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 3.124      ;
475
; -9.012 ; next_char_sig[1] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.892     ; 3.098      ;
476
; -8.999 ; next_char_sig[2] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.875     ; 3.102      ;
477
; -8.978 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 3.071      ;
478
; -8.969 ; next_char_sig[1] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.903     ; 3.044      ;
479
; -8.959 ; next_char_sig[6] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 3.053      ;
480
; -8.950 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.888     ; 3.040      ;
481
; -8.941 ; next_char_sig[0] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.888     ; 3.031      ;
482
; -8.940 ; next_char_sig[0] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.888     ; 3.030      ;
483
; -8.940 ; next_char_sig[0] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.888     ; 3.030      ;
484
; -8.939 ; next_char_sig[0] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.888     ; 3.029      ;
485
; -8.929 ; next_char_sig[3] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.890     ; 3.017      ;
486
; -8.928 ; next_char_sig[3] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.890     ; 3.016      ;
487
; -8.928 ; next_char_sig[3] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.890     ; 3.016      ;
488
; -8.927 ; next_char_sig[3] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.890     ; 3.015      ;
489
; -8.910 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.886     ; 3.002      ;
490
; -8.894 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.988      ;
491
; -8.894 ; next_char_sig[7] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.985      ;
492
; -8.893 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.987      ;
493
; -8.889 ; next_char_sig[1] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.891     ; 2.976      ;
494
; -8.888 ; next_char_sig[1] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.891     ; 2.975      ;
495
; -8.888 ; next_char_sig[1] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.891     ; 2.975      ;
496
; -8.887 ; next_char_sig[1] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.891     ; 2.974      ;
497
; -8.881 ; next_char_sig[6] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.874     ; 2.985      ;
498
; -8.876 ; next_char_sig[2] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.874     ; 2.980      ;
499
; -8.875 ; next_char_sig[2] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.874     ; 2.979      ;
500
; -8.875 ; next_char_sig[2] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.874     ; 2.979      ;
501
; -8.874 ; next_char_sig[2] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.874     ; 2.978      ;
502
; -8.869 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.963      ;
503
; -8.866 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.957      ;
504
; -8.865 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.956      ;
505
; -8.855 ; next_char_sig[3] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.931      ;
506
; -8.841 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.932      ;
507
; -8.836 ; next_char_sig[4] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 2.914      ;
508
; -8.818 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.912      ;
509
; -8.816 ; next_char_sig[7] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.877     ; 2.917      ;
510
; -8.790 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.881      ;
511
; -8.789 ; next_char_sig[5] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.887      ;
512
; -8.760 ; next_char_sig[4] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.890     ; 2.848      ;
513
; -8.738 ; next_char_sig[6] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.873     ; 2.843      ;
514
; -8.737 ; next_char_sig[6] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.873     ; 2.842      ;
515
; -8.737 ; next_char_sig[6] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.873     ; 2.842      ;
516
; -8.736 ; next_char_sig[6] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.873     ; 2.841      ;
517
; -8.735 ; next_char_sig[0] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.899     ; 2.814      ;
518
; -8.713 ; next_char_sig[5] ; LCD:lcd_inst|char_count_sig[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.870     ; 2.821      ;
519
; -8.704 ; next_char_sig[3] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.781      ;
520
; -8.669 ; next_char_sig[7] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.876     ; 2.771      ;
521
; -8.669 ; next_char_sig[7] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.876     ; 2.771      ;
522
; -8.668 ; next_char_sig[7] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.876     ; 2.770      ;
523
; -8.668 ; next_char_sig[7] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.876     ; 2.770      ;
524
; -8.664 ; next_char_sig[1] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.740      ;
525
; -8.653 ; next_char_sig[2] ; LCD:lcd_inst|next_command.return_home  ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.746      ;
526
; -8.637 ; next_char_sig[4] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.889     ; 2.726      ;
527
; -8.636 ; next_char_sig[4] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.889     ; 2.725      ;
528
; -8.636 ; next_char_sig[4] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.889     ; 2.725      ;
529
; -8.635 ; next_char_sig[4] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.889     ; 2.724      ;
530
; -8.597 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.691      ;
531
; -8.590 ; next_char_sig[5] ; LCD:lcd_inst|char_count_sig[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.869     ; 2.699      ;
532
; -8.589 ; next_char_sig[6] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.884     ; 2.683      ;
533
; -8.589 ; next_char_sig[5] ; LCD:lcd_inst|char_count_sig[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.869     ; 2.698      ;
534
; -8.589 ; next_char_sig[5] ; LCD:lcd_inst|char_count_sig[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.869     ; 2.698      ;
535
; -8.588 ; next_char_sig[5] ; LCD:lcd_inst|char_count_sig[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.869     ; 2.697      ;
536
; -8.586 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.881     ; 2.683      ;
537
; -8.581 ; next_char_sig[1] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.657      ;
538
; -8.569 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.660      ;
539
; -8.561 ; next_char_sig[7] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.887     ; 2.652      ;
540
; -8.559 ; next_char_sig[1] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.635      ;
541
; -8.522 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.615      ;
542
; -8.508 ; next_char_sig[4] ; LCD:lcd_inst|data_bus_value[2]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.585      ;
543
; -8.501 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.599      ;
544
; -8.501 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.599      ;
545
; -8.500 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.593      ;
546
; -8.480 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.578      ;
547
; -8.467 ; next_char_sig[3] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.544      ;
548
; -8.445 ; next_char_sig[3] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.522      ;
549
; -8.438 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.536      ;
550
; -8.425 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.518      ;
551
; -8.425 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.518      ;
552
; -8.423 ; next_char_sig[4] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 2.501      ;
553
; -8.423 ; next_char_sig[4] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 2.501      ;
554
; -8.402 ; next_char_sig[4] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 2.480      ;
555
; -8.360 ; next_char_sig[4] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.900     ; 2.438      ;
556
; -8.310 ; next_char_sig[1] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.386      ;
557
; -8.296 ; next_char_sig[1] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.902     ; 2.372      ;
558
; -8.251 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[3]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.344      ;
559
; -8.237 ; next_char_sig[2] ; LCD:lcd_inst|data_bus_value[6]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.885     ; 2.330      ;
560
; -8.204 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[1]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.302      ;
561
; -8.200 ; next_char_sig[3] ; LCD:lcd_inst|data_bus_value[4]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.277      ;
562
; -8.200 ; next_char_sig[3] ; LCD:lcd_inst|data_bus_value[5]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.901     ; 2.277      ;
563
; -8.198 ; next_char_sig[5] ; LCD:lcd_inst|data_bus_value[0]         ; SW[15]       ; CLOCK_50    ; 1.000        ; -6.880     ; 2.296      ;
564
+--------+------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+
565
 
566
 
567
+---------------------------------------------------------------------------------------------------------------------------------------+
568
; Setup: 'T80se:z80_inst|MREQ_n'                                                                                                        ;
569
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
570
; Slack  ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
571
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
572
; -6.449 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[1][1]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.922     ; 1.777      ;
573
; -5.903 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[22][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.362     ; 2.319      ;
574
; -5.737 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[17][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.873     ; 2.099      ;
575
; -5.700 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[22][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.300     ; 1.725      ;
576
; -5.679 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[15][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.862     ; 2.148      ;
577
; -5.669 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[11][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.022     ; 2.425      ;
578
; -5.652 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.549     ; 1.569      ;
579
; -5.635 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[12][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.155     ; 2.150      ;
580
; -5.628 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[25][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.939     ; 2.153      ;
581
; -5.626 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[17][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.621     ; 2.790      ;
582
; -5.623 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[15][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.046     ; 2.076      ;
583
; -5.617 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[1][3]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.064     ; 2.145      ;
584
; -5.605 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[11][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.964     ; 2.239      ;
585
; -5.593 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[29][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.864     ; 2.052      ;
586
; -5.579 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[12][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.057     ; 2.193      ;
587
; -5.575 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[12][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.967     ; 2.077      ;
588
; -5.572 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[15][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.866     ; 2.037      ;
589
; -5.570 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[12][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.057     ; 2.184      ;
590
; -5.562 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[12][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.954     ; 2.073      ;
591
; -5.547 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[12][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.971     ; 2.038      ;
592
; -5.536 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[14][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.894     ; 1.967      ;
593
; -5.522 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[29][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.005     ; 2.295      ;
594
; -5.518 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[11][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.897     ; 2.115      ;
595
; -5.478 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[15][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.057     ; 2.070      ;
596
; -5.476 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[22][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.222     ; 1.894      ;
597
; -5.466 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[14][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.796     ; 2.001      ;
598
; -5.454 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[15][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.793     ; 2.324      ;
599
; -5.438 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[25][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.943     ; 2.275      ;
600
; -5.433 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[11][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.835     ; 2.262      ;
601
; -5.429 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[25][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.965     ; 2.102      ;
602
; -5.412 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[25][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.836     ; 2.241      ;
603
; -5.410 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[14][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.883     ; 2.308      ;
604
; -5.405 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.204     ; 1.974      ;
605
; -5.398 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[1][7]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.846     ; 2.150      ;
606
; -5.386 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[13][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.033     ; 1.947      ;
607
; -5.386 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[11][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.829     ; 2.220      ;
608
; -5.381 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[14][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.606     ; 1.554      ;
609
; -5.370 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[15][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.808     ; 2.336      ;
610
; -5.360 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[14][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.882     ; 2.259      ;
611
; -5.346 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[22][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.408     ; 1.578      ;
612
; -5.346 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[25][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.104     ; 1.909      ;
613
; -5.340 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[29][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.005     ; 1.975      ;
614
; -5.338 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[22][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.230     ; 1.749      ;
615
; -5.337 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[29][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.005     ; 1.800      ;
616
; -5.334 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[14][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.732     ; 2.380      ;
617
; -5.332 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[17][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.761     ; 2.243      ;
618
; -5.329 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[12][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.853     ; 2.139      ;
619
; -5.323 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[11][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.048     ; 1.918      ;
620
; -5.321 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[15][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.386     ; 2.713      ;
621
; -5.319 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[22][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.227     ; 1.735      ;
622
; -5.309 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[25][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.935     ; 1.834      ;
623
; -5.308 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[31][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.309     ; 3.591      ;
624
; -5.306 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[14][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.423     ; 1.550      ;
625
; -5.302 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[13][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.980     ; 1.914      ;
626
; -5.299 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[21][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.435     ; 2.637      ;
627
; -5.290 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[3][5]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.343     ; 1.719      ;
628
; -5.281 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[21][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.406     ; 2.068      ;
629
; -5.271 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[13][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.979     ; 1.892      ;
630
; -5.271 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[25][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.946     ; 2.103      ;
631
; -5.268 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[22][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.549     ; 1.498      ;
632
; -5.257 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[29][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.888     ; 2.032      ;
633
; -5.257 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[21][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.414     ; 2.439      ;
634
; -5.254 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[1][6]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.923     ; 1.793      ;
635
; -5.232 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[3][2]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.073     ; 1.823      ;
636
; -5.230 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[23][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.957     ; 2.874      ;
637
; -5.229 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[17][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.948     ; 1.956      ;
638
; -5.222 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.964     ; 2.038      ;
639
; -5.216 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[12][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.969     ; 2.025      ;
640
; -5.214 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[11][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.931     ; 2.062      ;
641
; -5.213 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[1][2]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.063     ; 1.742      ;
642
; -5.210 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[13][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.725     ; 2.127      ;
643
; -5.203 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[7][0]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.355     ; 3.447      ;
644
; -5.201 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[7][7]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.339     ; 3.454      ;
645
; -5.197 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[23][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.918     ; 2.875      ;
646
; -5.197 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[11][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.394     ; 1.469      ;
647
; -5.194 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[17][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.951     ; 1.908      ;
648
; -5.192 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[13][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.691     ; 2.166      ;
649
; -5.186 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[29][1] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.867     ; 2.099      ;
650
; -5.186 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[9][1]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.706     ; 3.072      ;
651
; -5.162 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[7][6]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.380     ; 3.374      ;
652
; -5.160 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[17][4] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.913     ; 2.025      ;
653
; -5.154 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[17][2] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.895     ; 2.038      ;
654
; -5.151 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[13][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.586     ; 2.343      ;
655
; -5.136 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[3][1]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.934     ; 1.867      ;
656
; -5.135 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[13][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.709     ; 1.937      ;
657
; -5.124 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[9][3]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.703     ; 3.022      ;
658
; -5.114 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[15][5] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.994     ; 1.905      ;
659
; -5.112 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[31][6] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.287     ; 3.419      ;
660
; -5.106 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[1][4]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.839     ; 1.761      ;
661
; -5.097 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[17][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.915     ; 1.961      ;
662
; -5.081 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[7][4]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.350     ; 3.329      ;
663
; -5.078 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[29][0] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.885     ; 1.858      ;
664
; -5.075 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[9][2]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.701     ; 2.979      ;
665
; -5.074 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[29][7] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.889     ; 1.848      ;
666
; -5.071 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[3][0]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.899     ; 1.815      ;
667
; -5.061 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[3][6]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.931     ; 1.795      ;
668
; -5.055 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[21][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.411     ; 2.243      ;
669
; -5.053 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[3][3]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -3.061     ; 1.770      ;
670
; -5.052 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[7][3]  ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -1.339     ; 3.312      ;
671
; -5.050 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[13][3] ; SW[15]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -2.662     ; 2.029      ;
672
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
673
 
674
 
675
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
676
; Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                                         ;
677
+--------+-------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
678
; Slack  ; From Node                                                                                                   ; To Node                                                                                                      ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
679
+--------+-------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
680
; -5.761 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.371     ; 6.388      ;
681
; -5.761 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.371     ; 6.388      ;
682
; -5.761 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.371     ; 6.388      ;
683
; -5.513 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.374     ; 6.137      ;
684
; -5.512 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.374     ; 6.136      ;
685
; -5.512 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.374     ; 6.136      ;
686
; -5.386 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.371     ; 6.013      ;
687
; -5.137 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0 ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.374     ; 5.761      ;
688
; -4.975 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.212     ; 2.791      ;
689
; -4.961 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.207     ; 2.782      ;
690
; -4.934 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.208     ; 2.754      ;
691
; -4.927 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.209     ; 2.746      ;
692
; -4.895 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.224     ; 2.699      ;
693
; -4.884 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.224     ; 2.688      ;
694
; -4.869 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.206     ; 2.691      ;
695
; -4.706 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.206     ; 2.528      ;
696
; -4.691 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.209     ; 2.510      ;
697
; -4.680 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.208     ; 2.500      ;
698
; -4.665 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.233     ; 2.460      ;
699
; -4.658 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.221     ; 2.465      ;
700
; -4.656 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.207     ; 2.477      ;
701
; -4.652 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.228     ; 2.452      ;
702
; -4.629 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.225     ; 2.432      ;
703
; -4.618 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.214     ; 2.432      ;
704
; -4.616 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.228     ; 2.416      ;
705
; -4.611 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.225     ; 2.414      ;
706
; -4.605 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.226     ; 2.407      ;
707
; -4.599 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.210     ; 2.417      ;
708
; -4.596 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.233     ; 2.391      ;
709
; -4.591 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.223     ; 2.396      ;
710
; -4.590 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.221     ; 2.397      ;
711
; -4.585 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.224     ; 2.389      ;
712
; -4.576 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.214     ; 2.390      ;
713
; -4.567 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.226     ; 2.369      ;
714
; -4.557 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.223     ; 2.362      ;
715
; -4.557 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.209     ; 2.376      ;
716
; -4.557 ; T80se:z80_inst|T80:u0|A[12]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.210     ; 2.375      ;
717
; -4.550 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.212     ; 2.366      ;
718
; -4.542 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.209     ; 2.361      ;
719
; -4.522 ; T80se:z80_inst|T80:u0|A[11]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.224     ; 2.326      ;
720
; -4.511 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.654     ; 2.885      ;
721
; -4.508 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.660     ; 2.876      ;
722
; -4.467 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.654     ; 2.841      ;
723
; -4.458 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.959     ; 2.527      ;
724
; -4.442 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.964     ; 2.506      ;
725
; -4.437 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.672     ; 2.793      ;
726
; -4.430 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.672     ; 2.786      ;
727
; -4.425 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.658     ; 2.795      ;
728
; -4.424 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.966     ; 2.486      ;
729
; -4.416 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.985     ; 2.459      ;
730
; -4.403 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.980     ; 2.451      ;
731
; -4.396 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.973     ; 2.451      ;
732
; -4.388 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.978     ; 2.438      ;
733
; -4.387 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.960     ; 2.455      ;
734
; -4.387 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.962     ; 2.453      ;
735
; -4.358 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.980     ; 2.406      ;
736
; -4.351 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.976     ; 2.403      ;
737
; -4.345 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.975     ; 2.398      ;
738
; -4.340 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.976     ; 2.392      ;
739
; -4.340 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.977     ; 2.391      ;
740
; -4.338 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.977     ; 2.389      ;
741
; -4.335 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.985     ; 2.378      ;
742
; -4.334 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.978     ; 2.384      ;
743
; -4.326 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.961     ; 2.393      ;
744
; -4.323 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.975     ; 2.376      ;
745
; -4.322 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.973     ; 2.377      ;
746
; -4.317 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.976     ; 2.369      ;
747
; -4.312 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.960     ; 2.380      ;
748
; -4.310 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.976     ; 2.362      ;
749
; -4.301 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.959     ; 2.370      ;
750
; -4.296 ; T80se:z80_inst|T80:u0|A[13]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.354     ; 2.970      ;
751
; -4.295 ; T80se:z80_inst|T80:u0|A[4]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.701     ; 2.622      ;
752
; -4.275 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.961     ; 2.342      ;
753
; -4.260 ; T80se:z80_inst|T80:u0|A[2]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.686     ; 2.602      ;
754
; -4.253 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.669     ; 2.612      ;
755
; -4.247 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.961     ; 2.314      ;
756
; -4.245 ; T80se:z80_inst|T80:u0|A[13]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.352     ; 2.921      ;
757
; -4.238 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.966     ; 2.300      ;
758
; -4.236 ; T80se:z80_inst|T80:u0|A[4]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.704     ; 2.560      ;
759
; -4.231 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.676     ; 2.583      ;
760
; -4.230 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.964     ; 2.294      ;
761
; -4.225 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.672     ; 2.581      ;
762
; -4.224 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.674     ; 2.578      ;
763
; -4.220 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.674     ; 2.574      ;
764
; -4.219 ; T80se:z80_inst|T80:u0|A[2]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.713     ; 2.534      ;
765
; -4.218 ; T80se:z80_inst|T80:u0|A[5]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.958     ; 2.288      ;
766
; -4.214 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.681     ; 2.561      ;
767
; -4.210 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.655     ; 2.583      ;
768
; -4.209 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.681     ; 2.556      ;
769
; -4.205 ; T80se:z80_inst|T80:u0|A[3]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.705     ; 2.528      ;
770
; -4.203 ; T80se:z80_inst|T80:u0|A[9]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.676     ; 2.555      ;
771
; -4.200 ; T80se:z80_inst|T80:u0|A[3]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.706     ; 2.522      ;
772
; -4.199 ; T80se:z80_inst|T80:u0|A[0]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.397     ; 2.830      ;
773
; -4.194 ; T80se:z80_inst|T80:u0|A[1]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.713     ; 2.509      ;
774
; -4.193 ; T80se:z80_inst|T80:u0|A[4]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.704     ; 2.517      ;
775
; -4.188 ; T80se:z80_inst|T80:u0|A[2]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.687     ; 2.529      ;
776
; -4.188 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.656     ; 2.560      ;
777
; -4.187 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.671     ; 2.544      ;
778
; -4.183 ; T80se:z80_inst|T80:u0|A[10]                                                                                 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.672     ; 2.539      ;
779
; -4.183 ; T80se:z80_inst|T80:u0|A[6]                                                                                  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; SW[15]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.962     ; 2.249      ;
780
+--------+-------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
781
 
782
 
783
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
784
; Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                               ;
785
+--------+-------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
786
; Slack  ; From Node                                       ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
787
+--------+-------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
788
; -2.090 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 3.012      ;
789
; -2.085 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 3.005      ;
790
; -2.085 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 3.005      ;
791
; -2.079 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 3.001      ;
792
; -2.074 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.994      ;
793
; -2.074 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.994      ;
794
; -1.927 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.845      ;
795
; -1.918 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.836      ;
796
; -1.895 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.817      ;
797
; -1.890 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.810      ;
798
; -1.890 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.810      ;
799
; -1.794 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.716      ;
800
; -1.794 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.716      ;
801
; -1.794 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.716      ;
802
; -1.794 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.716      ;
803
; -1.794 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.716      ;
804
; -1.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.705      ;
805
; -1.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.705      ;
806
; -1.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.705      ;
807
; -1.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.705      ;
808
; -1.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.705      ;
809
; -1.780 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.702      ;
810
; -1.775 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.695      ;
811
; -1.775 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.695      ;
812
; -1.731 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.649      ;
813
; -1.707 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.629      ;
814
; -1.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.611      ;
815
; -1.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.611      ;
816
; -1.622 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.540      ;
817
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
818
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
819
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
820
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
821
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
822
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
823
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
824
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
825
; -1.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.532      ;
826
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
827
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
828
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
829
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
830
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
831
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
832
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
833
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
834
; -1.604 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.523      ;
835
; -1.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.521      ;
836
; -1.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.521      ;
837
; -1.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.521      ;
838
; -1.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.521      ;
839
; -1.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.521      ;
840
; -1.588 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.506      ;
841
; -1.484 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.406      ;
842
; -1.484 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.406      ;
843
; -1.484 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.406      ;
844
; -1.484 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.406      ;
845
; -1.484 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.406      ;
846
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.339      ;
847
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.339      ;
848
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.339      ;
849
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.339      ;
850
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.339      ;
851
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
852
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
853
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
854
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
855
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
856
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
857
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
858
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
859
; -1.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.336      ;
860
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
861
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
862
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
863
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
864
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
865
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
866
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
867
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
868
; -1.308 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.227      ;
869
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
870
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
871
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
872
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
873
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
874
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
875
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
876
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
877
; -1.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.124      ;
878
; -1.096 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.014      ;
879
; -1.087 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.005      ;
880
; -1.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.999      ;
881
; -1.080 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.998      ;
882
; -1.079 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.997      ;
883
; -1.072 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.990      ;
884
; -1.071 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.989      ;
885
; -1.070 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.988      ;
886
; -0.923 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.841      ;
887
; -0.914 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 1.832      ;
888
+--------+-------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
889
 
890
 
891
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
892
; Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                                         ;
893
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
894
; Slack  ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
895
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
896
; -0.895 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.865      ;
897
; -0.805 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.775      ;
898
; -0.784 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.754      ;
899
; -0.775 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.745      ;
900
; -0.763 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.733      ;
901
; -0.744 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.714      ;
902
; -0.709 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.679      ;
903
; -0.709 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.679      ;
904
; -0.709 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.679      ;
905
; -0.709 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.679      ;
906
; -0.704 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.679      ;
907
; -0.691 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.661      ;
908
; -0.673 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.643      ;
909
; -0.646 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.616      ;
910
; -0.643 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.613      ;
911
; -0.544 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.514      ;
912
; -0.544 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.514      ;
913
; -0.544 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.514      ;
914
; -0.539 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.514      ;
915
; -0.175 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.150      ;
916
; -0.155 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.130      ;
917
; -0.136 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.111      ;
918
; -0.113 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.083      ;
919
; -0.007 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 0.977      ;
920
; 0.158  ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 0.812      ;
921
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
922
 
923
 
924
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
925
; Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                               ;
926
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
927
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
928
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
929
; -0.665 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 1.637      ;
930
; -0.358 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 1.330      ;
931
; -0.209 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 1.181      ;
932
; -0.164 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 1.136      ;
933
; -0.116 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 1.088      ;
934
; 0.011  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 0.961      ;
935
; 0.136  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 0.836      ;
936
; 0.152  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.046     ; 0.820      ;
937
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
938
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
939
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
940
; 0.303  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 2.160      ; 2.607      ;
941
; 0.859  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 2.160      ; 2.551      ;
942
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
943
 
944
 
945
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
946
; Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                                          ;
947
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
948
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
949
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
950
; -0.522 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.495      ;
951
; -0.343 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.316      ;
952
; -0.206 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.179      ;
953
; -0.182 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.155      ;
954
; -0.171 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.144      ;
955
; -0.145 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 1.118      ;
956
; 0.146  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 0.827      ;
957
; 0.154  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.045     ; 0.819      ;
958
; 0.176  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 1.355      ; 1.929      ;
959
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
960
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
961
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
962
; 0.728  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 1.355      ; 1.877      ;
963
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
964
 
965
 
966
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
967
; Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                             ;
968
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
969
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
970
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
971
; -0.506 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.477      ;
972
; -0.346 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.317      ;
973
; -0.210 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.181      ;
974
; -0.170 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.141      ;
975
; -0.148 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.119      ;
976
; -0.052 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 0.864      ; 1.666      ;
977
; -0.007 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.978      ;
978
; -0.007 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.978      ;
979
; 0.157  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.814      ;
980
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
981
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
982
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
983
; 0.527  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.864      ; 1.587      ;
984
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
985
 
986
 
987
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
988
; Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                                           ;
989
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
990
; Slack  ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
991
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
992
; -0.320 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 1.291      ;
993
; -0.165 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 1.136      ;
994
; -0.153 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 1.124      ;
995
; -0.115 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 1.086      ;
996
; 0.137  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 0.834      ;
997
; 0.150  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 0.821      ;
998
; 0.150  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 0.821      ;
999
; 0.161  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.047     ; 0.810      ;
1000
; 0.210  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1001
; 0.210  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1002
; 0.210  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1003
; 0.210  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1004
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1005
 
1006
 
1007
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1008
; Hold: 'SW[15]'                                                                                                                                                                                                                         ;
1009
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1010
; Slack  ; From Node                      ; To Node                                                                                                       ; Launch Clock          ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1011
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1012
; -5.753 ; \random:rand_temp[11]          ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.885      ; 1.358      ;
1013
; -5.606 ; \random:rand_temp[11]          ; T80se:z80_inst|DI_Reg[3]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.882      ; 1.502      ;
1014
; -5.212 ; \random:rand_temp[12]          ; T80se:z80_inst|DI_Reg[4]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.885      ; 1.899      ;
1015
; -5.211 ; \random:rand_temp[12]          ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.885      ; 1.900      ;
1016
; -4.767 ; \random:rand_temp[1]           ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.889      ; 2.348      ;
1017
; -4.757 ; \random:rand_temp[14]          ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.882      ; 2.351      ;
1018
; -4.725 ; \random:rand_temp[1]           ; T80se:z80_inst|DI_Reg[1]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.882      ; 2.383      ;
1019
; -4.614 ; \random:rand_temp[14]          ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.884      ; 2.496      ;
1020
; -4.541 ; \random:rand_temp[13]          ; T80se:z80_inst|DI_Reg[5]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.882      ; 2.567      ;
1021
; -4.484 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.826      ; 5.790      ;
1022
; -4.454 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 5.827      ;
1023
; -4.401 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 5.880      ;
1024
; -4.398 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 5.883      ;
1025
; -4.381 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 5.900      ;
1026
; -4.380 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.834      ; 5.902      ;
1027
; -4.366 ; \random:rand_temp[13]          ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.885      ; 2.745      ;
1028
; -4.365 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 5.916      ;
1029
; -4.364 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.822      ; 5.906      ;
1030
; -4.357 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[1]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.826      ; 5.917      ;
1031
; -4.357 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[9]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.922      ;
1032
; -4.357 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[11]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.922      ;
1033
; -4.355 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[14]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.924      ;
1034
; -4.355 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[15]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.924      ;
1035
; -4.354 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[13]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.925      ;
1036
; -4.353 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[8]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.926      ;
1037
; -4.351 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[10]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.928      ;
1038
; -4.350 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[12]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 5.929      ;
1039
; -4.311 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.834      ; 5.971      ;
1040
; -4.310 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.834      ; 5.972      ;
1041
; -4.293 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.984      ;
1042
; -4.291 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.986      ;
1043
; -4.289 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.988      ;
1044
; -4.288 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.989      ;
1045
; -4.285 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.992      ;
1046
; -4.285 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.829      ; 5.992      ;
1047
; -4.275 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 6.004      ;
1048
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1049
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1050
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1051
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1052
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1053
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1054
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1055
; -4.237 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.823      ; 6.034      ;
1056
; -4.233 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 6.046      ;
1057
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1058
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1059
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1060
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1061
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1062
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1063
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1064
; -4.233 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.048      ;
1065
; -4.162 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.833      ; 6.119      ;
1066
; -4.156 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.834      ; 6.126      ;
1067
; -4.124 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.826      ; 6.150      ;
1068
; -4.118 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[2]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.874      ; 2.982      ;
1069
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1070
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1071
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1072
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1073
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1074
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1075
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1076
; -4.116 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.838      ; 6.170      ;
1077
; -4.112 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[0]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 6.167      ;
1078
; -4.106 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.831      ; 6.173      ;
1079
; -4.073 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[6]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.873      ; 3.026      ;
1080
; -4.037 ; \random:rand_temp[4]           ; T80se:z80_inst|DI_Reg[4]                                                                                      ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.890      ; 3.079      ;
1081
; -4.012 ; \random:rand_temp[4]           ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.890      ; 3.104      ;
1082
; -4.007 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.154     ; 6.631      ;
1083
; -4.006 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.148     ; 6.626      ;
1084
; -4.006 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.148     ; 6.626      ;
1085
; -4.001 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[4]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.889      ; 3.114      ;
1086
; -4.000 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.157     ; 6.641      ;
1087
; -3.999 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.151     ; 6.636      ;
1088
; -3.999 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.151     ; 6.636      ;
1089
; -3.995 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[7]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.876      ; 3.107      ;
1090
; -3.993 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[1]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.891      ; 3.124      ;
1091
; -3.990 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.169     ; 6.663      ;
1092
; -3.989 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.163     ; 6.658      ;
1093
; -3.989 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.163     ; 6.658      ;
1094
; -3.985 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[3]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.890      ; 3.131      ;
1095
; -3.984 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.150     ; 6.650      ;
1096
; -3.983 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.144     ; 6.645      ;
1097
; -3.983 ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 10.144     ; 6.645      ;
1098
; -3.981 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[7]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.876      ; 3.121      ;
1099
; -3.980 ; ps2_ascii_reg1[5]              ; ps2_ascii_reg[5]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.884      ; 3.130      ;
1100
; -3.979 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.812      ; 6.281      ;
1101
; -3.979 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.812      ; 6.281      ;
1102
; -3.979 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.812      ; 6.281      ;
1103
; -3.979 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.812      ; 6.281      ;
1104
; -3.976 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[6]                                                                                              ; CLOCK_50              ; SW[15]      ; 0.000        ; 6.873      ; 3.123      ;
1105
; -3.971 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.821      ; 6.298      ;
1106
; -3.971 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.821      ; 6.298      ;
1107
; -3.971 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.821      ; 6.298      ;
1108
; -3.971 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.821      ; 6.298      ;
1109
; -3.970 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.820      ; 6.298      ;
1110
; -3.970 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.820      ; 6.298      ;
1111
; -3.970 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[15]      ; 0.000        ; 9.820      ; 6.298      ;
1112
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1113
 
1114
 
1115
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1116
; Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                                                                    ;
1117
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+---------------------------------+--------------+------------+------------+
1118
; Slack  ; From Node                      ; To Node                                                                                                       ; Launch Clock          ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
1119
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+---------------------------------+--------------+------------+------------+
1120
; -1.638 ; \random:rand_temp[11]          ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.780      ; 1.358      ;
1121
; -1.491 ; \random:rand_temp[11]          ; T80se:z80_inst|DI_Reg[3]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.777      ; 1.502      ;
1122
; -1.097 ; \random:rand_temp[12]          ; T80se:z80_inst|DI_Reg[4]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.780      ; 1.899      ;
1123
; -1.096 ; \random:rand_temp[12]          ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.780      ; 1.900      ;
1124
; -0.652 ; \random:rand_temp[1]           ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.784      ; 2.348      ;
1125
; -0.642 ; \random:rand_temp[14]          ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.777      ; 2.351      ;
1126
; -0.610 ; \random:rand_temp[1]           ; T80se:z80_inst|DI_Reg[1]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.777      ; 2.383      ;
1127
; -0.499 ; \random:rand_temp[14]          ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.779      ; 2.496      ;
1128
; -0.426 ; \random:rand_temp[13]          ; T80se:z80_inst|DI_Reg[5]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.777      ; 2.567      ;
1129
; -0.369 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.721      ; 5.790      ;
1130
; -0.339 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 5.827      ;
1131
; -0.286 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 5.880      ;
1132
; -0.283 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 5.883      ;
1133
; -0.266 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 5.900      ;
1134
; -0.265 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.729      ; 5.902      ;
1135
; -0.251 ; \random:rand_temp[13]          ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.780      ; 2.745      ;
1136
; -0.250 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 5.916      ;
1137
; -0.249 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.717      ; 5.906      ;
1138
; -0.242 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[1]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.721      ; 5.917      ;
1139
; -0.242 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[9]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.922      ;
1140
; -0.242 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[11]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.922      ;
1141
; -0.240 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[14]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.924      ;
1142
; -0.240 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[15]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.924      ;
1143
; -0.239 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[13]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.925      ;
1144
; -0.238 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[8]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.926      ;
1145
; -0.236 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[10]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.928      ;
1146
; -0.235 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[12]                                                                                  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 5.929      ;
1147
; -0.196 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.729      ; 5.971      ;
1148
; -0.195 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.729      ; 5.972      ;
1149
; -0.178 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.984      ;
1150
; -0.176 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.986      ;
1151
; -0.174 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.988      ;
1152
; -0.173 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.989      ;
1153
; -0.170 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.992      ;
1154
; -0.170 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDR_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.724      ; 5.992      ;
1155
; -0.160 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 6.004      ;
1156
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1157
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1158
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1159
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER0_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1160
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1161
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1162
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1163
; -0.122 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER1_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.718      ; 6.034      ;
1164
; -0.118 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 6.046      ;
1165
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1166
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1167
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1168
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1169
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1170
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1171
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1172
; -0.118 ; T80se:z80_inst|MREQ_n          ; \pinout_process:LEDG_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.048      ;
1173
; -0.047 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.728      ; 6.119      ;
1174
; -0.041 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.729      ; 6.126      ;
1175
; -0.009 ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.721      ; 6.150      ;
1176
; -0.003 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[2]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.769      ; 2.982      ;
1177
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1178
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1179
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1180
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER6_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1181
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1182
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1183
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1184
; -0.001 ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER7_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.733      ; 6.170      ;
1185
; 0.003  ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[0]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 6.167      ;
1186
; 0.009  ; T80se:z80_inst|MREQ_n          ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.726      ; 6.173      ;
1187
; 0.042  ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[6]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.768      ; 3.026      ;
1188
; 0.078  ; \random:rand_temp[4]           ; T80se:z80_inst|DI_Reg[4]                                                                                      ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.785      ; 3.079      ;
1189
; 0.103  ; \random:rand_temp[4]           ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.785      ; 3.104      ;
1190
; 0.108  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.049      ; 6.631      ;
1191
; 0.109  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.043      ; 6.626      ;
1192
; 0.109  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.043      ; 6.626      ;
1193
; 0.114  ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[4]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.784      ; 3.114      ;
1194
; 0.115  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.052      ; 6.641      ;
1195
; 0.116  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.046      ; 6.636      ;
1196
; 0.116  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.046      ; 6.636      ;
1197
; 0.120  ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[7]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.771      ; 3.107      ;
1198
; 0.122  ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[1]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.786      ; 3.124      ;
1199
; 0.125  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.064      ; 6.663      ;
1200
; 0.126  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.058      ; 6.658      ;
1201
; 0.126  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.058      ; 6.658      ;
1202
; 0.130  ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[3]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.785      ; 3.131      ;
1203
; 0.131  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.045      ; 6.650      ;
1204
; 0.132  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.039      ; 6.645      ;
1205
; 0.132  ; T80se:z80_inst|MREQ_n          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 6.039      ; 6.645      ;
1206
; 0.134  ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[7]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.771      ; 3.121      ;
1207
; 0.135  ; ps2_ascii_reg1[5]              ; ps2_ascii_reg[5]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.779      ; 3.130      ;
1208
; 0.136  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.707      ; 6.281      ;
1209
; 0.136  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.707      ; 6.281      ;
1210
; 0.136  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.707      ; 6.281      ;
1211
; 0.136  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER5_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.707      ; 6.281      ;
1212
; 0.139  ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[6]                                                                                              ; CLOCK_50              ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 2.768      ; 3.123      ;
1213
; 0.144  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.716      ; 6.298      ;
1214
; 0.144  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.716      ; 6.298      ;
1215
; 0.144  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.716      ; 6.298      ;
1216
; 0.144  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER3_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.716      ; 6.298      ;
1217
; 0.145  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.715      ; 6.298      ;
1218
; 0.145  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.715      ; 6.298      ;
1219
; 0.145  ; T80se:z80_inst|MREQ_n          ; \pinout_process:NUMBER4_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 5.715      ; 6.298      ;
1220
+--------+--------------------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+---------------------------------+--------------+------------+------------+
1221
 
1222
 
1223
+----------------------------------------------------------------------------------------------------------------------------------------------------------+
1224
; Hold: 'T80se:z80_inst|MREQ_n'                                                                                                                            ;
1225
+--------+-----------------------------+----------------+---------------------------------+-----------------------+--------------+------------+------------+
1226
; Slack  ; From Node                   ; To Node        ; Launch Clock                    ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
1227
+--------+-----------------------------+----------------+---------------------------------+-----------------------+--------------+------------+------------+
1228
; -1.628 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[18][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.585      ; 2.477      ;
1229
; -1.457 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[18][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.517      ; 2.580      ;
1230
; -1.433 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[18][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.366      ; 2.453      ;
1231
; -1.354 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[18][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.585      ; 2.751      ;
1232
; -1.346 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[18][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.340      ; 2.514      ;
1233
; -1.283 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[2][2]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.370      ; 1.607      ;
1234
; -1.211 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[10][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.377      ; 1.686      ;
1235
; -1.179 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[18][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.360      ; 2.701      ;
1236
; -1.153 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[18][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.384      ; 2.751      ;
1237
; -1.151 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[2][5]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.397      ; 1.766      ;
1238
; -1.148 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[18][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.353      ; 2.725      ;
1239
; -1.133 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[2][6]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.397      ; 1.784      ;
1240
; -1.054 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[26][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.401      ; 1.867      ;
1241
; -1.035 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[26][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.400      ; 1.885      ;
1242
; -1.026 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[24][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.432      ; 1.926      ;
1243
; -1.016 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[2][4]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.054      ; 1.558      ;
1244
; -1.014 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[26][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.248      ; 1.754      ;
1245
; -1.013 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[10][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.608      ; 2.115      ;
1246
; -1.002 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[26][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.546      ; 2.064      ;
1247
; -0.975 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[10][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.369      ; 1.914      ;
1248
; -0.971 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[26][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.236      ; 1.785      ;
1249
; -0.969 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[24][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.588      ; 2.139      ;
1250
; -0.965 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[26][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.305      ; 1.860      ;
1251
; -0.953 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[2][1]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.120      ; 1.687      ;
1252
; -0.939 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[2][0]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.053      ; 1.634      ;
1253
; -0.932 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[10][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.298      ; 1.886      ;
1254
; -0.927 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[26][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.299      ; 1.892      ;
1255
; -0.909 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[16][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.825      ; 2.436      ;
1256
; -0.907 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[2][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.540      ; 2.153      ;
1257
; -0.897 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[10][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.443      ; 2.066      ;
1258
; -0.879 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[8][3]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.462      ; 1.103      ;
1259
; -0.868 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[10][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.134      ; 1.786      ;
1260
; -0.835 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[10][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.443      ; 2.128      ;
1261
; -0.812 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[16][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.776      ; 2.484      ;
1262
; -0.795 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[0][4]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.209      ; 1.934      ;
1263
; -0.794 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[10][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.135      ; 1.861      ;
1264
; -0.759 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[16][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.637      ; 2.398      ;
1265
; -0.739 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[26][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.101      ; 1.882      ;
1266
; -0.712 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[24][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.432      ; 2.240      ;
1267
; -0.677 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[24][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.592      ; 2.435      ;
1268
; -0.668 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[24][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.457      ; 2.309      ;
1269
; -0.649 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[16][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.616      ; 2.487      ;
1270
; -0.638 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[24][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.603      ; 2.485      ;
1271
; -0.611 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[2][3]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.171      ; 2.080      ;
1272
; -0.610 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[8][1]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.462      ; 1.372      ;
1273
; -0.605 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[0][0]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.679      ; 1.594      ;
1274
; -0.563 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[4][1]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.259      ; 3.216      ;
1275
; -0.518 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[0][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.206      ; 2.208      ;
1276
; -0.511 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[8][5]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.754      ; 1.763      ;
1277
; -0.501 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[16][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.780      ; 2.799      ;
1278
; -0.494 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[8][2]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.751      ; 1.777      ;
1279
; -0.479 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[16][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.635      ; 2.676      ;
1280
; -0.471 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[4][5]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.259      ; 3.308      ;
1281
; -0.459 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[20][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.870      ; 2.931      ;
1282
; -0.455 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[20][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.884      ; 2.949      ;
1283
; -0.453 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[8][4]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.048      ; 2.115      ;
1284
; -0.449 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[4][3]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.255      ; 3.326      ;
1285
; -0.448 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[8][6]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.749      ; 1.821      ;
1286
; -0.446 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[24][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.413      ; 2.487      ;
1287
; -0.443 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[20][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.920      ; 2.997      ;
1288
; -0.431 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[0][6]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.583      ; 1.672      ;
1289
; -0.405 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[16][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.610      ; 2.725      ;
1290
; -0.402 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[28][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.069      ; 3.187      ;
1291
; -0.398 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[20][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.887      ; 3.009      ;
1292
; -0.386 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[0][2]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.583      ; 1.717      ;
1293
; -0.381 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[28][6] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.868      ; 3.007      ;
1294
; -0.372 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[8][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.006      ; 2.154      ;
1295
; -0.367 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[4][0]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.092      ; 3.245      ;
1296
; -0.367 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[28][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.889      ; 3.042      ;
1297
; -0.363 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[0][5]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.162      ; 1.319      ;
1298
; -0.339 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[24][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.459      ; 2.640      ;
1299
; -0.335 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.905      ; 3.090      ;
1300
; -0.332 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[28][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.866      ; 3.054      ;
1301
; -0.327 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[16][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.635      ; 2.828      ;
1302
; -0.326 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[4][4]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.097      ; 3.291      ;
1303
; -0.320 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[28][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.887      ; 3.087      ;
1304
; -0.314 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[28][7] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.053      ; 3.259      ;
1305
; -0.308 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[0][1]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.162      ; 1.374      ;
1306
; -0.285 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[4][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.071      ; 3.306      ;
1307
; -0.282 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[0][3]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.163      ; 1.401      ;
1308
; -0.267 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[5][6]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.262      ; 2.515      ;
1309
; -0.252 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[28][1] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.869      ; 3.137      ;
1310
; -0.251 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[5][0]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.290      ; 2.559      ;
1311
; -0.224 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[4][2]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.070      ; 3.366      ;
1312
; -0.224 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[19][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.919      ; 2.215      ;
1313
; -0.209 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[19][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.117      ; 2.428      ;
1314
; -0.207 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[4][6]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 4.067      ; 3.380      ;
1315
; -0.207 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[20][0] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.921      ; 3.234      ;
1316
; -0.200 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[28][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.876      ; 3.196      ;
1317
; -0.198 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[6][0]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.706      ; 3.028      ;
1318
; -0.189 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[6][4]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.718      ; 3.049      ;
1319
; -0.179 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[5][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.269      ; 2.610      ;
1320
; -0.154 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[19][3] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.120      ; 2.486      ;
1321
; -0.142 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[6][7]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.692      ; 3.070      ;
1322
; -0.138 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[20][5] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.870      ; 3.252      ;
1323
; -0.138 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[5][1]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.294      ; 2.676      ;
1324
; -0.134 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[6][3]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.700      ; 3.086      ;
1325
; -0.120 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[19][2] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.119      ; 2.519      ;
1326
; -0.113 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[5][2]  ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 3.263      ; 2.670      ;
1327
; -0.111 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[30][4] ; clk_div:clkdiv_inst|clock_100Hz ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.819      ; 2.228      ;
1328
+--------+-----------------------------+----------------+---------------------------------+-----------------------+--------------+------------+------------+
1329
 
1330
 
1331
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1332
; Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                                 ;
1333
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1334
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
1335
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1336
; -0.418 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 3.746      ; 3.756      ;
1337
; -0.021 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 3.746      ; 3.653      ;
1338
; 0.403  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.669      ;
1339
; 0.403  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.669      ;
1340
; 0.408  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.674      ;
1341
; 0.431  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.697      ;
1342
; 0.450  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.716      ;
1343
; 0.452  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.718      ;
1344
; 0.453  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.719      ;
1345
; 0.454  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.720      ;
1346
; 0.454  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.720      ;
1347
; 0.575  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.841      ;
1348
; 0.575  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.841      ;
1349
; 0.930  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.196      ;
1350
; 0.945  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.211      ;
1351
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.303      ;
1352
; 1.035  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.304      ;
1353
; 1.038  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.307      ;
1354
; 1.053  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.319      ;
1355
; 1.057  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.323      ;
1356
; 1.067  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.336      ;
1357
; 1.073  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.340      ;
1358
; 1.085  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.351      ;
1359
; 1.095  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.362      ;
1360
; 1.115  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.381      ;
1361
; 1.177  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.443      ;
1362
; 1.236  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.502      ;
1363
; 1.237  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.503      ;
1364
; 1.243  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.509      ;
1365
; 1.244  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.510      ;
1366
; 1.266  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.532      ;
1367
; 1.289  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.558      ;
1368
; 1.345  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.611      ;
1369
; 1.352  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.618      ;
1370
; 1.353  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.619      ;
1371
; 1.442  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.708      ;
1372
; 1.442  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.708      ;
1373
; 1.442  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.708      ;
1374
; 1.442  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.708      ;
1375
; 1.454  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 1.723      ;
1376
; 1.523  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.789      ;
1377
; 1.530  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.796      ;
1378
; 1.533  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.799      ;
1379
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1380
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1381
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1382
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1383
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1384
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1385
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1386
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1387
; 1.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.152      ;
1388
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1389
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1390
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1391
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1392
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1393
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1394
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1395
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1396
; 1.902  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.169      ;
1397
; 1.932  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.198      ;
1398
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1399
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1400
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1401
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1402
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1403
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1404
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1405
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1406
; 1.994  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.261      ;
1407
; 2.061  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.327      ;
1408
; 2.076  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.346      ;
1409
; 2.076  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.346      ;
1410
; 2.076  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.346      ;
1411
; 2.076  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.346      ;
1412
; 2.076  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.346      ;
1413
; 2.160  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.430      ;
1414
; 2.160  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.430      ;
1415
; 2.160  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.430      ;
1416
; 2.160  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.430      ;
1417
; 2.160  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.430      ;
1418
; 2.165  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.431      ;
1419
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1420
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1421
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1422
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1423
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1424
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1425
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1426
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1427
; 2.172  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.439      ;
1428
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1429
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1430
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1431
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1432
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1433
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1434
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1435
; 2.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.449      ;
1436
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1437
 
1438
 
1439
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1440
; Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                                ;
1441
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1442
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1443
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1444
; -0.319 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 2.270      ; 2.369      ;
1445
; 0.203  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 2.270      ; 2.391      ;
1446
; 0.440  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.669      ;
1447
; 0.440  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.669      ;
1448
; 0.445  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.674      ;
1449
; 0.469  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 0.701      ;
1450
; 0.487  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 0.719      ;
1451
; 0.645  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 0.877      ;
1452
; 0.691  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 0.923      ;
1453
; 0.704  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 0.936      ;
1454
; 0.810  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 1.042      ;
1455
; 0.941  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 1.173      ;
1456
; 1.251  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.046      ; 1.483      ;
1457
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1458
 
1459
 
1460
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1461
; Hold: 'CLOCK_50'                                                                                                                                                                                                                                        ;
1462
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1463
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1464
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1465
; -0.260 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 3.044      ; 3.232      ;
1466
; -0.232 ; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1KHz                              ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 3.179      ; 3.385      ;
1467
; -0.161 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.997      ; 3.274      ;
1468
; -0.050 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.997      ; 3.385      ;
1469
; 0.219  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; -0.500       ; 3.044      ; 3.211      ;
1470
; 0.278  ; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1KHz                              ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; -0.500       ; 3.179      ; 3.395      ;
1471
; 0.320  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 1.766      ; 2.292      ;
1472
; 0.378  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.997      ; 3.313      ;
1473
; 0.402  ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|data_bus_value[0]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1474
; 0.402  ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|data_bus_value[6]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1475
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1476
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1477
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1478
; 0.402  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1479
; 0.403  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|next_command.reset2                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1480
; 0.403  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1481
; 0.403  ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1482
; 0.403  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1483
; 0.403  ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1484
; 0.403  ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1485
; 0.403  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|next_command.mode_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1486
; 0.403  ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|next_command.line2                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1487
; 0.403  ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|data_bus_value[7]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1488
; 0.403  ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|LCD_RS                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1489
; 0.403  ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|LCD_EN                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1490
; 0.403  ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|LCD_ON                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1491
; 0.403  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1492
; 0.403  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1493
; 0.403  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1494
; 0.407  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.674      ;
1495
; 0.408  ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|state.drop_LCD_EN                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.674      ;
1496
; 0.408  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.674      ;
1497
; 0.422  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|state.reset2                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.688      ;
1498
; 0.428  ; LCD:lcd_inst|clk_count_400hz[19]                            ; LCD:lcd_inst|clk_count_400hz[19]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.694      ;
1499
; 0.430  ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|state.display_clear                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.696      ;
1500
; 0.430  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|state.mode_set                                 ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.696      ;
1501
; 0.430  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.697      ;
1502
; 0.430  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.696      ;
1503
; 0.431  ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|state.func_set                                 ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.697      ;
1504
; 0.431  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|state.display_off                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.697      ;
1505
; 0.432  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.698      ;
1506
; 0.435  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.245      ; 0.866      ;
1507
; 0.435  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.701      ;
1508
; 0.436  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.702      ;
1509
; 0.436  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.703      ;
1510
; 0.437  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.703      ;
1511
; 0.440  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.707      ;
1512
; 0.442  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.709      ;
1513
; 0.442  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.709      ;
1514
; 0.443  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.710      ;
1515
; 0.444  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.710      ;
1516
; 0.450  ; \random:rand_temp[7]                                        ; \random:rand_temp[8]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.717      ;
1517
; 0.451  ; LCD:lcd_inst|state.reset3                                   ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.717      ;
1518
; 0.451  ; \random:rand_temp[2]                                        ; \random:rand_temp[3]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.718      ;
1519
; 0.452  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.719      ;
1520
; 0.454  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.720      ;
1521
; 0.459  ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.725      ;
1522
; 0.491  ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|state.drop_LCD_EN                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.757      ;
1523
; 0.493  ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|state.return_home                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.759      ;
1524
; 0.494  ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|state.print_string                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.760      ;
1525
; 0.497  ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|state.line2                                    ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.763      ;
1526
; 0.501  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.997      ; 3.436      ;
1527
; 0.552  ; \random:rand_temp[13]                                       ; \random:rand_temp[14]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.819      ;
1528
; 0.554  ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|state.line2                                    ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.820      ;
1529
; 0.555  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|state.reset3                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.821      ;
1530
; 0.555  ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|state.display_on                               ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.821      ;
1531
; 0.560  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.826      ;
1532
; 0.563  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.829      ;
1533
; 0.576  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.843      ;
1534
; 0.600  ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|next_command.return_home                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.866      ;
1535
; 0.600  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.867      ;
1536
; 0.601  ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|next_command.print_string                      ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.867      ;
1537
; 0.609  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.875      ;
1538
; 0.612  ; ps2_read                                                    ; ps2_ascii_reg1[1]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.878      ;
1539
; 0.612  ; ps2_read                                                    ; ps2_ascii_reg1[7]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.878      ;
1540
; 0.612  ; ps2_read                                                    ; ps2_ascii_reg1[5]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.878      ;
1541
; 0.612  ; ps2_read                                                    ; ps2_ascii_reg1[4]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.878      ;
1542
; 0.621  ; \random:rand_temp[9]                                        ; \random:rand_temp[10]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.888      ;
1543
; 0.621  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.888      ;
1544
; 0.639  ; ps2_read                                                    ; ps2_ascii_reg1[6]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.905      ;
1545
; 0.640  ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|LCD_RS                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.079      ; 0.905      ;
1546
; 0.641  ; LCD:lcd_inst|clk_count_400hz[5]                             ; LCD:lcd_inst|clk_count_400hz[5]                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.907      ;
1547
; 0.641  ; LCD:lcd_inst|clk_count_400hz[13]                            ; LCD:lcd_inst|clk_count_400hz[13]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.907      ;
1548
; 0.642  ; LCD:lcd_inst|clk_count_400hz[15]                            ; LCD:lcd_inst|clk_count_400hz[15]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.908      ;
1549
; 0.644  ; LCD:lcd_inst|clk_count_400hz[3]                             ; LCD:lcd_inst|clk_count_400hz[3]                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.910      ;
1550
; 0.645  ; LCD:lcd_inst|clk_count_400hz[10]                            ; LCD:lcd_inst|clk_count_400hz[10]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.911      ;
1551
; 0.645  ; LCD:lcd_inst|clk_count_400hz[16]                            ; LCD:lcd_inst|clk_count_400hz[16]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.911      ;
1552
; 0.645  ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.911      ;
1553
; 0.646  ; LCD:lcd_inst|clk_count_400hz[17]                            ; LCD:lcd_inst|clk_count_400hz[17]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.912      ;
1554
; 0.646  ; LCD:lcd_inst|clk_count_400hz[12]                            ; LCD:lcd_inst|clk_count_400hz[12]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.912      ;
1555
; 0.646  ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.912      ;
1556
; 0.647  ; LCD:lcd_inst|clk_count_400hz[4]                             ; LCD:lcd_inst|clk_count_400hz[4]                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.913      ;
1557
; 0.647  ; LCD:lcd_inst|clk_count_400hz[14]                            ; LCD:lcd_inst|clk_count_400hz[14]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.913      ;
1558
; 0.648  ; LCD:lcd_inst|clk_count_400hz[2]                             ; LCD:lcd_inst|clk_count_400hz[2]                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.914      ;
1559
; 0.648  ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|state.print_string                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.914      ;
1560
; 0.649  ; LCD:lcd_inst|clk_count_400hz[18]                            ; LCD:lcd_inst|clk_count_400hz[18]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.915      ;
1561
; 0.649  ; LCD:lcd_inst|next_command.return_home                       ; LCD:lcd_inst|state.return_home                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.915      ;
1562
; 0.652  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.919      ;
1563
; 0.652  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.919      ;
1564
; 0.654  ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.920      ;
1565
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1566
 
1567
 
1568
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1569
; Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                                           ;
1570
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1571
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1572
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1573
; -0.127 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 1.431      ; 1.722      ;
1574
; 0.391  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 1.431      ; 1.740      ;
1575
; 0.440  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1576
; 0.440  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1577
; 0.445  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1578
; 0.469  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 0.700      ;
1579
; 0.473  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 0.704      ;
1580
; 0.690  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 0.921      ;
1581
; 0.691  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 0.922      ;
1582
; 0.710  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 0.941      ;
1583
; 0.810  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 1.041      ;
1584
; 0.924  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 1.155      ;
1585
; 1.078  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.045      ; 1.309      ;
1586
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1587
 
1588
 
1589
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1590
; Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                         ;
1591
+-------+-----------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1592
; Slack ; From Node                   ; To Node                                                                                                      ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
1593
+-------+-----------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1594
; 0.048 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.741      ; 2.031      ;
1595
; 0.067 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.377      ;
1596
; 0.069 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.742      ; 2.053      ;
1597
; 0.086 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.052      ; 2.380      ;
1598
; 0.092 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.052      ; 2.386      ;
1599
; 0.106 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.050      ; 2.398      ;
1600
; 0.109 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.067      ; 2.418      ;
1601
; 0.110 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.066      ; 2.418      ;
1602
; 0.110 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.420      ;
1603
; 0.113 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.048      ; 2.403      ;
1604
; 0.115 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.064      ; 2.421      ;
1605
; 0.115 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.071      ; 2.428      ;
1606
; 0.128 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.034      ; 2.404      ;
1607
; 0.130 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.021      ; 2.393      ;
1608
; 0.130 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.064      ; 2.436      ;
1609
; 0.134 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.058      ; 2.434      ;
1610
; 0.136 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.053      ; 2.431      ;
1611
; 0.139 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.055      ; 2.436      ;
1612
; 0.152 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.719      ; 2.113      ;
1613
; 0.153 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.721      ; 2.116      ;
1614
; 0.154 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.722      ; 2.118      ;
1615
; 0.156 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.723      ; 2.121      ;
1616
; 0.157 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.016      ; 2.415      ;
1617
; 0.165 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.721      ; 2.128      ;
1618
; 0.166 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.017      ; 2.425      ;
1619
; 0.170 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.018      ; 2.430      ;
1620
; 0.171 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.062      ; 2.475      ;
1621
; 0.172 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.723      ; 2.137      ;
1622
; 0.186 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.726      ; 2.154      ;
1623
; 0.187 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.050      ; 2.479      ;
1624
; 0.189 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.723      ; 2.154      ;
1625
; 0.192 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.726      ; 2.160      ;
1626
; 0.193 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.724      ; 2.159      ;
1627
; 0.195 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.047      ; 2.484      ;
1628
; 0.195 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.064      ; 2.501      ;
1629
; 0.196 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.014      ; 2.452      ;
1630
; 0.201 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.182      ;
1631
; 0.202 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.183      ;
1632
; 0.204 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.741      ; 2.187      ;
1633
; 0.207 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.733      ; 2.182      ;
1634
; 0.208 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.742      ; 2.192      ;
1635
; 0.209 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.049      ; 2.500      ;
1636
; 0.209 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.048      ; 2.499      ;
1637
; 0.210 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.036      ; 2.488      ;
1638
; 0.214 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.028      ; 2.484      ;
1639
; 0.214 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.051      ; 2.507      ;
1640
; 0.218 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.048      ; 2.508      ;
1641
; 0.223 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.031      ; 2.496      ;
1642
; 0.225 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.046      ; 2.513      ;
1643
; 0.225 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.033      ; 2.500      ;
1644
; 0.226 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.733      ; 2.201      ;
1645
; 0.233 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.722      ; 2.197      ;
1646
; 0.234 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.034      ; 2.510      ;
1647
; 0.234 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.044      ; 2.520      ;
1648
; 0.235 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.034      ; 2.511      ;
1649
; 0.238 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.219      ;
1650
; 0.239 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.220      ;
1651
; 0.241 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.051      ; 2.534      ;
1652
; 0.242 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.065      ; 2.549      ;
1653
; 0.243 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.553      ;
1654
; 0.243 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.055      ; 2.540      ;
1655
; 0.243 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.738      ; 2.223      ;
1656
; 0.244 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.225      ;
1657
; 0.245 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.736      ; 2.223      ;
1658
; 0.249 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.042      ; 2.533      ;
1659
; 0.249 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.742      ; 2.233      ;
1660
; 0.249 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.741      ; 2.232      ;
1661
; 0.250 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.048      ; 2.540      ;
1662
; 0.251 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.724      ; 2.217      ;
1663
; 0.262 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.038      ; 2.542      ;
1664
; 0.262 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.723      ; 2.227      ;
1665
; 0.262 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.070      ; 2.574      ;
1666
; 0.263 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.736      ; 2.241      ;
1667
; 0.266 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.247      ;
1668
; 0.267 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.738      ; 2.247      ;
1669
; 0.268 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.037      ; 2.547      ;
1670
; 0.270 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.719      ; 2.231      ;
1671
; 0.272 ; T80se:z80_inst|T80:u0|A[2]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.253      ;
1672
; 0.274 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.053      ; 2.569      ;
1673
; 0.274 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.052      ; 2.568      ;
1674
; 0.274 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.051      ; 2.567      ;
1675
; 0.275 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.585      ;
1676
; 0.275 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.256      ;
1677
; 0.276 ; T80se:z80_inst|T80:u0|A[10] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.767      ; 2.285      ;
1678
; 0.278 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.259      ;
1679
; 0.280 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.733      ; 2.255      ;
1680
; 0.280 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.067      ; 2.589      ;
1681
; 0.282 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.592      ;
1682
; 0.285 ; T80se:z80_inst|T80:u0|A[7]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.052      ; 2.579      ;
1683
; 0.286 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.068      ; 2.596      ;
1684
; 0.286 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.719      ; 2.247      ;
1685
; 0.291 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.063      ; 2.596      ;
1686
; 0.309 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0 ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.042      ; 2.593      ;
1687
; 0.309 ; T80se:z80_inst|T80:u0|A[10] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.770      ; 2.321      ;
1688
; 0.310 ; T80se:z80_inst|T80:u0|A[1]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.736      ; 2.288      ;
1689
; 0.311 ; T80se:z80_inst|T80:u0|A[0]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.019      ; 2.572      ;
1690
; 0.312 ; T80se:z80_inst|T80:u0|A[10] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.769      ; 2.323      ;
1691
; 0.315 ; T80se:z80_inst|T80:u0|A[8]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 2.071      ; 2.628      ;
1692
; 0.317 ; T80se:z80_inst|T80:u0|A[3]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.298      ;
1693
; 0.321 ; T80se:z80_inst|T80:u0|A[4]  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0  ; clk_div:clkdiv_inst|clock_100Hz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 1.739      ; 2.302      ;
1694
+-------+-----------------------------+--------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1695
 
1696
 
1697
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1698
; Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                             ;
1699
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1700
; Slack ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
1701
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1702
; 0.106 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.919      ; 1.443      ;
1703
; 0.440 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1704
; 0.440 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1705
; 0.445 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1706
; 0.479 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.712      ;
1707
; 0.647 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.880      ;
1708
; 0.647 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.880      ;
1709
; 0.652 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 0.919      ; 1.489      ;
1710
; 0.686 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.919      ;
1711
; 0.774 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.007      ;
1712
; 0.808 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.041      ;
1713
; 0.923 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.156      ;
1714
; 1.086 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.319      ;
1715
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1716
 
1717
 
1718
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1719
; Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                                           ;
1720
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1721
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1722
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1723
; 0.440 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1724
; 0.440 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1725
; 0.440 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1726
; 0.445 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1727
; 0.462 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.695      ;
1728
; 0.469 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.702      ;
1729
; 0.469 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.702      ;
1730
; 0.483 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.716      ;
1731
; 0.689 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.922      ;
1732
; 0.703 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.936      ;
1733
; 0.752 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 0.985      ;
1734
; 0.902 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.047      ; 1.135      ;
1735
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1736
 
1737
 
1738
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1739
; Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                                         ;
1740
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1741
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1742
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1743
; 0.477 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 0.711      ;
1744
; 0.600 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 0.834      ;
1745
; 0.675 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.904      ;
1746
; 0.677 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 0.911      ;
1747
; 0.682 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.911      ;
1748
; 0.689 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.918      ;
1749
; 0.690 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.919      ;
1750
; 0.700 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.929      ;
1751
; 0.987 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.221      ;
1752
; 0.999 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.233      ;
1753
; 1.001 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.235      ;
1754
; 1.004 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.238      ;
1755
; 1.004 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.238      ;
1756
; 1.009 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.243      ;
1757
; 1.108 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.342      ;
1758
; 1.113 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.347      ;
1759
; 1.125 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.359      ;
1760
; 1.130 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.364      ;
1761
; 1.182 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.416      ;
1762
; 1.182 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.416      ;
1763
; 1.182 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.416      ;
1764
; 1.306 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.540      ;
1765
; 1.306 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.540      ;
1766
; 1.306 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.540      ;
1767
; 1.306 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.540      ;
1768
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1769
 
1770
 
1771
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1772
; Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                                     ;
1773
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1774
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
1775
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1776
; -2.384 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -1.538     ; 1.844      ;
1777
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1778
 
1779
 
1780
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1781
; Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                                     ;
1782
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1783
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
1784
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1785
; 2.874 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -1.344     ; 1.736      ;
1786
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1787
 
1788
 
1789
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1790
; Minimum Pulse Width: 'SW[15]'                                                                                                                                                             ;
1791
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
1792
; Slack  ; Actual Width ; Required Width ; Type       ; Clock  ; Clock Edge ; Target                                                                                                        ;
1793
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
1794
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; SW[15] ; Rise       ; SW[15]                                                                                                        ;
1795
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ;
1796
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ;
1797
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ;
1798
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ;
1799
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ;
1800
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ;
1801
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ;
1802
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ;
1803
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ;
1804
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ;
1805
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ;
1806
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ;
1807
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ;
1808
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ;
1809
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ;
1810
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ;
1811
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ;
1812
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ;
1813
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ;
1814
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ;
1815
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ;
1816
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ;
1817
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ;
1818
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ;
1819
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ;
1820
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ;
1821
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ;
1822
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ;
1823
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ;
1824
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[15] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ;
1825
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[0]                                                                                      ;
1826
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[1]                                                                                      ;
1827
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[2]                                                                                      ;
1828
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[3]                                                                                      ;
1829
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[4]                                                                                      ;
1830
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[5]                                                                                      ;
1831
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[6]                                                                                      ;
1832
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|DI_Reg[7]                                                                                      ;
1833
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|IORQ_n                                                                                         ;
1834
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|MREQ_n                                                                                         ;
1835
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|RD_n                                                                                           ;
1836
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[0]                                                                                  ;
1837
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[1]                                                                                  ;
1838
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[2]                                                                                  ;
1839
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[3]                                                                                  ;
1840
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[4]                                                                                  ;
1841
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[5]                                                                                  ;
1842
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[6]                                                                                  ;
1843
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ACC[7]                                                                                  ;
1844
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[0]                                                                             ;
1845
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[1]                                                                             ;
1846
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[2]                                                                             ;
1847
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[3]                                                                             ;
1848
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[0]                                                                                    ;
1849
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[10]                                                                                   ;
1850
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[11]                                                                                   ;
1851
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[12]                                                                                   ;
1852
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[13]                                                                                   ;
1853
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[14]                                                                                   ;
1854
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[15]                                                                                   ;
1855
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[1]                                                                                    ;
1856
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[2]                                                                                    ;
1857
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[3]                                                                                    ;
1858
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[4]                                                                                    ;
1859
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[5]                                                                                    ;
1860
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[6]                                                                                    ;
1861
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[7]                                                                                    ;
1862
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[8]                                                                                    ;
1863
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|A[9]                                                                                    ;
1864
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Alternate                                                                               ;
1865
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[0]                                                                                   ;
1866
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[1]                                                                                   ;
1867
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[2]                                                                                   ;
1868
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[3]                                                                                   ;
1869
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[4]                                                                                   ;
1870
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[5]                                                                                   ;
1871
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[6]                                                                                   ;
1872
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Ap[7]                                                                                   ;
1873
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|Arith16_r                                                                               ;
1874
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BTR_r                                                                                   ;
1875
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[0]                                                                                 ;
1876
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[1]                                                                                 ;
1877
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[2]                                                                                 ;
1878
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[3]                                                                                 ;
1879
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[4]                                                                                 ;
1880
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[5]                                                                                 ;
1881
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[6]                                                                                 ;
1882
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusA[7]                                                                                 ;
1883
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[0]                                                                                 ;
1884
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[1]                                                                                 ;
1885
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[2]                                                                                 ;
1886
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[3]                                                                                 ;
1887
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[4]                                                                                 ;
1888
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[5]                                                                                 ;
1889
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[6]                                                                                 ;
1890
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|BusB[7]                                                                                 ;
1891
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|DO[0]                                                                                   ;
1892
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|DO[1]                                                                                   ;
1893
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[15] ; Rise       ; T80se:z80_inst|T80:u0|DO[2]                                                                                   ;
1894
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
1895
 
1896
 
1897
+-----------------------------------------------------------------------------------------------------------------------+
1898
; Minimum Pulse Width: 'CLOCK_50'                                                                                       ;
1899
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
1900
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                  ;
1901
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
1902
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; CLOCK_50 ; Rise       ; CLOCK_50                                ;
1903
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_EN                     ;
1904
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_ON                     ;
1905
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_RS                     ;
1906
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[0]          ;
1907
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[1]          ;
1908
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[2]          ;
1909
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[3]          ;
1910
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[4]          ;
1911
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_400hz_enable           ;
1912
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[0]         ;
1913
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[10]        ;
1914
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[11]        ;
1915
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[12]        ;
1916
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[13]        ;
1917
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[14]        ;
1918
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[15]        ;
1919
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[16]        ;
1920
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[17]        ;
1921
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[18]        ;
1922
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[19]        ;
1923
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[1]         ;
1924
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[2]         ;
1925
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[3]         ;
1926
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[4]         ;
1927
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[5]         ;
1928
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[6]         ;
1929
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[7]         ;
1930
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[8]         ;
1931
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[9]         ;
1932
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[0]          ;
1933
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[1]          ;
1934
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[2]          ;
1935
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[3]          ;
1936
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[4]          ;
1937
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[5]          ;
1938
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[6]          ;
1939
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[7]          ;
1940
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_clear ;
1941
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_off   ;
1942
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_on    ;
1943
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.func_set      ;
1944
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.line2         ;
1945
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.mode_set      ;
1946
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.print_string  ;
1947
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset2        ;
1948
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset3        ;
1949
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.return_home   ;
1950
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_clear        ;
1951
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_off          ;
1952
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_on           ;
1953
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.drop_LCD_EN          ;
1954
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.func_set             ;
1955
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.hold                 ;
1956
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.line2                ;
1957
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.mode_set             ;
1958
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.print_string         ;
1959
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset1               ;
1960
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset2               ;
1961
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset3               ;
1962
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.return_home          ;
1963
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                    ;
1964
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                   ;
1965
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                   ;
1966
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                   ;
1967
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                   ;
1968
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                   ;
1969
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                   ;
1970
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                    ;
1971
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                    ;
1972
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                    ;
1973
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                    ;
1974
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                    ;
1975
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                    ;
1976
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                    ;
1977
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                    ;
1978
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                    ;
1979
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz         ;
1980
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz         ;
1981
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int     ;
1982
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_1KHz          ;
1983
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz         ;
1984
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int     ;
1985
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz        ;
1986
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int    ;
1987
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]      ;
1988
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]      ;
1989
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]      ;
1990
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]     ;
1991
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]     ;
1992
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]     ;
1993
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]     ;
1994
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                       ;
1995
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                       ;
1996
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                       ;
1997
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                       ;
1998
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                       ;
1999
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                       ;
2000
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                       ;
2001
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                       ;
2002
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
2003
 
2004
 
2005
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2006
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                             ;
2007
+--------+--------------+----------------+------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2008
; Slack  ; Actual Width ; Required Width ; Type       ; Clock                           ; Clock Edge ; Target                                                                                                        ;
2009
+--------+--------------+----------------+------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2010
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ;
2011
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ;
2012
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ;
2013
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ;
2014
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ;
2015
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ;
2016
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ;
2017
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ;
2018
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ;
2019
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ;
2020
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ;
2021
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ;
2022
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ;
2023
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ;
2024
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ;
2025
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ;
2026
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ;
2027
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ;
2028
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ;
2029
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ;
2030
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ;
2031
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ;
2032
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ;
2033
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ;
2034
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ;
2035
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ;
2036
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ;
2037
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ;
2038
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ;
2039
; -2.693 ; 1.000        ; 3.693          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ;
2040
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[0]                                                                                      ;
2041
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[1]                                                                                      ;
2042
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[2]                                                                                      ;
2043
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[3]                                                                                      ;
2044
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[4]                                                                                      ;
2045
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[5]                                                                                      ;
2046
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[6]                                                                                      ;
2047
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|DI_Reg[7]                                                                                      ;
2048
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|IORQ_n                                                                                         ;
2049
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|MREQ_n                                                                                         ;
2050
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|RD_n                                                                                           ;
2051
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[0]                                                                                  ;
2052
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[1]                                                                                  ;
2053
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[2]                                                                                  ;
2054
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[3]                                                                                  ;
2055
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[4]                                                                                  ;
2056
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[5]                                                                                  ;
2057
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[6]                                                                                  ;
2058
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ACC[7]                                                                                  ;
2059
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[0]                                                                             ;
2060
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[1]                                                                             ;
2061
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[2]                                                                             ;
2062
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[3]                                                                             ;
2063
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[0]                                                                                    ;
2064
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[10]                                                                                   ;
2065
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[11]                                                                                   ;
2066
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[12]                                                                                   ;
2067
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[13]                                                                                   ;
2068
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[14]                                                                                   ;
2069
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[15]                                                                                   ;
2070
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[1]                                                                                    ;
2071
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[2]                                                                                    ;
2072
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[3]                                                                                    ;
2073
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[4]                                                                                    ;
2074
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[5]                                                                                    ;
2075
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[6]                                                                                    ;
2076
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[7]                                                                                    ;
2077
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[8]                                                                                    ;
2078
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|A[9]                                                                                    ;
2079
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Alternate                                                                               ;
2080
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[0]                                                                                   ;
2081
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[1]                                                                                   ;
2082
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[2]                                                                                   ;
2083
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[3]                                                                                   ;
2084
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[4]                                                                                   ;
2085
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[5]                                                                                   ;
2086
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[6]                                                                                   ;
2087
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Ap[7]                                                                                   ;
2088
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|Arith16_r                                                                               ;
2089
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BTR_r                                                                                   ;
2090
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[0]                                                                                 ;
2091
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[1]                                                                                 ;
2092
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[2]                                                                                 ;
2093
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[3]                                                                                 ;
2094
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[4]                                                                                 ;
2095
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[5]                                                                                 ;
2096
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[6]                                                                                 ;
2097
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusA[7]                                                                                 ;
2098
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[0]                                                                                 ;
2099
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[1]                                                                                 ;
2100
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[2]                                                                                 ;
2101
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[3]                                                                                 ;
2102
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[4]                                                                                 ;
2103
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[5]                                                                                 ;
2104
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[6]                                                                                 ;
2105
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|BusB[7]                                                                                 ;
2106
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|DO[0]                                                                                   ;
2107
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|DO[1]                                                                                   ;
2108
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|DO[2]                                                                                   ;
2109
; -1.285 ; 1.000        ; 2.285          ; Min Period ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; T80se:z80_inst|T80:u0|DO[3]                                                                                   ;
2110
+--------+--------------+----------------+------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2111
 
2112
 
2113
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2114
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                  ;
2115
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2116
; Slack  ; Actual Width ; Required Width ; Type            ; Clock                           ; Clock Edge ; Target                                                                                                        ;
2117
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2118
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ;
2119
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ;
2120
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0                      ;
2121
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ;
2122
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1                      ;
2123
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10                     ;
2124
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
2125
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11                     ;
2126
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ;
2127
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12                     ;
2128
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ;
2129
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13                     ;
2130
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0  ;
2131
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14                     ;
2132
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ;
2133
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15                     ;
2134
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ;
2135
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ;
2136
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2                      ;
2137
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ;
2138
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3                      ;
2139
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
2140
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4                      ;
2141
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ;
2142
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
2143
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
2144
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6                      ;
2145
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ;
2146
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7                      ;
2147
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ;
2148
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
2149
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
2150
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9                      ;
2151
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ;
2152
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ;
2153
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ;
2154
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ;
2155
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ;
2156
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ;
2157
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ;
2158
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ;
2159
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ;
2160
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ;
2161
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ;
2162
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ;
2163
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ;
2164
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ;
2165
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ;
2166
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ;
2167
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ;
2168
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ;
2169
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ;
2170
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ;
2171
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ;
2172
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ;
2173
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ;
2174
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ;
2175
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ;
2176
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ;
2177
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ;
2178
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ;
2179
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ;
2180
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ;
2181
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ;
2182
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ;
2183
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ;
2184
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ;
2185
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ;
2186
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ;
2187
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ;
2188
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ;
2189
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ;
2190
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ;
2191
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ;
2192
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ;
2193
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ;
2194
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ;
2195
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ;
2196
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ;
2197
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                            ;
2198
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                            ;
2199
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ;
2200
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ;
2201
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ;
2202
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ;
2203
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ;
2204
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ;
2205
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ;
2206
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ;
2207
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ;
2208
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ;
2209
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                            ;
2210
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_v                                                            ;
2211
; 0.183  ; 0.418        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
2212
; 0.183  ; 0.418        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ;
2213
; 0.183  ; 0.418        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ;
2214
; 0.183  ; 0.418        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
2215
; 0.184  ; 0.419        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ;
2216
; 0.184  ; 0.419        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ;
2217
; 0.184  ; 0.419        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
2218
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2219
 
2220
 
2221
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2222
; Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                  ;
2223
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2224
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
2225
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2226
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2227
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2228
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2229
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2230
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2231
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2232
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2233
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2234
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2235
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2236
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2237
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2238
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2239
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2240
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2241
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2242
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2243
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2244
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2245
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2246
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2247
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2248
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2249
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2250
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2251
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2252
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2253
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2254
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2255
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2256
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2257
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2258
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2259
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2260
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2261
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2262
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2263
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2264
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2265
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2266
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2267
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2268
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2269
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2270
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2271
; 0.283  ; 0.503        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2272
; 0.306  ; 0.494        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2273
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2274
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2275
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2276
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2277
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2278
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2279
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2280
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2281
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2282
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2283
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2284
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2285
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2286
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2287
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2288
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2289
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2290
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2291
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2292
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2293
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2294
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2295
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
2296
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
2297
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
2298
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
2299
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
2300
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
2301
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
2302
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
2303
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
2304
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
2305
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
2306
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
2307
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
2308
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
2309
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
2310
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
2311
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
2312
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
2313
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
2314
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
2315
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
2316
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
2317
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
2318
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
2319
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
2320
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
2321
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
2322
; 0.538  ; 0.538        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
2323
; 0.538  ; 0.538        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
2324
; 0.538  ; 0.538        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
2325
; 0.538  ; 0.538        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
2326
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2327
 
2328
 
2329
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2330
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                        ;
2331
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2332
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
2333
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2334
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2335
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2336
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2337
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2338
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2339
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2340
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2341
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2342
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2343
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2344
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2345
; 0.272  ; 0.460        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2346
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2347
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2348
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2349
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2350
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2351
; 0.316  ; 0.536        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2352
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
2353
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
2354
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
2355
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
2356
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
2357
; 0.425  ; 0.425        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
2358
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
2359
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
2360
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
2361
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
2362
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
2363
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
2364
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
2365
; 0.572  ; 0.572        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
2366
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2367
 
2368
 
2369
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
2370
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                         ;
2371
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2372
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                              ;
2373
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2374
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2375
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2376
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2377
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2378
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2379
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2380
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2381
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2382
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2383
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2384
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2385
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2386
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
2387
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
2388
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
2389
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
2390
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
2391
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
2392
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
2393
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
2394
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
2395
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
2396
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2397
 
2398
 
2399
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2400
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                        ;
2401
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2402
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
2403
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2404
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2405
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2406
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2407
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2408
; 0.274  ; 0.494        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2409
; 0.274  ; 0.494        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2410
; 0.274  ; 0.494        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2411
; 0.274  ; 0.494        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2412
; 0.315  ; 0.503        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2413
; 0.315  ; 0.503        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2414
; 0.315  ; 0.503        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2415
; 0.315  ; 0.503        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2416
; 0.468  ; 0.468        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
2417
; 0.468  ; 0.468        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
2418
; 0.468  ; 0.468        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
2419
; 0.468  ; 0.468        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
2420
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
2421
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
2422
; 0.530  ; 0.530        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
2423
; 0.530  ; 0.530        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
2424
; 0.530  ; 0.530        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
2425
; 0.530  ; 0.530        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
2426
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2427
 
2428
 
2429
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2430
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                         ;
2431
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2432
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                              ;
2433
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2434
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2435
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2436
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2437
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2438
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2439
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2440
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2441
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2442
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2443
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2444
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2445
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2446
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
2447
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
2448
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
2449
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
2450
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
2451
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
2452
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
2453
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
2454
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
2455
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
2456
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2457
 
2458
 
2459
+----------------------------------------------------------------------------------------------------------------------------------------------------+
2460
; Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                          ;
2461
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2462
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                               ;
2463
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2464
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2465
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2466
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2467
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2468
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2469
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2470
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2471
; 0.271  ; 0.491        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2472
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2473
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2474
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2475
; 0.319  ; 0.507        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2476
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
2477
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
2478
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
2479
; 0.472  ; 0.472        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
2480
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
2481
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
2482
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
2483
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
2484
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
2485
; 0.527  ; 0.527        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
2486
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2487
 
2488
 
2489
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2490
; Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                      ;
2491
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2492
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
2493
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2494
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2495
; 0.261  ; 0.481        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2496
; 0.329  ; 0.517        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2497
; 0.482  ; 0.482        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
2498
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
2499
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
2500
; 0.517  ; 0.517        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
2501
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2502
 
2503
 
2504
+-----------------------------------------------------------------------------------------------------------------------------+
2505
; Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'                                                                                ;
2506
+-------+--------------+----------------+------------------+-----------------------+------------+-----------------------------+
2507
; Slack ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target                      ;
2508
+-------+--------------+----------------+------------------+-----------------------+------------+-----------------------------+
2509
; 0.261 ; 0.261        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][7]               ;
2510
; 0.264 ; 0.264        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][4]               ;
2511
; 0.268 ; 0.268        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][4]|datac         ;
2512
; 0.268 ; 0.268        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][7]|datac         ;
2513
; 0.274 ; 0.274        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][1]               ;
2514
; 0.274 ; 0.274        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][5]               ;
2515
; 0.275 ; 0.275        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][3]               ;
2516
; 0.281 ; 0.281        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][1]|datac         ;
2517
; 0.281 ; 0.281        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][5]|datac         ;
2518
; 0.282 ; 0.282        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][3]|datac         ;
2519
; 0.282 ; 0.282        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][2]               ;
2520
; 0.282 ; 0.282        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][6]               ;
2521
; 0.287 ; 0.287        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][4]               ;
2522
; 0.289 ; 0.289        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][7]               ;
2523
; 0.301 ; 0.301        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][2]|datab         ;
2524
; 0.301 ; 0.301        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][6]|datab         ;
2525
; 0.302 ; 0.302        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][2]               ;
2526
; 0.302 ; 0.302        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][6]               ;
2527
; 0.304 ; 0.304        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][7]              ;
2528
; 0.309 ; 0.309        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][0]|datab         ;
2529
; 0.313 ; 0.313        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][0]               ;
2530
; 0.316 ; 0.316        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][4]|datad         ;
2531
; 0.318 ; 0.318        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][1]               ;
2532
; 0.318 ; 0.318        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][3]               ;
2533
; 0.318 ; 0.318        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][7]|datad         ;
2534
; 0.322 ; 0.322        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][5]|dataa         ;
2535
; 0.323 ; 0.323        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~30|combout         ;
2536
; 0.327 ; 0.327        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~34|combout         ;
2537
; 0.331 ; 0.331        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][3]              ;
2538
; 0.333 ; 0.333        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][7]|datad        ;
2539
; 0.334 ; 0.334        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][2]|dataa         ;
2540
; 0.334 ; 0.334        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][6]|dataa         ;
2541
; 0.335 ; 0.335        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][0]|dataa         ;
2542
; 0.336 ; 0.336        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][4]              ;
2543
; 0.338 ; 0.338        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][3]|datac        ;
2544
; 0.338 ; 0.338        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][5]               ;
2545
; 0.343 ; 0.343        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][5]              ;
2546
; 0.346 ; 0.346        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][0]              ;
2547
; 0.347 ; 0.347        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][0]              ;
2548
; 0.347 ; 0.347        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][1]|datad         ;
2549
; 0.347 ; 0.347        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][3]|datad         ;
2550
; 0.350 ; 0.350        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][5]|datac        ;
2551
; 0.351 ; 0.351        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][0]               ;
2552
; 0.352 ; 0.352        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~30|datad           ;
2553
; 0.354 ; 0.354        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][0]              ;
2554
; 0.355 ; 0.355        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][6]|datab        ;
2555
; 0.356 ; 0.356        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~25clkctrl|inclk[0] ;
2556
; 0.356 ; 0.356        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~25clkctrl|outclk   ;
2557
; 0.356 ; 0.356        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~34|datad           ;
2558
; 0.356 ; 0.356        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][3]              ;
2559
; 0.357 ; 0.357        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][4]              ;
2560
; 0.359 ; 0.359        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][6]              ;
2561
; 0.359 ; 0.359        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][6]              ;
2562
; 0.359 ; 0.359        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][1]|datad         ;
2563
; 0.359 ; 0.359        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][5]|datad         ;
2564
; 0.360 ; 0.360        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][3]|datad         ;
2565
; 0.361 ; 0.361        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][2]              ;
2566
; 0.361 ; 0.361        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][2]|datab        ;
2567
; 0.361 ; 0.361        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][0]|datac        ;
2568
; 0.361 ; 0.361        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][4]|datad         ;
2569
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][0]|datad         ;
2570
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][2]|datad         ;
2571
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][6]|datad         ;
2572
; 0.363 ; 0.363        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][7]|datad         ;
2573
; 0.365 ; 0.365        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][2]              ;
2574
; 0.366 ; 0.366        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[11][3]|datab        ;
2575
; 0.366 ; 0.366        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][0]|datab        ;
2576
; 0.367 ; 0.367        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[24][3]              ;
2577
; 0.367 ; 0.367        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[24][4]              ;
2578
; 0.368 ; 0.368        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][4]|dataa        ;
2579
; 0.368 ; 0.368        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][7]|dataa        ;
2580
; 0.369 ; 0.369        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][2]|dataa        ;
2581
; 0.370 ; 0.370        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[24][6]              ;
2582
; 0.371 ; 0.371        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][5]              ;
2583
; 0.373 ; 0.373        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[24][5]              ;
2584
; 0.373 ; 0.373        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[24][7]              ;
2585
; 0.375 ; 0.375        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][2]|dataa        ;
2586
; 0.377 ; 0.377        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][4]              ;
2587
; 0.378 ; 0.378        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[11][5]|datac        ;
2588
; 0.378 ; 0.378        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][0]|dataa        ;
2589
; 0.378 ; 0.378        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][5]              ;
2590
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][1]              ;
2591
; 0.379 ; 0.379        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][1]|datab        ;
2592
; 0.380 ; 0.380        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][0]              ;
2593
; 0.380 ; 0.380        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][3]              ;
2594
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][1]              ;
2595
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][1]              ;
2596
; 0.384 ; 0.384        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][3]              ;
2597
; 0.384 ; 0.384        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][5]              ;
2598
; 0.384 ; 0.384        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][7]              ;
2599
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][2]              ;
2600
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][4]              ;
2601
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][6]              ;
2602
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][1]|dataa        ;
2603
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[22][2]              ;
2604
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][3]|datac        ;
2605
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[22][5]|datac        ;
2606
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][5]               ;
2607
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][7]               ;
2608
; 0.388 ; 0.388        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~28clkctrl|inclk[0] ;
2609
+-------+--------------+----------------+------------------+-----------------------+------------+-----------------------------+
2610
 
2611
 
2612
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2613
; Setup Times                                                                                                                                                            ;
2614
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2615
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
2616
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2617
; PS2_CLK     ; CLOCK_50                                                    ; 2.341  ; 2.857  ; Rise       ; CLOCK_50                                                    ;
2618
; SW[*]       ; CLOCK_50                                                    ; 4.575  ; 5.177  ; Rise       ; CLOCK_50                                                    ;
2619
;  SW[14]     ; CLOCK_50                                                    ; 3.320  ; 3.934  ; Rise       ; CLOCK_50                                                    ;
2620
;  SW[17]     ; CLOCK_50                                                    ; 4.575  ; 5.177  ; Rise       ; CLOCK_50                                                    ;
2621
; KEY[*]      ; SW[15]                                                      ; 2.193  ; 2.664  ; Rise       ; SW[15]                                                      ;
2622
;  KEY[0]     ; SW[15]                                                      ; 2.193  ; 2.664  ; Rise       ; SW[15]                                                      ;
2623
;  KEY[1]     ; SW[15]                                                      ; 1.871  ; 2.463  ; Rise       ; SW[15]                                                      ;
2624
;  KEY[2]     ; SW[15]                                                      ; 1.154  ; 1.705  ; Rise       ; SW[15]                                                      ;
2625
;  KEY[3]     ; SW[15]                                                      ; 1.932  ; 2.453  ; Rise       ; SW[15]                                                      ;
2626
; SRAM_DQ[*]  ; SW[15]                                                      ; 1.842  ; 2.503  ; Rise       ; SW[15]                                                      ;
2627
;  SRAM_DQ[0] ; SW[15]                                                      ; 1.842  ; 2.503  ; Rise       ; SW[15]                                                      ;
2628
;  SRAM_DQ[1] ; SW[15]                                                      ; 1.636  ; 2.251  ; Rise       ; SW[15]                                                      ;
2629
;  SRAM_DQ[2] ; SW[15]                                                      ; 0.686  ; 1.302  ; Rise       ; SW[15]                                                      ;
2630
;  SRAM_DQ[3] ; SW[15]                                                      ; 1.154  ; 1.797  ; Rise       ; SW[15]                                                      ;
2631
;  SRAM_DQ[4] ; SW[15]                                                      ; 0.746  ; 1.365  ; Rise       ; SW[15]                                                      ;
2632
;  SRAM_DQ[5] ; SW[15]                                                      ; 1.501  ; 2.159  ; Rise       ; SW[15]                                                      ;
2633
;  SRAM_DQ[6] ; SW[15]                                                      ; 0.705  ; 1.301  ; Rise       ; SW[15]                                                      ;
2634
;  SRAM_DQ[7] ; SW[15]                                                      ; 0.875  ; 1.428  ; Rise       ; SW[15]                                                      ;
2635
; SW[*]       ; SW[15]                                                      ; 2.796  ; 3.412  ; Rise       ; SW[15]                                                      ;
2636
;  SW[0]      ; SW[15]                                                      ; 2.796  ; 3.412  ; Rise       ; SW[15]                                                      ;
2637
;  SW[1]      ; SW[15]                                                      ; 1.746  ; 2.442  ; Rise       ; SW[15]                                                      ;
2638
;  SW[2]      ; SW[15]                                                      ; 2.380  ; 2.970  ; Rise       ; SW[15]                                                      ;
2639
;  SW[3]      ; SW[15]                                                      ; 2.125  ; 2.714  ; Rise       ; SW[15]                                                      ;
2640
;  SW[4]      ; SW[15]                                                      ; -0.062 ; 0.505  ; Rise       ; SW[15]                                                      ;
2641
;  SW[5]      ; SW[15]                                                      ; 0.786  ; 1.311  ; Rise       ; SW[15]                                                      ;
2642
;  SW[6]      ; SW[15]                                                      ; 1.121  ; 1.690  ; Rise       ; SW[15]                                                      ;
2643
;  SW[7]      ; SW[15]                                                      ; 0.382  ; 1.050  ; Rise       ; SW[15]                                                      ;
2644
;  SW[8]      ; SW[15]                                                      ; 1.932  ; 2.525  ; Rise       ; SW[15]                                                      ;
2645
;  SW[9]      ; SW[15]                                                      ; 2.523  ; 3.099  ; Rise       ; SW[15]                                                      ;
2646
;  SW[10]     ; SW[15]                                                      ; 1.232  ; 1.916  ; Rise       ; SW[15]                                                      ;
2647
;  SW[11]     ; SW[15]                                                      ; 2.740  ; 3.385  ; Rise       ; SW[15]                                                      ;
2648
;  SW[12]     ; SW[15]                                                      ; 0.265  ; 0.903  ; Rise       ; SW[15]                                                      ;
2649
;  SW[13]     ; SW[15]                                                      ; 0.900  ; 1.455  ; Rise       ; SW[15]                                                      ;
2650
;  SW[14]     ; SW[15]                                                      ; 1.133  ; 1.667  ; Rise       ; SW[15]                                                      ;
2651
;  SW[15]     ; SW[15]                                                      ; -1.252 ; -0.837 ; Rise       ; SW[15]                                                      ;
2652
; KEY[*]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.645  ; 6.116  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2653
;  KEY[0]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.645  ; 6.116  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2654
;  KEY[1]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.323  ; 5.915  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2655
;  KEY[2]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.606  ; 5.157  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2656
;  KEY[3]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.384  ; 5.905  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2657
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.294  ; 5.955  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2658
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.294  ; 5.955  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2659
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.088  ; 5.703  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2660
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.138  ; 4.754  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2661
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.606  ; 5.249  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2662
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.198  ; 4.817  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2663
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.953  ; 5.611  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2664
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.157  ; 4.753  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2665
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.327  ; 4.880  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2666
; SW[*]       ; clk_div:clkdiv_inst|clock_100Hz                             ; 6.248  ; 6.864  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2667
;  SW[0]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 6.248  ; 6.864  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2668
;  SW[1]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.198  ; 5.894  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2669
;  SW[2]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.832  ; 6.422  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2670
;  SW[3]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.577  ; 6.166  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2671
;  SW[4]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 3.390  ; 3.957  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2672
;  SW[5]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.238  ; 4.763  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2673
;  SW[6]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.573  ; 5.142  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2674
;  SW[7]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 3.834  ; 4.502  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2675
;  SW[8]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.384  ; 5.977  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2676
;  SW[9]      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5.975  ; 6.551  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2677
;  SW[10]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.684  ; 5.368  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2678
;  SW[11]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 6.192  ; 6.837  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2679
;  SW[12]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 3.717  ; 4.355  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2680
;  SW[13]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.352  ; 4.907  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2681
;  SW[14]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 4.585  ; 5.119  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2682
;  SW[15]     ; clk_div:clkdiv_inst|clock_100Hz                             ; 2.200  ; 2.615  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2683
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.871  ; 4.335  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2684
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 4.560  ; 5.295  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2685
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 4.560  ; 5.295  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2686
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2687
 
2688
 
2689
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2690
; Hold Times                                                                                                                                                             ;
2691
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2692
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
2693
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2694
; PS2_CLK     ; CLOCK_50                                                    ; -1.870 ; -2.369 ; Rise       ; CLOCK_50                                                    ;
2695
; SW[*]       ; CLOCK_50                                                    ; -2.494 ; -3.021 ; Rise       ; CLOCK_50                                                    ;
2696
;  SW[14]     ; CLOCK_50                                                    ; -2.794 ; -3.379 ; Rise       ; CLOCK_50                                                    ;
2697
;  SW[17]     ; CLOCK_50                                                    ; -2.494 ; -3.021 ; Rise       ; CLOCK_50                                                    ;
2698
; KEY[*]      ; SW[15]                                                      ; 0.446  ; -0.079 ; Rise       ; SW[15]                                                      ;
2699
;  KEY[0]     ; SW[15]                                                      ; -0.160 ; -0.621 ; Rise       ; SW[15]                                                      ;
2700
;  KEY[1]     ; SW[15]                                                      ; -0.224 ; -0.773 ; Rise       ; SW[15]                                                      ;
2701
;  KEY[2]     ; SW[15]                                                      ; 0.446  ; -0.079 ; Rise       ; SW[15]                                                      ;
2702
;  KEY[3]     ; SW[15]                                                      ; -0.084 ; -0.568 ; Rise       ; SW[15]                                                      ;
2703
; SRAM_DQ[*]  ; SW[15]                                                      ; 0.970  ; 0.439  ; Rise       ; SW[15]                                                      ;
2704
;  SRAM_DQ[0] ; SW[15]                                                      ; 0.110  ; -0.520 ; Rise       ; SW[15]                                                      ;
2705
;  SRAM_DQ[1] ; SW[15]                                                      ; -0.002 ; -0.582 ; Rise       ; SW[15]                                                      ;
2706
;  SRAM_DQ[2] ; SW[15]                                                      ; 0.902  ; 0.322  ; Rise       ; SW[15]                                                      ;
2707
;  SRAM_DQ[3] ; SW[15]                                                      ; 0.553  ; -0.065 ; Rise       ; SW[15]                                                      ;
2708
;  SRAM_DQ[4] ; SW[15]                                                      ; 0.845  ; 0.241  ; Rise       ; SW[15]                                                      ;
2709
;  SRAM_DQ[5] ; SW[15]                                                      ; 0.367  ; -0.170 ; Rise       ; SW[15]                                                      ;
2710
;  SRAM_DQ[6] ; SW[15]                                                      ; 0.970  ; 0.354  ; Rise       ; SW[15]                                                      ;
2711
;  SRAM_DQ[7] ; SW[15]                                                      ; 0.954  ; 0.439  ; Rise       ; SW[15]                                                      ;
2712
; SW[*]       ; SW[15]                                                      ; 2.962  ; 2.569  ; Rise       ; SW[15]                                                      ;
2713
;  SW[0]      ; SW[15]                                                      ; -0.760 ; -1.325 ; Rise       ; SW[15]                                                      ;
2714
;  SW[1]      ; SW[15]                                                      ; -0.118 ; -0.770 ; Rise       ; SW[15]                                                      ;
2715
;  SW[2]      ; SW[15]                                                      ; -0.668 ; -1.238 ; Rise       ; SW[15]                                                      ;
2716
;  SW[3]      ; SW[15]                                                      ; -0.344 ; -0.903 ; Rise       ; SW[15]                                                      ;
2717
;  SW[4]      ; SW[15]                                                      ; 1.558  ; 1.046  ; Rise       ; SW[15]                                                      ;
2718
;  SW[5]      ; SW[15]                                                      ; 0.918  ; 0.425  ; Rise       ; SW[15]                                                      ;
2719
;  SW[6]      ; SW[15]                                                      ; 0.571  ; 0.001  ; Rise       ; SW[15]                                                      ;
2720
;  SW[7]      ; SW[15]                                                      ; 1.434  ; 0.800  ; Rise       ; SW[15]                                                      ;
2721
;  SW[8]      ; SW[15]                                                      ; -0.022 ; -0.585 ; Rise       ; SW[15]                                                      ;
2722
;  SW[9]      ; SW[15]                                                      ; -0.837 ; -1.392 ; Rise       ; SW[15]                                                      ;
2723
;  SW[10]     ; SW[15]                                                      ; 0.369  ; -0.271 ; Rise       ; SW[15]                                                      ;
2724
;  SW[11]     ; SW[15]                                                      ; -0.846 ; -1.467 ; Rise       ; SW[15]                                                      ;
2725
;  SW[12]     ; SW[15]                                                      ; 1.249  ; 0.663  ; Rise       ; SW[15]                                                      ;
2726
;  SW[13]     ; SW[15]                                                      ; 0.811  ; 0.289  ; Rise       ; SW[15]                                                      ;
2727
;  SW[14]     ; SW[15]                                                      ; 0.520  ; -0.020 ; Rise       ; SW[15]                                                      ;
2728
;  SW[15]     ; SW[15]                                                      ; 2.962  ; 2.569  ; Rise       ; SW[15]                                                      ;
2729
; KEY[*]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.659 ; -4.184 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2730
;  KEY[0]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.265 ; -4.726 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2731
;  KEY[1]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.329 ; -4.878 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2732
;  KEY[2]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.659 ; -4.184 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2733
;  KEY[3]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.189 ; -4.673 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2734
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.135 ; -3.666 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2735
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.995 ; -4.625 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2736
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.107 ; -4.687 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2737
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.203 ; -3.783 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2738
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.552 ; -4.170 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2739
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.260 ; -3.864 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2740
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.738 ; -4.275 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2741
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.135 ; -3.751 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2742
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.151 ; -3.666 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2743
; SW[*]       ; clk_div:clkdiv_inst|clock_100Hz                             ; -1.143 ; -1.536 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2744
;  SW[0]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.865 ; -5.430 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2745
;  SW[1]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.223 ; -4.875 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2746
;  SW[2]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.773 ; -5.343 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2747
;  SW[3]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.449 ; -5.008 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2748
;  SW[4]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -2.547 ; -3.059 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2749
;  SW[5]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.187 ; -3.680 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2750
;  SW[6]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.534 ; -4.104 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2751
;  SW[7]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -2.671 ; -3.305 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2752
;  SW[8]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.127 ; -4.690 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2753
;  SW[9]      ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.942 ; -5.497 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2754
;  SW[10]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.736 ; -4.376 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2755
;  SW[11]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -4.951 ; -5.572 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2756
;  SW[12]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -2.856 ; -3.442 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2757
;  SW[13]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.294 ; -3.816 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2758
;  SW[14]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -3.585 ; -4.125 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2759
;  SW[15]     ; clk_div:clkdiv_inst|clock_100Hz                             ; -1.143 ; -1.536 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
2760
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.298 ; -1.787 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2761
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.404 ; -3.049 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2762
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.404 ; -3.049 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2763
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2764
 
2765
 
2766
+-------------------------------------------------------------------------------------------------------------------+
2767
; Clock to Output Times                                                                                             ;
2768
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2769
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
2770
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2771
; LCD_DATA[*]    ; CLOCK_50                        ; 10.328 ; 10.123 ; Rise       ; CLOCK_50                        ;
2772
;  LCD_DATA[0]   ; CLOCK_50                        ; 7.983  ; 7.880  ; Rise       ; CLOCK_50                        ;
2773
;  LCD_DATA[1]   ; CLOCK_50                        ; 8.532  ; 8.378  ; Rise       ; CLOCK_50                        ;
2774
;  LCD_DATA[2]   ; CLOCK_50                        ; 9.353  ; 9.246  ; Rise       ; CLOCK_50                        ;
2775
;  LCD_DATA[3]   ; CLOCK_50                        ; 8.484  ; 8.484  ; Rise       ; CLOCK_50                        ;
2776
;  LCD_DATA[4]   ; CLOCK_50                        ; 9.099  ; 9.156  ; Rise       ; CLOCK_50                        ;
2777
;  LCD_DATA[5]   ; CLOCK_50                        ; 8.716  ; 8.745  ; Rise       ; CLOCK_50                        ;
2778
;  LCD_DATA[6]   ; CLOCK_50                        ; 10.328 ; 10.123 ; Rise       ; CLOCK_50                        ;
2779
;  LCD_DATA[7]   ; CLOCK_50                        ; 9.415  ; 9.270  ; Rise       ; CLOCK_50                        ;
2780
; LCD_EN         ; CLOCK_50                        ; 7.401  ; 7.465  ; Rise       ; CLOCK_50                        ;
2781
; LCD_ON         ; CLOCK_50                        ; 10.968 ; 10.828 ; Rise       ; CLOCK_50                        ;
2782
; LCD_RS         ; CLOCK_50                        ; 9.708  ; 9.634  ; Rise       ; CLOCK_50                        ;
2783
; HEX0[*]        ; SW[15]                          ; 20.694 ; 20.735 ; Rise       ; SW[15]                          ;
2784
;  HEX0[0]       ; SW[15]                          ; 18.632 ; 18.446 ; Rise       ; SW[15]                          ;
2785
;  HEX0[1]       ; SW[15]                          ; 20.694 ; 20.735 ; Rise       ; SW[15]                          ;
2786
;  HEX0[2]       ; SW[15]                          ; 18.808 ; 18.599 ; Rise       ; SW[15]                          ;
2787
;  HEX0[3]       ; SW[15]                          ; 19.491 ; 19.029 ; Rise       ; SW[15]                          ;
2788
;  HEX0[4]       ; SW[15]                          ; 16.396 ; 16.391 ; Rise       ; SW[15]                          ;
2789
;  HEX0[5]       ; SW[15]                          ; 18.638 ; 18.347 ; Rise       ; SW[15]                          ;
2790
;  HEX0[6]       ; SW[15]                          ; 17.191 ; 17.091 ; Rise       ; SW[15]                          ;
2791
; HEX1[*]        ; SW[15]                          ; 19.290 ; 19.595 ; Rise       ; SW[15]                          ;
2792
;  HEX1[0]       ; SW[15]                          ; 16.583 ; 16.517 ; Rise       ; SW[15]                          ;
2793
;  HEX1[1]       ; SW[15]                          ; 16.636 ; 16.485 ; Rise       ; SW[15]                          ;
2794
;  HEX1[2]       ; SW[15]                          ; 17.760 ; 17.454 ; Rise       ; SW[15]                          ;
2795
;  HEX1[3]       ; SW[15]                          ; 17.599 ; 17.233 ; Rise       ; SW[15]                          ;
2796
;  HEX1[4]       ; SW[15]                          ; 16.230 ; 16.170 ; Rise       ; SW[15]                          ;
2797
;  HEX1[5]       ; SW[15]                          ; 19.290 ; 18.800 ; Rise       ; SW[15]                          ;
2798
;  HEX1[6]       ; SW[15]                          ; 18.950 ; 19.595 ; Rise       ; SW[15]                          ;
2799
; HEX2[*]        ; SW[15]                          ; 17.823 ; 17.849 ; Rise       ; SW[15]                          ;
2800
;  HEX2[0]       ; SW[15]                          ; 17.199 ; 17.018 ; Rise       ; SW[15]                          ;
2801
;  HEX2[1]       ; SW[15]                          ; 16.509 ; 16.412 ; Rise       ; SW[15]                          ;
2802
;  HEX2[2]       ; SW[15]                          ; 17.096 ; 16.815 ; Rise       ; SW[15]                          ;
2803
;  HEX2[3]       ; SW[15]                          ; 17.740 ; 17.473 ; Rise       ; SW[15]                          ;
2804
;  HEX2[4]       ; SW[15]                          ; 15.869 ; 15.781 ; Rise       ; SW[15]                          ;
2805
;  HEX2[5]       ; SW[15]                          ; 17.823 ; 17.441 ; Rise       ; SW[15]                          ;
2806
;  HEX2[6]       ; SW[15]                          ; 17.491 ; 17.849 ; Rise       ; SW[15]                          ;
2807
; HEX3[*]        ; SW[15]                          ; 18.587 ; 18.488 ; Rise       ; SW[15]                          ;
2808
;  HEX3[0]       ; SW[15]                          ; 17.620 ; 17.201 ; Rise       ; SW[15]                          ;
2809
;  HEX3[1]       ; SW[15]                          ; 16.486 ; 16.419 ; Rise       ; SW[15]                          ;
2810
;  HEX3[2]       ; SW[15]                          ; 18.522 ; 18.128 ; Rise       ; SW[15]                          ;
2811
;  HEX3[3]       ; SW[15]                          ; 16.595 ; 16.580 ; Rise       ; SW[15]                          ;
2812
;  HEX3[4]       ; SW[15]                          ; 18.587 ; 18.488 ; Rise       ; SW[15]                          ;
2813
;  HEX3[5]       ; SW[15]                          ; 17.148 ; 17.110 ; Rise       ; SW[15]                          ;
2814
;  HEX3[6]       ; SW[15]                          ; 17.509 ; 17.586 ; Rise       ; SW[15]                          ;
2815
; HEX4[*]        ; SW[15]                          ; 17.409 ; 17.183 ; Rise       ; SW[15]                          ;
2816
;  HEX4[0]       ; SW[15]                          ; 16.409 ; 16.350 ; Rise       ; SW[15]                          ;
2817
;  HEX4[1]       ; SW[15]                          ; 16.217 ; 16.196 ; Rise       ; SW[15]                          ;
2818
;  HEX4[2]       ; SW[15]                          ; 16.005 ; 16.011 ; Rise       ; SW[15]                          ;
2819
;  HEX4[3]       ; SW[15]                          ; 17.409 ; 17.166 ; Rise       ; SW[15]                          ;
2820
;  HEX4[4]       ; SW[15]                          ; 16.351 ; 16.213 ; Rise       ; SW[15]                          ;
2821
;  HEX4[5]       ; SW[15]                          ; 17.307 ; 17.183 ; Rise       ; SW[15]                          ;
2822
;  HEX4[6]       ; SW[15]                          ; 15.414 ; 15.421 ; Rise       ; SW[15]                          ;
2823
; HEX5[*]        ; SW[15]                          ; 18.588 ; 18.222 ; Rise       ; SW[15]                          ;
2824
;  HEX5[0]       ; SW[15]                          ; 16.615 ; 16.404 ; Rise       ; SW[15]                          ;
2825
;  HEX5[1]       ; SW[15]                          ; 18.588 ; 18.222 ; Rise       ; SW[15]                          ;
2826
;  HEX5[2]       ; SW[15]                          ; 18.050 ; 17.857 ; Rise       ; SW[15]                          ;
2827
;  HEX5[3]       ; SW[15]                          ; 16.392 ; 16.298 ; Rise       ; SW[15]                          ;
2828
;  HEX5[4]       ; SW[15]                          ; 16.916 ; 16.684 ; Rise       ; SW[15]                          ;
2829
;  HEX5[5]       ; SW[15]                          ; 16.650 ; 16.409 ; Rise       ; SW[15]                          ;
2830
;  HEX5[6]       ; SW[15]                          ; 16.541 ; 16.586 ; Rise       ; SW[15]                          ;
2831
; HEX6[*]        ; SW[15]                          ; 17.065 ; 16.615 ; Rise       ; SW[15]                          ;
2832
;  HEX6[0]       ; SW[15]                          ; 16.244 ; 16.020 ; Rise       ; SW[15]                          ;
2833
;  HEX6[1]       ; SW[15]                          ; 14.686 ; 14.649 ; Rise       ; SW[15]                          ;
2834
;  HEX6[2]       ; SW[15]                          ; 14.789 ; 14.611 ; Rise       ; SW[15]                          ;
2835
;  HEX6[3]       ; SW[15]                          ; 15.916 ; 15.736 ; Rise       ; SW[15]                          ;
2836
;  HEX6[4]       ; SW[15]                          ; 14.959 ; 14.808 ; Rise       ; SW[15]                          ;
2837
;  HEX6[5]       ; SW[15]                          ; 17.065 ; 16.615 ; Rise       ; SW[15]                          ;
2838
;  HEX6[6]       ; SW[15]                          ; 15.067 ; 15.157 ; Rise       ; SW[15]                          ;
2839
; HEX7[*]        ; SW[15]                          ; 15.350 ; 15.101 ; Rise       ; SW[15]                          ;
2840
;  HEX7[0]       ; SW[15]                          ; 15.350 ; 15.101 ; Rise       ; SW[15]                          ;
2841
;  HEX7[1]       ; SW[15]                          ; 14.804 ; 14.671 ; Rise       ; SW[15]                          ;
2842
;  HEX7[2]       ; SW[15]                          ; 14.361 ; 14.194 ; Rise       ; SW[15]                          ;
2843
;  HEX7[3]       ; SW[15]                          ; 14.395 ; 14.233 ; Rise       ; SW[15]                          ;
2844
;  HEX7[4]       ; SW[15]                          ; 14.876 ; 14.739 ; Rise       ; SW[15]                          ;
2845
;  HEX7[5]       ; SW[15]                          ; 14.919 ; 14.707 ; Rise       ; SW[15]                          ;
2846
;  HEX7[6]       ; SW[15]                          ; 14.667 ; 14.850 ; Rise       ; SW[15]                          ;
2847
; LEDG[*]        ; SW[15]                          ; 17.685 ; 17.552 ; Rise       ; SW[15]                          ;
2848
;  LEDG[0]       ; SW[15]                          ; 16.046 ; 16.156 ; Rise       ; SW[15]                          ;
2849
;  LEDG[1]       ; SW[15]                          ; 16.128 ; 16.251 ; Rise       ; SW[15]                          ;
2850
;  LEDG[2]       ; SW[15]                          ; 16.148 ; 16.267 ; Rise       ; SW[15]                          ;
2851
;  LEDG[3]       ; SW[15]                          ; 16.894 ; 16.944 ; Rise       ; SW[15]                          ;
2852
;  LEDG[4]       ; SW[15]                          ; 15.635 ; 15.788 ; Rise       ; SW[15]                          ;
2853
;  LEDG[5]       ; SW[15]                          ; 16.102 ; 16.206 ; Rise       ; SW[15]                          ;
2854
;  LEDG[6]       ; SW[15]                          ; 17.685 ; 17.552 ; Rise       ; SW[15]                          ;
2855
;  LEDG[7]       ; SW[15]                          ; 16.877 ; 16.803 ; Rise       ; SW[15]                          ;
2856
; LEDR[*]        ; SW[15]                          ; 19.029 ; 19.041 ; Rise       ; SW[15]                          ;
2857
;  LEDR[0]       ; SW[15]                          ; 17.286 ; 17.165 ; Rise       ; SW[15]                          ;
2858
;  LEDR[1]       ; SW[15]                          ; 15.773 ; 15.896 ; Rise       ; SW[15]                          ;
2859
;  LEDR[2]       ; SW[15]                          ; 17.424 ; 17.325 ; Rise       ; SW[15]                          ;
2860
;  LEDR[3]       ; SW[15]                          ; 17.350 ; 17.369 ; Rise       ; SW[15]                          ;
2861
;  LEDR[4]       ; SW[15]                          ; 16.061 ; 16.114 ; Rise       ; SW[15]                          ;
2862
;  LEDR[5]       ; SW[15]                          ; 15.924 ; 15.967 ; Rise       ; SW[15]                          ;
2863
;  LEDR[6]       ; SW[15]                          ; 16.137 ; 16.146 ; Rise       ; SW[15]                          ;
2864
;  LEDR[7]       ; SW[15]                          ; 15.817 ; 15.843 ; Rise       ; SW[15]                          ;
2865
;  LEDR[8]       ; SW[15]                          ; 17.857 ; 17.698 ; Rise       ; SW[15]                          ;
2866
;  LEDR[9]       ; SW[15]                          ; 17.913 ; 18.061 ; Rise       ; SW[15]                          ;
2867
;  LEDR[10]      ; SW[15]                          ; 17.252 ; 17.119 ; Rise       ; SW[15]                          ;
2868
;  LEDR[11]      ; SW[15]                          ; 15.848 ; 15.940 ; Rise       ; SW[15]                          ;
2869
;  LEDR[12]      ; SW[15]                          ; 15.357 ; 15.435 ; Rise       ; SW[15]                          ;
2870
;  LEDR[13]      ; SW[15]                          ; 15.735 ; 15.764 ; Rise       ; SW[15]                          ;
2871
;  LEDR[14]      ; SW[15]                          ; 17.348 ; 17.214 ; Rise       ; SW[15]                          ;
2872
;  LEDR[15]      ; SW[15]                          ; 19.029 ; 19.041 ; Rise       ; SW[15]                          ;
2873
; SRAM_ADDR[*]   ; SW[15]                          ; 19.577 ; 19.569 ; Rise       ; SW[15]                          ;
2874
;  SRAM_ADDR[0]  ; SW[15]                          ; 12.822 ; 12.869 ; Rise       ; SW[15]                          ;
2875
;  SRAM_ADDR[1]  ; SW[15]                          ; 13.849 ; 13.940 ; Rise       ; SW[15]                          ;
2876
;  SRAM_ADDR[2]  ; SW[15]                          ; 13.122 ; 13.206 ; Rise       ; SW[15]                          ;
2877
;  SRAM_ADDR[3]  ; SW[15]                          ; 12.818 ; 12.873 ; Rise       ; SW[15]                          ;
2878
;  SRAM_ADDR[4]  ; SW[15]                          ; 13.732 ; 13.854 ; Rise       ; SW[15]                          ;
2879
;  SRAM_ADDR[5]  ; SW[15]                          ; 19.258 ; 19.073 ; Rise       ; SW[15]                          ;
2880
;  SRAM_ADDR[6]  ; SW[15]                          ; 13.293 ; 13.312 ; Rise       ; SW[15]                          ;
2881
;  SRAM_ADDR[7]  ; SW[15]                          ; 13.388 ; 13.424 ; Rise       ; SW[15]                          ;
2882
;  SRAM_ADDR[8]  ; SW[15]                          ; 19.212 ; 19.153 ; Rise       ; SW[15]                          ;
2883
;  SRAM_ADDR[9]  ; SW[15]                          ; 15.378 ; 15.091 ; Rise       ; SW[15]                          ;
2884
;  SRAM_ADDR[10] ; SW[15]                          ; 19.114 ; 19.124 ; Rise       ; SW[15]                          ;
2885
;  SRAM_ADDR[11] ; SW[15]                          ; 19.577 ; 19.547 ; Rise       ; SW[15]                          ;
2886
;  SRAM_ADDR[12] ; SW[15]                          ; 18.397 ; 18.075 ; Rise       ; SW[15]                          ;
2887
;  SRAM_ADDR[13] ; SW[15]                          ; 19.570 ; 19.569 ; Rise       ; SW[15]                          ;
2888
;  SRAM_ADDR[14] ; SW[15]                          ; 14.106 ; 14.028 ; Rise       ; SW[15]                          ;
2889
;  SRAM_ADDR[15] ; SW[15]                          ; 17.621 ; 18.177 ; Rise       ; SW[15]                          ;
2890
; SRAM_DQ[*]     ; SW[15]                          ; 18.759 ; 18.689 ; Rise       ; SW[15]                          ;
2891
;  SRAM_DQ[0]    ; SW[15]                          ; 18.027 ; 17.975 ; Rise       ; SW[15]                          ;
2892
;  SRAM_DQ[1]    ; SW[15]                          ; 17.692 ; 17.628 ; Rise       ; SW[15]                          ;
2893
;  SRAM_DQ[2]    ; SW[15]                          ; 18.759 ; 18.689 ; Rise       ; SW[15]                          ;
2894
;  SRAM_DQ[3]    ; SW[15]                          ; 17.063 ; 17.063 ; Rise       ; SW[15]                          ;
2895
;  SRAM_DQ[4]    ; SW[15]                          ; 17.938 ; 17.959 ; Rise       ; SW[15]                          ;
2896
;  SRAM_DQ[5]    ; SW[15]                          ; 16.165 ; 16.239 ; Rise       ; SW[15]                          ;
2897
;  SRAM_DQ[6]    ; SW[15]                          ; 18.420 ; 18.496 ; Rise       ; SW[15]                          ;
2898
;  SRAM_DQ[7]    ; SW[15]                          ; 17.168 ; 17.137 ; Rise       ; SW[15]                          ;
2899
; SRAM_OE_N      ; SW[15]                          ; 21.939 ; 21.616 ; Rise       ; SW[15]                          ;
2900
; SRAM_WE_N      ; SW[15]                          ; 15.678 ; 15.826 ; Rise       ; SW[15]                          ;
2901
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 11.836 ; Rise       ; T80se:z80_inst|MREQ_n           ;
2902
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 9.592  ; Rise       ; T80se:z80_inst|MREQ_n           ;
2903
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 12.124 ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
2904
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 9.401  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
2905
; HEX0[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 16.589 ; 16.630 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2906
;  HEX0[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.527 ; 14.341 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2907
;  HEX0[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 16.589 ; 16.630 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2908
;  HEX0[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.703 ; 14.494 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2909
;  HEX0[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 15.386 ; 14.924 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2910
;  HEX0[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.291 ; 12.286 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2911
;  HEX0[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.533 ; 14.242 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2912
;  HEX0[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.086 ; 12.986 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2913
; HEX1[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 15.185 ; 15.490 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2914
;  HEX1[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.478 ; 12.412 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2915
;  HEX1[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.531 ; 12.380 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2916
;  HEX1[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.655 ; 13.349 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2917
;  HEX1[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.494 ; 13.128 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2918
;  HEX1[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.125 ; 12.065 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2919
;  HEX1[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 15.185 ; 14.695 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2920
;  HEX1[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.845 ; 15.490 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2921
; HEX2[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 13.718 ; 13.744 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2922
;  HEX2[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.094 ; 12.913 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2923
;  HEX2[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.404 ; 12.307 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2924
;  HEX2[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.991 ; 12.710 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2925
;  HEX2[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.635 ; 13.368 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2926
;  HEX2[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.764 ; 11.676 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2927
;  HEX2[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.718 ; 13.336 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2928
;  HEX2[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.386 ; 13.744 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2929
; HEX3[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 14.482 ; 14.383 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2930
;  HEX3[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.515 ; 13.096 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2931
;  HEX3[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.381 ; 12.314 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2932
;  HEX3[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.417 ; 14.023 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2933
;  HEX3[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.490 ; 12.475 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2934
;  HEX3[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.482 ; 14.383 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2935
;  HEX3[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.043 ; 13.005 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2936
;  HEX3[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.404 ; 13.481 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2937
; HEX4[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 13.304 ; 13.078 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2938
;  HEX4[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.304 ; 12.245 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2939
;  HEX4[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.112 ; 12.091 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2940
;  HEX4[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.900 ; 11.906 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2941
;  HEX4[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.304 ; 13.061 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2942
;  HEX4[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.246 ; 12.108 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2943
;  HEX4[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.202 ; 13.078 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2944
;  HEX4[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.309 ; 11.316 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2945
; HEX5[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 14.483 ; 14.117 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2946
;  HEX5[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.510 ; 12.299 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2947
;  HEX5[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.483 ; 14.117 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2948
;  HEX5[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.945 ; 13.752 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2949
;  HEX5[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.287 ; 12.193 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2950
;  HEX5[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.811 ; 12.579 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2951
;  HEX5[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.545 ; 12.304 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2952
;  HEX5[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.436 ; 12.481 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2953
; HEX6[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 12.960 ; 12.510 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2954
;  HEX6[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.139 ; 11.915 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2955
;  HEX6[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.581 ; 10.544 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2956
;  HEX6[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.684 ; 10.506 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2957
;  HEX6[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.811 ; 11.631 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2958
;  HEX6[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.854 ; 10.703 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2959
;  HEX6[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.960 ; 12.510 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2960
;  HEX6[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.962 ; 11.052 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2961
; HEX7[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.245 ; 10.996 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2962
;  HEX7[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.245 ; 10.996 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2963
;  HEX7[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.699 ; 10.566 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2964
;  HEX7[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.256 ; 10.089 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2965
;  HEX7[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.290 ; 10.128 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2966
;  HEX7[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.771 ; 10.634 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2967
;  HEX7[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.814 ; 10.602 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2968
;  HEX7[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.562 ; 10.745 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2969
; LEDG[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 13.580 ; 13.447 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2970
;  LEDG[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.941 ; 12.051 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2971
;  LEDG[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.023 ; 12.146 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2972
;  LEDG[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.043 ; 12.162 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2973
;  LEDG[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.789 ; 12.839 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2974
;  LEDG[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.530 ; 11.683 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2975
;  LEDG[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.997 ; 12.101 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2976
;  LEDG[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.580 ; 13.447 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2977
;  LEDG[7]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.772 ; 12.698 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2978
; LEDR[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 14.924 ; 14.936 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2979
;  LEDR[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.181 ; 13.060 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2980
;  LEDR[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.668 ; 11.791 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2981
;  LEDR[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.319 ; 13.220 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2982
;  LEDR[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.245 ; 13.264 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2983
;  LEDR[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.956 ; 12.009 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2984
;  LEDR[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.819 ; 11.862 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2985
;  LEDR[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.032 ; 12.041 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2986
;  LEDR[7]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.712 ; 11.738 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2987
;  LEDR[8]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.752 ; 13.593 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2988
;  LEDR[9]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.808 ; 13.956 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2989
;  LEDR[10]      ; clk_div:clkdiv_inst|clock_100Hz ; 13.147 ; 13.014 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2990
;  LEDR[11]      ; clk_div:clkdiv_inst|clock_100Hz ; 11.743 ; 11.835 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2991
;  LEDR[12]      ; clk_div:clkdiv_inst|clock_100Hz ; 11.252 ; 11.330 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2992
;  LEDR[13]      ; clk_div:clkdiv_inst|clock_100Hz ; 11.630 ; 11.659 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2993
;  LEDR[14]      ; clk_div:clkdiv_inst|clock_100Hz ; 13.243 ; 13.109 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2994
;  LEDR[15]      ; clk_div:clkdiv_inst|clock_100Hz ; 14.924 ; 14.936 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2995
; SRAM_ADDR[*]   ; clk_div:clkdiv_inst|clock_100Hz ; 15.472 ; 15.464 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2996
;  SRAM_ADDR[0]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.717  ; 8.764  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2997
;  SRAM_ADDR[1]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.744  ; 9.835  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2998
;  SRAM_ADDR[2]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.017  ; 9.101  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
2999
;  SRAM_ADDR[3]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.713  ; 8.768  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3000
;  SRAM_ADDR[4]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.627  ; 9.749  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3001
;  SRAM_ADDR[5]  ; clk_div:clkdiv_inst|clock_100Hz ; 15.153 ; 14.968 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3002
;  SRAM_ADDR[6]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.188  ; 9.207  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3003
;  SRAM_ADDR[7]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.283  ; 9.319  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3004
;  SRAM_ADDR[8]  ; clk_div:clkdiv_inst|clock_100Hz ; 15.107 ; 15.048 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3005
;  SRAM_ADDR[9]  ; clk_div:clkdiv_inst|clock_100Hz ; 11.273 ; 10.986 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3006
;  SRAM_ADDR[10] ; clk_div:clkdiv_inst|clock_100Hz ; 15.009 ; 15.019 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3007
;  SRAM_ADDR[11] ; clk_div:clkdiv_inst|clock_100Hz ; 15.472 ; 15.442 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3008
;  SRAM_ADDR[12] ; clk_div:clkdiv_inst|clock_100Hz ; 14.292 ; 13.970 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3009
;  SRAM_ADDR[13] ; clk_div:clkdiv_inst|clock_100Hz ; 15.465 ; 15.464 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3010
;  SRAM_ADDR[14] ; clk_div:clkdiv_inst|clock_100Hz ; 10.001 ; 9.923  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3011
;  SRAM_ADDR[15] ; clk_div:clkdiv_inst|clock_100Hz ; 13.516 ; 14.072 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3012
; SRAM_DQ[*]     ; clk_div:clkdiv_inst|clock_100Hz ; 14.654 ; 14.584 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3013
;  SRAM_DQ[0]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.922 ; 13.870 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3014
;  SRAM_DQ[1]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.587 ; 13.523 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3015
;  SRAM_DQ[2]    ; clk_div:clkdiv_inst|clock_100Hz ; 14.654 ; 14.584 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3016
;  SRAM_DQ[3]    ; clk_div:clkdiv_inst|clock_100Hz ; 12.958 ; 12.958 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3017
;  SRAM_DQ[4]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.833 ; 13.854 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3018
;  SRAM_DQ[5]    ; clk_div:clkdiv_inst|clock_100Hz ; 12.060 ; 12.134 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3019
;  SRAM_DQ[6]    ; clk_div:clkdiv_inst|clock_100Hz ; 14.315 ; 14.391 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3020
;  SRAM_DQ[7]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.063 ; 13.032 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3021
; SRAM_OE_N      ; clk_div:clkdiv_inst|clock_100Hz ; 17.834 ; 17.511 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3022
; SRAM_WE_N      ; clk_div:clkdiv_inst|clock_100Hz ; 11.573 ; 11.721 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3023
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 11.784 ; 11.612 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3024
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.784 ; 11.612 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3025
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.318 ; 11.182 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3026
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.691 ; 10.728 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3027
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.566 ; 11.409 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3028
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 4.903  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3029
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 9.656  ; 9.627  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3030
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 11.726 ; 11.551 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3031
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 4.874  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
3032
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
3033
 
3034
 
3035
+-------------------------------------------------------------------------------------------------------------------+
3036
; Minimum Clock to Output Times                                                                                     ;
3037
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
3038
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
3039
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
3040
; LCD_DATA[*]    ; CLOCK_50                        ; 7.705  ; 7.602  ; Rise       ; CLOCK_50                        ;
3041
;  LCD_DATA[0]   ; CLOCK_50                        ; 7.705  ; 7.602  ; Rise       ; CLOCK_50                        ;
3042
;  LCD_DATA[1]   ; CLOCK_50                        ; 8.233  ; 8.081  ; Rise       ; CLOCK_50                        ;
3043
;  LCD_DATA[2]   ; CLOCK_50                        ; 9.022  ; 8.915  ; Rise       ; CLOCK_50                        ;
3044
;  LCD_DATA[3]   ; CLOCK_50                        ; 8.182  ; 8.186  ; Rise       ; CLOCK_50                        ;
3045
;  LCD_DATA[4]   ; CLOCK_50                        ; 8.773  ; 8.832  ; Rise       ; CLOCK_50                        ;
3046
;  LCD_DATA[5]   ; CLOCK_50                        ; 8.404  ; 8.436  ; Rise       ; CLOCK_50                        ;
3047
;  LCD_DATA[6]   ; CLOCK_50                        ; 9.958  ; 9.757  ; Rise       ; CLOCK_50                        ;
3048
;  LCD_DATA[7]   ; CLOCK_50                        ; 9.083  ; 8.940  ; Rise       ; CLOCK_50                        ;
3049
; LCD_EN         ; CLOCK_50                        ; 7.144  ; 7.209  ; Rise       ; CLOCK_50                        ;
3050
; LCD_ON         ; CLOCK_50                        ; 10.571 ; 10.432 ; Rise       ; CLOCK_50                        ;
3051
; LCD_RS         ; CLOCK_50                        ; 9.362  ; 9.287  ; Rise       ; CLOCK_50                        ;
3052
; HEX0[*]        ; SW[15]                          ; 14.800 ; 14.807 ; Rise       ; SW[15]                          ;
3053
;  HEX0[0]       ; SW[15]                          ; 16.997 ; 16.829 ; Rise       ; SW[15]                          ;
3054
;  HEX0[1]       ; SW[15]                          ; 19.075 ; 19.157 ; Rise       ; SW[15]                          ;
3055
;  HEX0[2]       ; SW[15]                          ; 17.166 ; 16.976 ; Rise       ; SW[15]                          ;
3056
;  HEX0[3]       ; SW[15]                          ; 17.751 ; 17.336 ; Rise       ; SW[15]                          ;
3057
;  HEX0[4]       ; SW[15]                          ; 14.800 ; 14.807 ; Rise       ; SW[15]                          ;
3058
;  HEX0[5]       ; SW[15]                          ; 17.025 ; 16.743 ; Rise       ; SW[15]                          ;
3059
;  HEX0[6]       ; SW[15]                          ; 15.536 ; 15.490 ; Rise       ; SW[15]                          ;
3060
; HEX1[*]        ; SW[15]                          ; 14.533 ; 14.463 ; Rise       ; SW[15]                          ;
3061
;  HEX1[0]       ; SW[15]                          ; 14.910 ; 14.819 ; Rise       ; SW[15]                          ;
3062
;  HEX1[1]       ; SW[15]                          ; 15.007 ; 14.859 ; Rise       ; SW[15]                          ;
3063
;  HEX1[2]       ; SW[15]                          ; 16.101 ; 15.722 ; Rise       ; SW[15]                          ;
3064
;  HEX1[3]       ; SW[15]                          ; 15.795 ; 15.456 ; Rise       ; SW[15]                          ;
3065
;  HEX1[4]       ; SW[15]                          ; 14.533 ; 14.463 ; Rise       ; SW[15]                          ;
3066
;  HEX1[5]       ; SW[15]                          ; 17.543 ; 17.017 ; Rise       ; SW[15]                          ;
3067
;  HEX1[6]       ; SW[15]                          ; 17.169 ; 17.809 ; Rise       ; SW[15]                          ;
3068
; HEX2[*]        ; SW[15]                          ; 13.926 ; 13.887 ; Rise       ; SW[15]                          ;
3069
;  HEX2[0]       ; SW[15]                          ; 15.767 ; 15.657 ; Rise       ; SW[15]                          ;
3070
;  HEX2[1]       ; SW[15]                          ; 15.124 ; 15.068 ; Rise       ; SW[15]                          ;
3071
;  HEX2[2]       ; SW[15]                          ; 15.669 ; 15.454 ; Rise       ; SW[15]                          ;
3072
;  HEX2[3]       ; SW[15]                          ; 15.782 ; 15.437 ; Rise       ; SW[15]                          ;
3073
;  HEX2[4]       ; SW[15]                          ; 13.926 ; 13.887 ; Rise       ; SW[15]                          ;
3074
;  HEX2[5]       ; SW[15]                          ; 15.786 ; 15.431 ; Rise       ; SW[15]                          ;
3075
;  HEX2[6]       ; SW[15]                          ; 15.835 ; 16.226 ; Rise       ; SW[15]                          ;
3076
; HEX3[*]        ; SW[15]                          ; 14.858 ; 14.756 ; Rise       ; SW[15]                          ;
3077
;  HEX3[0]       ; SW[15]                          ; 16.148 ; 15.756 ; Rise       ; SW[15]                          ;
3078
;  HEX3[1]       ; SW[15]                          ; 15.069 ; 14.978 ; Rise       ; SW[15]                          ;
3079
;  HEX3[2]       ; SW[15]                          ; 17.141 ; 16.867 ; Rise       ; SW[15]                          ;
3080
;  HEX3[3]       ; SW[15]                          ; 14.858 ; 14.756 ; Rise       ; SW[15]                          ;
3081
;  HEX3[4]       ; SW[15]                          ; 16.952 ; 16.820 ; Rise       ; SW[15]                          ;
3082
;  HEX3[5]       ; SW[15]                          ; 15.564 ; 15.484 ; Rise       ; SW[15]                          ;
3083
;  HEX3[6]       ; SW[15]                          ; 15.851 ; 15.976 ; Rise       ; SW[15]                          ;
3084
; HEX4[*]        ; SW[15]                          ; 13.832 ; 13.886 ; Rise       ; SW[15]                          ;
3085
;  HEX4[0]       ; SW[15]                          ; 14.693 ; 14.597 ; Rise       ; SW[15]                          ;
3086
;  HEX4[1]       ; SW[15]                          ; 14.510 ; 14.449 ; Rise       ; SW[15]                          ;
3087
;  HEX4[2]       ; SW[15]                          ; 14.310 ; 14.346 ; Rise       ; SW[15]                          ;
3088
;  HEX4[3]       ; SW[15]                          ; 15.650 ; 15.408 ; Rise       ; SW[15]                          ;
3089
;  HEX4[4]       ; SW[15]                          ; 14.781 ; 14.647 ; Rise       ; SW[15]                          ;
3090
;  HEX4[5]       ; SW[15]                          ; 15.680 ; 15.551 ; Rise       ; SW[15]                          ;
3091
;  HEX4[6]       ; SW[15]                          ; 13.832 ; 13.886 ; Rise       ; SW[15]                          ;
3092
; HEX5[*]        ; SW[15]                          ; 14.756 ; 14.578 ; Rise       ; SW[15]                          ;
3093
;  HEX5[0]       ; SW[15]                          ; 15.061 ; 14.865 ; Rise       ; SW[15]                          ;
3094
;  HEX5[1]       ; SW[15]                          ; 17.077 ; 16.742 ; Rise       ; SW[15]                          ;
3095
;  HEX5[2]       ; SW[15]                          ; 16.429 ; 16.257 ; Rise       ; SW[15]                          ;
3096
;  HEX5[3]       ; SW[15]                          ; 14.756 ; 14.578 ; Rise       ; SW[15]                          ;
3097
;  HEX5[4]       ; SW[15]                          ; 15.317 ; 15.089 ; Rise       ; SW[15]                          ;
3098
;  HEX5[5]       ; SW[15]                          ; 14.919 ; 14.731 ; Rise       ; SW[15]                          ;
3099
;  HEX5[6]       ; SW[15]                          ; 14.922 ; 15.014 ; Rise       ; SW[15]                          ;
3100
; HEX6[*]        ; SW[15]                          ; 13.175 ; 12.996 ; Rise       ; SW[15]                          ;
3101
;  HEX6[0]       ; SW[15]                          ; 14.609 ; 14.326 ; Rise       ; SW[15]                          ;
3102
;  HEX6[1]       ; SW[15]                          ; 13.175 ; 13.011 ; Rise       ; SW[15]                          ;
3103
;  HEX6[2]       ; SW[15]                          ; 13.237 ; 12.996 ; Rise       ; SW[15]                          ;
3104
;  HEX6[3]       ; SW[15]                          ; 14.205 ; 14.025 ; Rise       ; SW[15]                          ;
3105
;  HEX6[4]       ; SW[15]                          ; 13.320 ; 13.161 ; Rise       ; SW[15]                          ;
3106
;  HEX6[5]       ; SW[15]                          ; 15.436 ; 14.947 ; Rise       ; SW[15]                          ;
3107
;  HEX6[6]       ; SW[15]                          ; 13.378 ; 13.492 ; Rise       ; SW[15]                          ;
3108
; HEX7[*]        ; SW[15]                          ; 12.890 ; 12.740 ; Rise       ; SW[15]                          ;
3109
;  HEX7[0]       ; SW[15]                          ; 13.778 ; 13.551 ; Rise       ; SW[15]                          ;
3110
;  HEX7[1]       ; SW[15]                          ; 13.304 ; 13.125 ; Rise       ; SW[15]                          ;
3111
;  HEX7[2]       ; SW[15]                          ; 12.890 ; 12.740 ; Rise       ; SW[15]                          ;
3112
;  HEX7[3]       ; SW[15]                          ; 12.923 ; 12.776 ; Rise       ; SW[15]                          ;
3113
;  HEX7[4]       ; SW[15]                          ; 13.509 ; 13.242 ; Rise       ; SW[15]                          ;
3114
;  HEX7[5]       ; SW[15]                          ; 13.374 ; 13.188 ; Rise       ; SW[15]                          ;
3115
;  HEX7[6]       ; SW[15]                          ; 13.137 ; 13.304 ; Rise       ; SW[15]                          ;
3116
; LEDG[*]        ; SW[15]                          ; 14.526 ; 14.671 ; Rise       ; SW[15]                          ;
3117
;  LEDG[0]       ; SW[15]                          ; 14.919 ; 15.023 ; Rise       ; SW[15]                          ;
3118
;  LEDG[1]       ; SW[15]                          ; 14.998 ; 15.114 ; Rise       ; SW[15]                          ;
3119
;  LEDG[2]       ; SW[15]                          ; 15.019 ; 15.132 ; Rise       ; SW[15]                          ;
3120
;  LEDG[3]       ; SW[15]                          ; 15.736 ; 15.783 ; Rise       ; SW[15]                          ;
3121
;  LEDG[4]       ; SW[15]                          ; 14.526 ; 14.671 ; Rise       ; SW[15]                          ;
3122
;  LEDG[5]       ; SW[15]                          ; 14.975 ; 15.073 ; Rise       ; SW[15]                          ;
3123
;  LEDG[6]       ; SW[15]                          ; 16.494 ; 16.364 ; Rise       ; SW[15]                          ;
3124
;  LEDG[7]       ; SW[15]                          ; 15.720 ; 15.646 ; Rise       ; SW[15]                          ;
3125
; LEDR[*]        ; SW[15]                          ; 14.259 ; 14.332 ; Rise       ; SW[15]                          ;
3126
;  LEDR[0]       ; SW[15]                          ; 16.111 ; 15.994 ; Rise       ; SW[15]                          ;
3127
;  LEDR[1]       ; SW[15]                          ; 14.656 ; 14.773 ; Rise       ; SW[15]                          ;
3128
;  LEDR[2]       ; SW[15]                          ; 16.241 ; 16.144 ; Rise       ; SW[15]                          ;
3129
;  LEDR[3]       ; SW[15]                          ; 16.171 ; 16.187 ; Rise       ; SW[15]                          ;
3130
;  LEDR[4]       ; SW[15]                          ; 14.933 ; 14.983 ; Rise       ; SW[15]                          ;
3131
;  LEDR[5]       ; SW[15]                          ; 14.802 ; 14.841 ; Rise       ; SW[15]                          ;
3132
;  LEDR[6]       ; SW[15]                          ; 15.007 ; 15.014 ; Rise       ; SW[15]                          ;
3133
;  LEDR[7]       ; SW[15]                          ; 14.699 ; 14.723 ; Rise       ; SW[15]                          ;
3134
;  LEDR[8]       ; SW[15]                          ; 16.657 ; 16.502 ; Rise       ; SW[15]                          ;
3135
;  LEDR[9]       ; SW[15]                          ; 16.765 ; 16.910 ; Rise       ; SW[15]                          ;
3136
;  LEDR[10]      ; SW[15]                          ; 16.076 ; 15.947 ; Rise       ; SW[15]                          ;
3137
;  LEDR[11]      ; SW[15]                          ; 14.729 ; 14.816 ; Rise       ; SW[15]                          ;
3138
;  LEDR[12]      ; SW[15]                          ; 14.259 ; 14.332 ; Rise       ; SW[15]                          ;
3139
;  LEDR[13]      ; SW[15]                          ; 14.620 ; 14.646 ; Rise       ; SW[15]                          ;
3140
;  LEDR[14]      ; SW[15]                          ; 16.169 ; 16.039 ; Rise       ; SW[15]                          ;
3141
;  LEDR[15]      ; SW[15]                          ; 17.837 ; 17.851 ; Rise       ; SW[15]                          ;
3142
; SRAM_ADDR[*]   ; SW[15]                          ; 11.817 ; 11.862 ; Rise       ; SW[15]                          ;
3143
;  SRAM_ADDR[0]  ; SW[15]                          ; 11.820 ; 11.862 ; Rise       ; SW[15]                          ;
3144
;  SRAM_ADDR[1]  ; SW[15]                          ; 12.806 ; 12.890 ; Rise       ; SW[15]                          ;
3145
;  SRAM_ADDR[2]  ; SW[15]                          ; 12.110 ; 12.187 ; Rise       ; SW[15]                          ;
3146
;  SRAM_ADDR[3]  ; SW[15]                          ; 11.817 ; 11.865 ; Rise       ; SW[15]                          ;
3147
;  SRAM_ADDR[4]  ; SW[15]                          ; 12.695 ; 12.809 ; Rise       ; SW[15]                          ;
3148
;  SRAM_ADDR[5]  ; SW[15]                          ; 18.000 ; 17.818 ; Rise       ; SW[15]                          ;
3149
;  SRAM_ADDR[6]  ; SW[15]                          ; 12.274 ; 12.287 ; Rise       ; SW[15]                          ;
3150
;  SRAM_ADDR[7]  ; SW[15]                          ; 12.364 ; 12.395 ; Rise       ; SW[15]                          ;
3151
;  SRAM_ADDR[8]  ; SW[15]                          ; 17.955 ; 17.895 ; Rise       ; SW[15]                          ;
3152
;  SRAM_ADDR[9]  ; SW[15]                          ; 14.352 ; 14.061 ; Rise       ; SW[15]                          ;
3153
;  SRAM_ADDR[10] ; SW[15]                          ; 17.863 ; 17.869 ; Rise       ; SW[15]                          ;
3154
;  SRAM_ADDR[11] ; SW[15]                          ; 18.308 ; 18.275 ; Rise       ; SW[15]                          ;
3155
;  SRAM_ADDR[12] ; SW[15]                          ; 17.252 ; 16.927 ; Rise       ; SW[15]                          ;
3156
;  SRAM_ADDR[13] ; SW[15]                          ; 18.300 ; 18.296 ; Rise       ; SW[15]                          ;
3157
;  SRAM_ADDR[14] ; SW[15]                          ; 13.050 ; 12.980 ; Rise       ; SW[15]                          ;
3158
;  SRAM_ADDR[15] ; SW[15]                          ; 15.788 ; 16.374 ; Rise       ; SW[15]                          ;
3159
; SRAM_DQ[*]     ; SW[15]                          ; 15.032 ; 15.100 ; Rise       ; SW[15]                          ;
3160
;  SRAM_DQ[0]    ; SW[15]                          ; 16.819 ; 16.765 ; Rise       ; SW[15]                          ;
3161
;  SRAM_DQ[1]    ; SW[15]                          ; 16.498 ; 16.432 ; Rise       ; SW[15]                          ;
3162
;  SRAM_DQ[2]    ; SW[15]                          ; 17.522 ; 17.450 ; Rise       ; SW[15]                          ;
3163
;  SRAM_DQ[3]    ; SW[15]                          ; 15.895 ; 15.891 ; Rise       ; SW[15]                          ;
3164
;  SRAM_DQ[4]    ; SW[15]                          ; 16.734 ; 16.750 ; Rise       ; SW[15]                          ;
3165
;  SRAM_DQ[5]    ; SW[15]                          ; 15.032 ; 15.100 ; Rise       ; SW[15]                          ;
3166
;  SRAM_DQ[6]    ; SW[15]                          ; 17.197 ; 17.265 ; Rise       ; SW[15]                          ;
3167
;  SRAM_DQ[7]    ; SW[15]                          ; 15.995 ; 15.961 ; Rise       ; SW[15]                          ;
3168
; SRAM_OE_N      ; SW[15]                          ; 15.865 ; 15.481 ; Rise       ; SW[15]                          ;
3169
; SRAM_WE_N      ; SW[15]                          ; 13.810 ; 13.882 ; Rise       ; SW[15]                          ;
3170
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 11.313 ; Rise       ; T80se:z80_inst|MREQ_n           ;
3171
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 9.234  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3172
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 11.593 ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
3173
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 9.044  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
3174
; HEX0[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.348 ; 11.355 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3175
;  HEX0[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.545 ; 13.377 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3176
;  HEX0[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 15.623 ; 15.705 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3177
;  HEX0[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.714 ; 13.524 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3178
;  HEX0[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.299 ; 13.884 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3179
;  HEX0[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.348 ; 11.355 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3180
;  HEX0[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.573 ; 13.291 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3181
;  HEX0[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.084 ; 12.038 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3182
; HEX1[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.081 ; 11.011 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3183
;  HEX1[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.458 ; 11.367 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3184
;  HEX1[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.555 ; 11.407 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3185
;  HEX1[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.649 ; 12.270 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3186
;  HEX1[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.343 ; 12.004 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3187
;  HEX1[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.081 ; 11.011 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3188
;  HEX1[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 14.091 ; 13.565 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3189
;  HEX1[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.717 ; 14.357 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3190
; HEX2[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 10.474 ; 10.435 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3191
;  HEX2[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.315 ; 12.205 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3192
;  HEX2[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.672 ; 11.616 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3193
;  HEX2[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.217 ; 12.002 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3194
;  HEX2[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.330 ; 11.985 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3195
;  HEX2[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.474 ; 10.435 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3196
;  HEX2[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.334 ; 11.979 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3197
;  HEX2[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.383 ; 12.774 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3198
; HEX3[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.406 ; 11.304 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3199
;  HEX3[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.696 ; 12.304 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3200
;  HEX3[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.617 ; 11.526 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3201
;  HEX3[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.689 ; 13.415 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3202
;  HEX3[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.406 ; 11.304 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3203
;  HEX3[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.500 ; 13.368 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3204
;  HEX3[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.112 ; 12.032 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3205
;  HEX3[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.399 ; 12.524 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3206
; HEX4[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 10.380 ; 10.434 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3207
;  HEX4[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.241 ; 11.145 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3208
;  HEX4[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.058 ; 10.997 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3209
;  HEX4[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.858 ; 10.894 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3210
;  HEX4[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.198 ; 11.956 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3211
;  HEX4[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.329 ; 11.195 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3212
;  HEX4[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.228 ; 12.099 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3213
;  HEX4[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.380 ; 10.434 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3214
; HEX5[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.304 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3215
;  HEX5[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.609 ; 11.413 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3216
;  HEX5[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.625 ; 13.290 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3217
;  HEX5[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.977 ; 12.805 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3218
;  HEX5[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.304 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3219
;  HEX5[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.865 ; 11.637 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3220
;  HEX5[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.467 ; 11.279 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3221
;  HEX5[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.470 ; 11.562 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3222
; HEX6[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 9.723  ; 9.544  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3223
;  HEX6[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.157 ; 10.874 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3224
;  HEX6[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.723  ; 9.559  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3225
;  HEX6[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.785  ; 9.544  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3226
;  HEX6[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.753 ; 10.573 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3227
;  HEX6[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.868  ; 9.709  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3228
;  HEX6[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.984 ; 11.495 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3229
;  HEX6[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.926  ; 10.040 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3230
; HEX7[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 9.438  ; 9.288  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3231
;  HEX7[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.326 ; 10.099 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3232
;  HEX7[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.852  ; 9.673  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3233
;  HEX7[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.438  ; 9.288  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3234
;  HEX7[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.471  ; 9.324  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3235
;  HEX7[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 10.057 ; 9.790  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3236
;  HEX7[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.922  ; 9.736  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3237
;  HEX7[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 9.685  ; 9.852  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3238
; LEDG[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 11.074 ; 11.219 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3239
;  LEDG[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.467 ; 11.571 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3240
;  LEDG[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.546 ; 11.662 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3241
;  LEDG[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.567 ; 11.680 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3242
;  LEDG[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.284 ; 12.331 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3243
;  LEDG[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.074 ; 11.219 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3244
;  LEDG[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.523 ; 11.621 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3245
;  LEDG[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.042 ; 12.912 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3246
;  LEDG[7]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.268 ; 12.194 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3247
; LEDR[*]        ; clk_div:clkdiv_inst|clock_100Hz ; 10.807 ; 10.880 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3248
;  LEDR[0]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.659 ; 12.542 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3249
;  LEDR[1]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.204 ; 11.321 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3250
;  LEDR[2]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.789 ; 12.692 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3251
;  LEDR[3]       ; clk_div:clkdiv_inst|clock_100Hz ; 12.719 ; 12.735 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3252
;  LEDR[4]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.481 ; 11.531 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3253
;  LEDR[5]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.350 ; 11.389 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3254
;  LEDR[6]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.555 ; 11.562 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3255
;  LEDR[7]       ; clk_div:clkdiv_inst|clock_100Hz ; 11.247 ; 11.271 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3256
;  LEDR[8]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.205 ; 13.050 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3257
;  LEDR[9]       ; clk_div:clkdiv_inst|clock_100Hz ; 13.313 ; 13.458 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3258
;  LEDR[10]      ; clk_div:clkdiv_inst|clock_100Hz ; 12.624 ; 12.495 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3259
;  LEDR[11]      ; clk_div:clkdiv_inst|clock_100Hz ; 11.277 ; 11.364 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3260
;  LEDR[12]      ; clk_div:clkdiv_inst|clock_100Hz ; 10.807 ; 10.880 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3261
;  LEDR[13]      ; clk_div:clkdiv_inst|clock_100Hz ; 11.168 ; 11.194 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3262
;  LEDR[14]      ; clk_div:clkdiv_inst|clock_100Hz ; 12.717 ; 12.587 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3263
;  LEDR[15]      ; clk_div:clkdiv_inst|clock_100Hz ; 14.385 ; 14.399 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3264
; SRAM_ADDR[*]   ; clk_div:clkdiv_inst|clock_100Hz ; 8.365  ; 8.410  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3265
;  SRAM_ADDR[0]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.368  ; 8.410  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3266
;  SRAM_ADDR[1]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.354  ; 9.438  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3267
;  SRAM_ADDR[2]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.658  ; 8.735  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3268
;  SRAM_ADDR[3]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.365  ; 8.413  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3269
;  SRAM_ADDR[4]  ; clk_div:clkdiv_inst|clock_100Hz ; 9.243  ; 9.357  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3270
;  SRAM_ADDR[5]  ; clk_div:clkdiv_inst|clock_100Hz ; 14.548 ; 14.366 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3271
;  SRAM_ADDR[6]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.822  ; 8.835  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3272
;  SRAM_ADDR[7]  ; clk_div:clkdiv_inst|clock_100Hz ; 8.912  ; 8.943  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3273
;  SRAM_ADDR[8]  ; clk_div:clkdiv_inst|clock_100Hz ; 14.503 ; 14.443 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3274
;  SRAM_ADDR[9]  ; clk_div:clkdiv_inst|clock_100Hz ; 10.900 ; 10.609 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3275
;  SRAM_ADDR[10] ; clk_div:clkdiv_inst|clock_100Hz ; 14.411 ; 14.417 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3276
;  SRAM_ADDR[11] ; clk_div:clkdiv_inst|clock_100Hz ; 14.856 ; 14.823 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3277
;  SRAM_ADDR[12] ; clk_div:clkdiv_inst|clock_100Hz ; 13.800 ; 13.475 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3278
;  SRAM_ADDR[13] ; clk_div:clkdiv_inst|clock_100Hz ; 14.848 ; 14.844 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3279
;  SRAM_ADDR[14] ; clk_div:clkdiv_inst|clock_100Hz ; 9.598  ; 9.528  ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3280
;  SRAM_ADDR[15] ; clk_div:clkdiv_inst|clock_100Hz ; 12.336 ; 12.922 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3281
; SRAM_DQ[*]     ; clk_div:clkdiv_inst|clock_100Hz ; 11.580 ; 11.648 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3282
;  SRAM_DQ[0]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.367 ; 13.313 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3283
;  SRAM_DQ[1]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.046 ; 12.980 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3284
;  SRAM_DQ[2]    ; clk_div:clkdiv_inst|clock_100Hz ; 14.070 ; 13.998 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3285
;  SRAM_DQ[3]    ; clk_div:clkdiv_inst|clock_100Hz ; 12.443 ; 12.439 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3286
;  SRAM_DQ[4]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.282 ; 13.298 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3287
;  SRAM_DQ[5]    ; clk_div:clkdiv_inst|clock_100Hz ; 11.580 ; 11.648 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3288
;  SRAM_DQ[6]    ; clk_div:clkdiv_inst|clock_100Hz ; 13.745 ; 13.813 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3289
;  SRAM_DQ[7]    ; clk_div:clkdiv_inst|clock_100Hz ; 12.543 ; 12.509 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3290
; SRAM_OE_N      ; clk_div:clkdiv_inst|clock_100Hz ; 12.413 ; 12.029 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3291
; SRAM_WE_N      ; clk_div:clkdiv_inst|clock_100Hz ; 10.358 ; 10.430 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3292
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 10.277 ; 10.308 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3293
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.328 ; 11.158 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3294
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.879 ; 10.745 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3295
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.277 ; 10.308 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3296
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.117 ; 10.962 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3297
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 4.731  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3298
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 9.282  ; 9.250  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3299
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 11.272 ; 11.100 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3300
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 4.700  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
3301
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
3302
 
3303
 
3304
+----------------------------------------------------------------------------------------------------------------+
3305
; Output Enable Times                                                                                            ;
3306
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3307
; Data Port   ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
3308
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3309
; SRAM_DQ[*]  ; SW[15]                          ; 15.980 ; 15.827 ; Rise       ; SW[15]                          ;
3310
;  SRAM_DQ[0] ; SW[15]                          ; 16.032 ; 15.879 ; Rise       ; SW[15]                          ;
3311
;  SRAM_DQ[1] ; SW[15]                          ; 16.082 ; 15.929 ; Rise       ; SW[15]                          ;
3312
;  SRAM_DQ[2] ; SW[15]                          ; 16.027 ; 15.874 ; Rise       ; SW[15]                          ;
3313
;  SRAM_DQ[3] ; SW[15]                          ; 16.027 ; 15.874 ; Rise       ; SW[15]                          ;
3314
;  SRAM_DQ[4] ; SW[15]                          ; 16.027 ; 15.874 ; Rise       ; SW[15]                          ;
3315
;  SRAM_DQ[5] ; SW[15]                          ; 16.019 ; 15.866 ; Rise       ; SW[15]                          ;
3316
;  SRAM_DQ[6] ; SW[15]                          ; 16.019 ; 15.866 ; Rise       ; SW[15]                          ;
3317
;  SRAM_DQ[7] ; SW[15]                          ; 15.980 ; 15.827 ; Rise       ; SW[15]                          ;
3318
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n           ; 9.746  ; 9.593  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3319
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n           ; 9.798  ; 9.645  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3320
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n           ; 9.848  ; 9.695  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3321
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n           ; 9.793  ; 9.640  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3322
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n           ; 9.793  ; 9.640  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3323
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n           ; 9.793  ; 9.640  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3324
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n           ; 9.785  ; 9.632  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3325
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n           ; 9.785  ; 9.632  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3326
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n           ; 9.746  ; 9.593  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3327
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz ; 11.875 ; 11.722 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3328
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz ; 11.927 ; 11.774 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3329
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz ; 11.977 ; 11.824 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3330
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz ; 11.922 ; 11.769 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3331
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz ; 11.922 ; 11.769 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3332
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz ; 11.922 ; 11.769 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3333
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz ; 11.914 ; 11.761 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3334
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz ; 11.914 ; 11.761 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3335
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz ; 11.875 ; 11.722 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3336
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3337
 
3338
 
3339
+----------------------------------------------------------------------------------------------------------------+
3340
; Minimum Output Enable Times                                                                                    ;
3341
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3342
; Data Port   ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
3343
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3344
; SRAM_DQ[*]  ; SW[15]                          ; 14.003 ; 13.850 ; Rise       ; SW[15]                          ;
3345
;  SRAM_DQ[0] ; SW[15]                          ; 14.053 ; 13.900 ; Rise       ; SW[15]                          ;
3346
;  SRAM_DQ[1] ; SW[15]                          ; 14.100 ; 13.947 ; Rise       ; SW[15]                          ;
3347
;  SRAM_DQ[2] ; SW[15]                          ; 14.048 ; 13.895 ; Rise       ; SW[15]                          ;
3348
;  SRAM_DQ[3] ; SW[15]                          ; 14.048 ; 13.895 ; Rise       ; SW[15]                          ;
3349
;  SRAM_DQ[4] ; SW[15]                          ; 14.048 ; 13.895 ; Rise       ; SW[15]                          ;
3350
;  SRAM_DQ[5] ; SW[15]                          ; 14.040 ; 13.887 ; Rise       ; SW[15]                          ;
3351
;  SRAM_DQ[6] ; SW[15]                          ; 14.040 ; 13.887 ; Rise       ; SW[15]                          ;
3352
;  SRAM_DQ[7] ; SW[15]                          ; 14.003 ; 13.850 ; Rise       ; SW[15]                          ;
3353
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n           ; 9.355  ; 9.202  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3354
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n           ; 9.405  ; 9.252  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3355
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n           ; 9.452  ; 9.299  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3356
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n           ; 9.400  ; 9.247  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3357
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n           ; 9.400  ; 9.247  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3358
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n           ; 9.400  ; 9.247  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3359
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n           ; 9.392  ; 9.239  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3360
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n           ; 9.392  ; 9.239  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3361
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n           ; 9.355  ; 9.202  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3362
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz ; 10.551 ; 10.398 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3363
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz ; 10.601 ; 10.448 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3364
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz ; 10.648 ; 10.495 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3365
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz ; 10.596 ; 10.443 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3366
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz ; 10.596 ; 10.443 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3367
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz ; 10.596 ; 10.443 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3368
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz ; 10.588 ; 10.435 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3369
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz ; 10.588 ; 10.435 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3370
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz ; 10.551 ; 10.398 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3371
+-------------+---------------------------------+--------+--------+------------+---------------------------------+
3372
 
3373
 
3374
+----------------------------------------------------------------------------------------------------------------------+
3375
; Output Disable Times                                                                                                 ;
3376
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3377
; Data Port   ; Clock Port                      ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference                 ;
3378
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3379
; SRAM_DQ[*]  ; SW[15]                          ; 15.819    ; 15.972    ; Rise       ; SW[15]                          ;
3380
;  SRAM_DQ[0] ; SW[15]                          ; 15.881    ; 16.034    ; Rise       ; SW[15]                          ;
3381
;  SRAM_DQ[1] ; SW[15]                          ; 15.931    ; 16.084    ; Rise       ; SW[15]                          ;
3382
;  SRAM_DQ[2] ; SW[15]                          ; 15.875    ; 16.028    ; Rise       ; SW[15]                          ;
3383
;  SRAM_DQ[3] ; SW[15]                          ; 15.875    ; 16.028    ; Rise       ; SW[15]                          ;
3384
;  SRAM_DQ[4] ; SW[15]                          ; 15.875    ; 16.028    ; Rise       ; SW[15]                          ;
3385
;  SRAM_DQ[5] ; SW[15]                          ; 15.861    ; 16.014    ; Rise       ; SW[15]                          ;
3386
;  SRAM_DQ[6] ; SW[15]                          ; 15.861    ; 16.014    ; Rise       ; SW[15]                          ;
3387
;  SRAM_DQ[7] ; SW[15]                          ; 15.819    ; 15.972    ; Rise       ; SW[15]                          ;
3388
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n           ; 9.542     ; 9.695     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3389
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n           ; 9.604     ; 9.757     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3390
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n           ; 9.654     ; 9.807     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3391
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n           ; 9.598     ; 9.751     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3392
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n           ; 9.598     ; 9.751     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3393
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n           ; 9.598     ; 9.751     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3394
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n           ; 9.584     ; 9.737     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3395
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n           ; 9.584     ; 9.737     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3396
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n           ; 9.542     ; 9.695     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3397
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz ; 11.714    ; 11.867    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3398
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz ; 11.776    ; 11.929    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3399
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz ; 11.826    ; 11.979    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3400
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz ; 11.770    ; 11.923    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3401
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz ; 11.770    ; 11.923    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3402
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz ; 11.770    ; 11.923    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3403
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz ; 11.756    ; 11.909    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3404
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz ; 11.756    ; 11.909    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3405
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz ; 11.714    ; 11.867    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3406
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3407
 
3408
 
3409
+----------------------------------------------------------------------------------------------------------------------+
3410
; Minimum Output Disable Times                                                                                         ;
3411
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3412
; Data Port   ; Clock Port                      ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference                 ;
3413
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3414
; SRAM_DQ[*]  ; SW[15]                          ; 13.918    ; 14.071    ; Rise       ; SW[15]                          ;
3415
;  SRAM_DQ[0] ; SW[15]                          ; 13.977    ; 14.130    ; Rise       ; SW[15]                          ;
3416
;  SRAM_DQ[1] ; SW[15]                          ; 14.025    ; 14.178    ; Rise       ; SW[15]                          ;
3417
;  SRAM_DQ[2] ; SW[15]                          ; 13.972    ; 14.125    ; Rise       ; SW[15]                          ;
3418
;  SRAM_DQ[3] ; SW[15]                          ; 13.972    ; 14.125    ; Rise       ; SW[15]                          ;
3419
;  SRAM_DQ[4] ; SW[15]                          ; 13.972    ; 14.125    ; Rise       ; SW[15]                          ;
3420
;  SRAM_DQ[5] ; SW[15]                          ; 13.958    ; 14.111    ; Rise       ; SW[15]                          ;
3421
;  SRAM_DQ[6] ; SW[15]                          ; 13.958    ; 14.111    ; Rise       ; SW[15]                          ;
3422
;  SRAM_DQ[7] ; SW[15]                          ; 13.918    ; 14.071    ; Rise       ; SW[15]                          ;
3423
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n           ; 9.152     ; 9.305     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3424
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n           ; 9.211     ; 9.364     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3425
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n           ; 9.259     ; 9.412     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3426
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n           ; 9.206     ; 9.359     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3427
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n           ; 9.206     ; 9.359     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3428
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n           ; 9.206     ; 9.359     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3429
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n           ; 9.192     ; 9.345     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3430
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n           ; 9.192     ; 9.345     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3431
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n           ; 9.152     ; 9.305     ; Fall       ; T80se:z80_inst|MREQ_n           ;
3432
; SRAM_DQ[*]  ; clk_div:clkdiv_inst|clock_100Hz ; 10.466    ; 10.619    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3433
;  SRAM_DQ[0] ; clk_div:clkdiv_inst|clock_100Hz ; 10.525    ; 10.678    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3434
;  SRAM_DQ[1] ; clk_div:clkdiv_inst|clock_100Hz ; 10.573    ; 10.726    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3435
;  SRAM_DQ[2] ; clk_div:clkdiv_inst|clock_100Hz ; 10.520    ; 10.673    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3436
;  SRAM_DQ[3] ; clk_div:clkdiv_inst|clock_100Hz ; 10.520    ; 10.673    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3437
;  SRAM_DQ[4] ; clk_div:clkdiv_inst|clock_100Hz ; 10.520    ; 10.673    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3438
;  SRAM_DQ[5] ; clk_div:clkdiv_inst|clock_100Hz ; 10.506    ; 10.659    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3439
;  SRAM_DQ[6] ; clk_div:clkdiv_inst|clock_100Hz ; 10.506    ; 10.659    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3440
;  SRAM_DQ[7] ; clk_div:clkdiv_inst|clock_100Hz ; 10.466    ; 10.619    ; Rise       ; clk_div:clkdiv_inst|clock_100Hz ;
3441
+-------------+---------------------------------+-----------+-----------+------------+---------------------------------+
3442
 
3443
 
3444
------------------------
3445
; Metastability Report ;
3446
------------------------
3447
No synchronizer chains to report.
3448
 
3449
 
3450
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3451
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
3452
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3453
; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
3454
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3455
; HEX0[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3456
; HEX0[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3457
; HEX0[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3458
; HEX0[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3459
; HEX0[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3460
; HEX0[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3461
; HEX0[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3462
; HEX1[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3463
; HEX1[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3464
; HEX1[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3465
; HEX1[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3466
; HEX1[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3467
; HEX1[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3468
; HEX1[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3469
; HEX2[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3470
; HEX2[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3471
; HEX2[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3472
; HEX2[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3473
; HEX2[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3474
; HEX2[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3475
; HEX2[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3476
; HEX3[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3477
; HEX3[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3478
; HEX3[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3479
; HEX3[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3480
; HEX3[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3481
; HEX3[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3482
; HEX3[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3483
; HEX4[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3484
; HEX4[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3485
; HEX4[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3486
; HEX4[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3487
; HEX4[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3488
; HEX4[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3489
; HEX4[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3490
; HEX5[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3491
; HEX5[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3492
; HEX5[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3493
; HEX5[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3494
; HEX5[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3495
; HEX5[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3496
; HEX5[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3497
; HEX6[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3498
; HEX6[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3499
; HEX6[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3500
; HEX6[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3501
; HEX6[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3502
; HEX6[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3503
; HEX6[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3504
; HEX7[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3505
; HEX7[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3506
; HEX7[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3507
; HEX7[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3508
; HEX7[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3509
; HEX7[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3510
; HEX7[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3511
; LEDG[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3512
; LEDG[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3513
; LEDG[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3514
; LEDG[3]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3515
; LEDG[4]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3516
; LEDG[5]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3517
; LEDG[6]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3518
; LEDG[7]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3519
; LEDG[8]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3520
; LEDR[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3521
; LEDR[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3522
; LEDR[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3523
; LEDR[3]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3524
; LEDR[4]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3525
; LEDR[5]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3526
; LEDR[6]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3527
; LEDR[7]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3528
; LEDR[8]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3529
; LEDR[9]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3530
; LEDR[10]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3531
; LEDR[11]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3532
; LEDR[12]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3533
; LEDR[13]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3534
; LEDR[14]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3535
; LEDR[15]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3536
; LEDR[16]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3537
; LEDR[17]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3538
; UART_TXD      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3539
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3540
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3541
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3542
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3543
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3544
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3545
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3546
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3547
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3548
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3549
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3550
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3551
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3552
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3553
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3554
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3555
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3556
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3557
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3558
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3559
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3560
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3561
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3562
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3563
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3564
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3565
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3566
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3567
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3568
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3569
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3570
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3571
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3572
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3573
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3574
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3575
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3576
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3577
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3578
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3579
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3580
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3581
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3582
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3583
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3584
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3585
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3586
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3587
; FL_WP_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3588
; FL_WE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3589
; FL_RST_N      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3590
; FL_OE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3591
; FL_CE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3592
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3593
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3594
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3595
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3596
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3597
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3598
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3599
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3600
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3601
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3602
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3603
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3604
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3605
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3606
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3607
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3608
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3609
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3610
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3611
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3612
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3613
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3614
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3615
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3616
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3617
; SD_DAT3       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3618
; SD_CMD        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3619
; SD_CLK        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3620
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3621
; VGA_CLK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3622
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3623
; VGA_HS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3624
; VGA_VS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3625
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3626
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3627
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3628
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3629
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3630
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3631
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3632
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3633
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3634
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3635
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3636
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3637
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3638
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3639
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3640
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3641
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3642
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3643
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3644
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3645
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3646
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3647
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3648
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3649
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3650
; AUD_XCK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3651
; LCD_RS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3652
; LCD_EN        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3653
; LCD_RW        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3654
; LCD_ON        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3655
; LCD_BLON      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3656
; SD_DAT1       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3657
; SD_DAT2       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3658
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3659
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3660
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3661
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3662
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3663
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3664
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3665
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3666
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3667
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3668
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3669
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3670
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3671
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3672
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3673
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3674
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3675
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3676
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3677
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3678
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3679
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3680
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3681
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3682
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3683
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3684
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3685
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3686
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3687
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3688
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3689
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3690
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3691
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3692
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3693
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3694
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3695
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3696
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3697
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3698
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3699
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3700
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3701
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3702
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3703
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3704
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3705
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3706
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3707
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3708
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3709
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3710
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3711
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3712
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3713
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3714
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3715
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3716
; PS2_DAT       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3717
; PS2_CLK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3718
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3719
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3720
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3721
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3722
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3723
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3724
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3725
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3726
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3727
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3728
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3729
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3730
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3731
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3732
 
3733
 
3734
+----------------------------------------------------------------------------+
3735
; Input Transition Times                                                     ;
3736
+-------------------------+--------------+-----------------+-----------------+
3737
; Pin                     ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
3738
+-------------------------+--------------+-----------------+-----------------+
3739
; UART_RXD                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3740
; UART_RTS                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3741
; UART_CTS                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3742
; FL_RY                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3743
; SD_DAT0                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3744
; AUD_ADCDAT              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3745
; SD_DAT1                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3746
; SD_DAT2                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3747
; PS2_DAT2                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3748
; PS2_CLK2                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3749
; DRAM_DQ[0]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3750
; DRAM_DQ[1]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3751
; DRAM_DQ[2]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3752
; DRAM_DQ[3]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3753
; DRAM_DQ[4]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3754
; DRAM_DQ[5]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3755
; DRAM_DQ[6]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3756
; DRAM_DQ[7]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3757
; DRAM_DQ[8]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3758
; DRAM_DQ[9]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3759
; DRAM_DQ[10]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3760
; DRAM_DQ[11]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3761
; DRAM_DQ[12]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3762
; DRAM_DQ[13]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3763
; DRAM_DQ[14]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3764
; DRAM_DQ[15]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3765
; DRAM_DQ[16]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3766
; DRAM_DQ[17]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3767
; DRAM_DQ[18]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3768
; DRAM_DQ[19]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3769
; DRAM_DQ[20]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3770
; DRAM_DQ[21]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3771
; DRAM_DQ[22]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3772
; DRAM_DQ[23]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3773
; DRAM_DQ[24]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3774
; DRAM_DQ[25]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3775
; DRAM_DQ[26]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3776
; DRAM_DQ[27]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3777
; DRAM_DQ[28]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3778
; DRAM_DQ[29]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3779
; DRAM_DQ[30]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3780
; DRAM_DQ[31]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3781
; FL_DQ[0]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3782
; FL_DQ[1]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3783
; FL_DQ[2]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3784
; FL_DQ[3]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3785
; FL_DQ[4]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3786
; FL_DQ[5]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3787
; FL_DQ[6]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3788
; FL_DQ[7]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3789
; SRAM_DQ[0]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3790
; SRAM_DQ[1]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3791
; SRAM_DQ[2]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3792
; SRAM_DQ[3]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3793
; SRAM_DQ[4]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3794
; SRAM_DQ[5]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3795
; SRAM_DQ[6]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3796
; SRAM_DQ[7]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3797
; SRAM_DQ[8]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3798
; SRAM_DQ[9]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3799
; SRAM_DQ[10]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3800
; SRAM_DQ[11]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3801
; SRAM_DQ[12]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3802
; SRAM_DQ[13]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3803
; SRAM_DQ[14]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3804
; SRAM_DQ[15]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3805
; PS2_DAT                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3806
; PS2_CLK                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3807
; AUD_ADCLRCK             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3808
; AUD_DACLRCK             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3809
; AUD_BCLK                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3810
; LCD_DATA[0]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3811
; LCD_DATA[1]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3812
; LCD_DATA[2]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3813
; LCD_DATA[3]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3814
; LCD_DATA[4]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3815
; LCD_DATA[5]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3816
; LCD_DATA[6]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3817
; LCD_DATA[7]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3818
; SW[17]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3819
; CLOCK_50                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3820
; SW[14]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3821
; SW[15]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3822
; SW[16]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3823
; SW[1]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3824
; SW[9]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3825
; KEY[1]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3826
; SW[8]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3827
; SW[0]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3828
; KEY[0]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3829
; SW[7]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3830
; SW[6]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3831
; SW[10]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3832
; SW[2]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3833
; KEY[2]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3834
; SW[13]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3835
; SW[5]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3836
; SW[12]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3837
; SW[4]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3838
; SW[3]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3839
; SW[11]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3840
; KEY[3]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3841
; ~ALTERA_ASDO_DATA1~     ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3842
; ~ALTERA_FLASH_nCE_nCSO~ ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3843
; ~ALTERA_DATA0~          ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
3844
+-------------------------+--------------+-----------------+-----------------+
3845
 
3846
 
3847
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3848
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
3849
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3850
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
3851
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3852
; HEX0[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3853
; HEX0[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
3854
; HEX0[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3855
; HEX0[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3856
; HEX0[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3857
; HEX0[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
3858
; HEX0[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3859
; HEX1[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3860
; HEX1[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3861
; HEX1[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3862
; HEX1[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3863
; HEX1[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3864
; HEX1[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
3865
; HEX1[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
3866
; HEX2[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3867
; HEX2[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3868
; HEX2[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3869
; HEX2[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3870
; HEX2[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3871
; HEX2[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3872
; HEX2[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3873
; HEX3[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3874
; HEX3[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3875
; HEX3[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3876
; HEX3[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3877
; HEX3[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3878
; HEX3[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3879
; HEX3[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3880
; HEX4[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3881
; HEX4[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3882
; HEX4[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3883
; HEX4[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3884
; HEX4[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3885
; HEX4[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3886
; HEX4[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3887
; HEX5[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3888
; HEX5[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3889
; HEX5[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3890
; HEX5[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3891
; HEX5[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3892
; HEX5[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3893
; HEX5[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3894
; HEX6[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3895
; HEX6[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3896
; HEX6[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3897
; HEX6[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3898
; HEX6[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3899
; HEX6[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3900
; HEX6[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3901
; HEX7[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3902
; HEX7[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3903
; HEX7[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3904
; HEX7[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3905
; HEX7[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3906
; HEX7[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3907
; HEX7[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3908
; LEDG[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3909
; LEDG[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3910
; LEDG[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3911
; LEDG[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3912
; LEDG[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3913
; LEDG[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3914
; LEDG[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3915
; LEDG[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3916
; LEDG[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3917
; LEDR[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3918
; LEDR[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3919
; LEDR[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3920
; LEDR[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3921
; LEDR[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3922
; LEDR[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3923
; LEDR[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3924
; LEDR[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3925
; LEDR[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3926
; LEDR[9]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
3927
; LEDR[10]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3928
; LEDR[11]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3929
; LEDR[12]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3930
; LEDR[13]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3931
; LEDR[14]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3932
; LEDR[15]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
3933
; LEDR[16]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3934
; LEDR[17]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
3935
; UART_TXD      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3936
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3937
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3938
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3939
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3940
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3941
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3942
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3943
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3944
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3945
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3946
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3947
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3948
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3949
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3950
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3951
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3952
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3953
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3954
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3955
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3956
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
3957
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3958
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3959
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3960
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3961
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3962
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3963
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3964
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3965
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3966
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3967
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3968
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3969
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3970
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3971
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3972
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3973
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3974
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3975
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3976
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
3977
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3978
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3979
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3980
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3981
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3982
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3983
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3984
; FL_WP_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3985
; FL_WE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3986
; FL_RST_N      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3987
; FL_OE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3988
; FL_CE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3989
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3990
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3991
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3992
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3993
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3994
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3995
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3996
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
3997
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
3998
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
3999
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4000
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4001
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
4002
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4003
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4004
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
4005
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4006
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4007
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4008
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
4009
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4010
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4011
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4012
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4013
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4014
; SD_DAT3       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4015
; SD_CMD        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4016
; SD_CLK        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4017
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4018
; VGA_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4019
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4020
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4021
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4022
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4023
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4024
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4025
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4026
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4027
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4028
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4029
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4030
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4031
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4032
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4033
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4034
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4035
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4036
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4037
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4038
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4039
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4040
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4041
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4042
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4043
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4044
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4045
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4046
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4047
; AUD_XCK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4048
; LCD_RS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4049
; LCD_EN        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4050
; LCD_RW        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4051
; LCD_ON        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4052
; LCD_BLON      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4053
; SD_DAT1       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4054
; SD_DAT2       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4055
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4056
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4057
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4058
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4059
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4060
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4061
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4062
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4063
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4064
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4065
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4066
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4067
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4068
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4069
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4070
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4071
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
4072
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4073
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4074
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4075
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4076
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4077
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4078
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4079
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4080
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4081
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4082
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4083
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4084
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4085
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4086
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4087
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4088
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4089
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4090
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4091
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4092
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4093
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4094
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4095
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4096
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4097
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4098
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4099
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4100
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4101
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4102
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4103
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4104
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4105
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4106
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4107
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4108
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4109
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4110
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4111
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4112
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4113
; PS2_DAT       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
4114
; PS2_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4115
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4116
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4117
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4118
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4119
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4120
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4121
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4122
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4123
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4124
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4125
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
4126
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 6.54e-07 V                   ; 3.14 V              ; -0.115 V            ; 0.146 V                              ; 0.141 V                              ; 3.07e-10 s                  ; 3.96e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 6.54e-07 V                  ; 3.14 V             ; -0.115 V           ; 0.146 V                             ; 0.141 V                             ; 3.07e-10 s                 ; 3.96e-10 s                 ; Yes                       ; No                        ;
4127
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
4128
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
4129
 
4130
 
4131
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4132
; Setup Transfers                                                                                                                                                       ;
4133
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4134
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
4135
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4136
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
4137
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
4138
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
4139
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
4140
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
4141
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 2611     ; 0        ; 0        ; 0        ;
4142
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
4143
; SW[15]                                                      ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
4144
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
4145
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 60       ; 0        ; 0        ; 0        ;
4146
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 5829022  ; 0        ; 0        ; 0        ;
4147
; CLOCK_50                                                    ; clk_div:clkdiv_inst|clock_100Hz                             ; 288      ; 0        ; 0        ; 0        ;
4148
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
4149
; SW[15]                                                      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5829022  ; 2        ; 0        ; 0        ;
4150
; T80se:z80_inst|MREQ_n                                       ; clk_div:clkdiv_inst|clock_100Hz                             ; 754      ; 1010     ; 0        ; 0        ;
4151
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
4152
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
4153
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 2        ; 1        ; 0        ; 0        ;
4154
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
4155
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 517      ; 0        ; 0        ; 0        ;
4156
; CLOCK_50                                                    ; CLOCK_50                                                    ; 978      ; 0        ; 0        ; 0        ;
4157
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3338     ; 3        ; 0        ; 0        ;
4158
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
4159
; SW[15]                                                      ; CLOCK_50                                                    ; 117      ; 0        ; 0        ; 0        ;
4160
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
4161
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
4162
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[15]                                                      ; 60       ; 0        ; 0        ; 0        ;
4163
; clk_div:clkdiv_inst|clock_100Hz                             ; SW[15]                                                      ; 5829020  ; 0        ; 0        ; 0        ;
4164
; CLOCK_50                                                    ; SW[15]                                                      ; 288      ; 0        ; 0        ; 0        ;
4165
; SW[15]                                                      ; SW[15]                                                      ; 5829022  ; 2        ; 0        ; 0        ;
4166
; T80se:z80_inst|MREQ_n                                       ; SW[15]                                                      ; 754      ; 1010     ; 0        ; 0        ;
4167
; clk_div:clkdiv_inst|clock_100Hz                             ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
4168
; SW[15]                                                      ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
4169
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4170
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
4171
 
4172
 
4173
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4174
; Hold Transfers                                                                                                                                                        ;
4175
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4176
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
4177
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4178
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
4179
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
4180
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
4181
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
4182
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
4183
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 2611     ; 0        ; 0        ; 0        ;
4184
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
4185
; SW[15]                                                      ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
4186
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
4187
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 60       ; 0        ; 0        ; 0        ;
4188
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 5829022  ; 0        ; 0        ; 0        ;
4189
; CLOCK_50                                                    ; clk_div:clkdiv_inst|clock_100Hz                             ; 288      ; 0        ; 0        ; 0        ;
4190
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
4191
; SW[15]                                                      ; clk_div:clkdiv_inst|clock_100Hz                             ; 5829022  ; 2        ; 0        ; 0        ;
4192
; T80se:z80_inst|MREQ_n                                       ; clk_div:clkdiv_inst|clock_100Hz                             ; 754      ; 1010     ; 0        ; 0        ;
4193
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
4194
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
4195
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 2        ; 1        ; 0        ; 0        ;
4196
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
4197
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 517      ; 0        ; 0        ; 0        ;
4198
; CLOCK_50                                                    ; CLOCK_50                                                    ; 978      ; 0        ; 0        ; 0        ;
4199
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3338     ; 3        ; 0        ; 0        ;
4200
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
4201
; SW[15]                                                      ; CLOCK_50                                                    ; 117      ; 0        ; 0        ; 0        ;
4202
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
4203
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
4204
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[15]                                                      ; 60       ; 0        ; 0        ; 0        ;
4205
; clk_div:clkdiv_inst|clock_100Hz                             ; SW[15]                                                      ; 5829020  ; 0        ; 0        ; 0        ;
4206
; CLOCK_50                                                    ; SW[15]                                                      ; 288      ; 0        ; 0        ; 0        ;
4207
; SW[15]                                                      ; SW[15]                                                      ; 5829022  ; 2        ; 0        ; 0        ;
4208
; T80se:z80_inst|MREQ_n                                       ; SW[15]                                                      ; 754      ; 1010     ; 0        ; 0        ;
4209
; clk_div:clkdiv_inst|clock_100Hz                             ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
4210
; SW[15]                                                      ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
4211
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
4212
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
4213
 
4214
 
4215
+----------------------------------------------------------------------------------------------------------+
4216
; Recovery Transfers                                                                                       ;
4217
+------------+-------------------------------------------------+----------+----------+----------+----------+
4218
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
4219
+------------+-------------------------------------------------+----------+----------+----------+----------+
4220
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
4221
+------------+-------------------------------------------------+----------+----------+----------+----------+
4222
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
4223
 
4224
 
4225
+----------------------------------------------------------------------------------------------------------+
4226
; Removal Transfers                                                                                        ;
4227
+------------+-------------------------------------------------+----------+----------+----------+----------+
4228
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
4229
+------------+-------------------------------------------------+----------+----------+----------+----------+
4230
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
4231
+------------+-------------------------------------------------+----------+----------+----------+----------+
4232
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
4233
 
4234
 
4235
---------------
4236
; Report TCCS ;
4237
---------------
4238
No dedicated SERDES Transmitter circuitry present in device or used in design
4239
 
4240
 
4241
---------------
4242
; Report RSKM ;
4243
---------------
4244
No dedicated SERDES Receiver circuitry present in device or used in design
4245
 
4246
 
4247
+------------------------------------------------+
4248
; Unconstrained Paths                            ;
4249
+---------------------------------+-------+------+
4250
; Property                        ; Setup ; Hold ;
4251
+---------------------------------+-------+------+
4252
; Illegal Clocks                  ; 0     ; 0    ;
4253
; Unconstrained Clocks            ; 0     ; 0    ;
4254
; Unconstrained Input Ports       ; 30    ; 30   ;
4255
; Unconstrained Input Port Paths  ; 317   ; 317  ;
4256
; Unconstrained Output Ports      ; 124   ; 124  ;
4257
; Unconstrained Output Port Paths ; 331   ; 331  ;
4258
+---------------------------------+-------+------+
4259
 
4260
 
4261
+------------------------------------+
4262
; TimeQuest Timing Analyzer Messages ;
4263
+------------------------------------+
4264
Info: *******************************************************************
4265
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
4266
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
4267
    Info: Processing started: Fri Jun 17 12:50:52 2016
4268
Info: Command: quartus_sta z80soc -c 073DE2115d
4269
Info: qsta_default_script.tcl version: #1
4270
Warning (20028): Parallel compilation is not licensed and has been disabled
4271
Info (21077): Low junction temperature is 0 degrees C
4272
Info (21077): High junction temperature is 85 degrees C
4273
Warning (335093): TimeQuest Timing Analyzer is analyzing 256 combinational loops as latches.
4274
Critical Warning (332012): Synopsys Design Constraints File file not found: '073DE2115d.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
4275
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
4276
Info (332105): Deriving Clocks
4277
    Info (332105): create_clock -period 1.000 -name SW[15] SW[15]
4278
    Info (332105): create_clock -period 1.000 -name CLOCK_50 CLOCK_50
4279
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25MHz clk_div:clkdiv_inst|clock_25MHz
4280
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_10Khz_int clk_div:clkdiv_inst|clock_10Khz_int
4281
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Khz_int clk_div:clkdiv_inst|clock_100Khz_int
4282
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Mhz_int clk_div:clkdiv_inst|clock_1Mhz_int
4283
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25Mhz_int clk_div:clkdiv_inst|clock_25Mhz_int
4284
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Khz_int clk_div:clkdiv_inst|clock_1Khz_int
4285
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Hz clk_div:clkdiv_inst|clock_100Hz
4286
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
4287
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
4288
    Info (332105): create_clock -period 1.000 -name T80se:z80_inst|MREQ_n T80se:z80_inst|MREQ_n
4289
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
4290
    Info (332098): Cell: Clk_Z80  from: datab  to: combout
4291
    Info (332098): Cell: Clk_Z80  from: datad  to: combout
4292
    Info (332098): Cell: Clk_Z80~0  from: datab  to: combout
4293
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
4294
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
4295
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
4296
Critical Warning (332148): Timing requirements not met
4297
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
4298
Info (332146): Worst-case setup slack is -18.853
4299
    Info (332119):     Slack End Point TNS Clock
4300
    Info (332119): ========= ============= =====================
4301
    Info (332119):   -18.853     -6188.176 clk_div:clkdiv_inst|clock_100Hz
4302
    Info (332119):   -15.271     -4622.675 SW[15]
4303
    Info (332119):    -9.393      -279.199 CLOCK_50
4304
    Info (332119):    -6.449     -1219.866 T80se:z80_inst|MREQ_n
4305
    Info (332119):    -5.761      -272.854 clk_div:clkdiv_inst|clock_25MHz
4306
    Info (332119):    -2.090       -36.933 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
4307
    Info (332119):    -0.895        -3.964 clk_div:clkdiv_inst|clock_25Mhz_int
4308
    Info (332119):    -0.665        -0.945 clk_div:clkdiv_inst|clock_1Mhz_int
4309
    Info (332119):    -0.522        -0.875 clk_div:clkdiv_inst|clock_10Khz_int
4310
    Info (332119):    -0.506        -0.831 clk_div:clkdiv_inst|clock_100Khz_int
4311
    Info (332119):    -0.320        -0.600 clk_div:clkdiv_inst|clock_1Khz_int
4312
Info (332146): Worst-case hold slack is -5.753
4313
    Info (332119):     Slack End Point TNS Clock
4314
    Info (332119): ========= ============= =====================
4315
    Info (332119):    -5.753      -586.538 SW[15]
4316
    Info (332119):    -1.638       -15.071 clk_div:clkdiv_inst|clock_100Hz
4317
    Info (332119):    -1.628       -63.193 T80se:z80_inst|MREQ_n
4318
    Info (332119):    -0.418        -0.418 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
4319
    Info (332119):    -0.319        -0.319 clk_div:clkdiv_inst|clock_1Mhz_int
4320
    Info (332119):    -0.260        -0.703 CLOCK_50
4321
    Info (332119):    -0.127        -0.127 clk_div:clkdiv_inst|clock_10Khz_int
4322
    Info (332119):     0.048         0.000 clk_div:clkdiv_inst|clock_25MHz
4323
    Info (332119):     0.106         0.000 clk_div:clkdiv_inst|clock_100Khz_int
4324
    Info (332119):     0.440         0.000 clk_div:clkdiv_inst|clock_1Khz_int
4325
    Info (332119):     0.477         0.000 clk_div:clkdiv_inst|clock_25Mhz_int
4326
Info (332146): Worst-case recovery slack is -2.384
4327
    Info (332119):     Slack End Point TNS Clock
4328
    Info (332119): ========= ============= =====================
4329
    Info (332119):    -2.384        -2.384 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
4330
Info (332146): Worst-case removal slack is 2.874
4331
    Info (332119):     Slack End Point TNS Clock
4332
    Info (332119): ========= ============= =====================
4333
    Info (332119):     2.874         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
4334
Info (332146): Worst-case minimum pulse width slack is -3.000
4335
    Info (332119):     Slack End Point TNS Clock
4336
    Info (332119): ========= ============= =====================
4337
    Info (332119):    -3.000     -1318.219 SW[15]
4338
    Info (332119):    -3.000      -143.065 CLOCK_50
4339
    Info (332119):    -2.693      -619.205 clk_div:clkdiv_inst|clock_100Hz
4340
    Info (332119):    -2.693      -178.641 clk_div:clkdiv_inst|clock_25MHz
4341
    Info (332119):    -1.285       -29.555 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
4342
    Info (332119):    -1.285        -7.710 clk_div:clkdiv_inst|clock_25Mhz_int
4343
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_100Khz_int
4344
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_10Khz_int
4345
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Khz_int
4346
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Mhz_int
4347
    Info (332119):    -1.285        -1.285 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
4348
    Info (332119):     0.261         0.000 T80se:z80_inst|MREQ_n
4349
Info (332102): Design is not fully constrained for setup requirements
4350
Info (332102): Design is not fully constrained for hold requirements
4351
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
4352
    Info: Peak virtual memory: 527 megabytes
4353
    Info: Processing ended: Fri Jun 17 12:51:07 2016
4354
    Info: Elapsed time: 00:00:15
4355
    Info: Total CPU time (on all processors): 00:00:12
4356
 
4357
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.