OpenCores
URL https://opencores.org/ocsvn/npigrctrl/npigrctrl/trunk

Subversion Repositories npigrctrl

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/trunk/npi_vga_v1_00_b/netlist/fifo_sp_32.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$45740<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>;0185+663;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=57=4:3CE\XZ5dhl?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:42<;;0DYY^ZT;flqq:493:586=<22f87v778l|z==>"509754=32@D[YY4KIQC?7?69981?6D@_UU8GMUD;;3:5=?5;:NWWTPR=LFZJ0>4?>0080?IR\Y__6IA_B=194;7<>;1=>?599595=<033?3J=?59E0940>>3:8;0595601;1?<0330<=5<<49;KMTPR=IMNYM1650?31?<<NFY__6LJKRC>;>586m231EC^ZT;rqkbYbey~rSyf}erj?<?69:<126D@_UU8svjaXmdzuRzgrdqk[dutm{~747>1249:>LHW]]0{~biPelrw}ZrozlycSckhaug?<?699m126D@_UU8svjaXn|fgSyf}erj?<?69:=126D@_UU8svjaXn|fgSyf}erj\evubz}636=0=4:;9MKVR\3zycjQiumn\pmtb{aUeijo{e=:94;7?300DYY^ZT;fbpdYdg|d054?>0`8=?IR\Y__6jPd`vb[firf}636=0>b:;9KPRW]]0|~Rjnt`]`kphs410;295NA10;?DBCZH6;255NDEPB848?3HNO^L2=>99B@ATF4:437LJKR@>7:==FLMXJ0807;@FGVD:1611JHI\N<6<b?DBCZH636=07;@FGVD:?611JHI\M<1<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:06h1JHI\M<983:==FLMXI0506;@QZJFYUMN;0N>5MU3:8FPUXAGLD=6M;;BC;E1=DKMI97NK>2:AKAFMXD@INB^KPTXRF6>EN<2IGGL:4CMIA7>EKZ01HC@CFTUGG51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B53MC=7IGN<1<4?AOF48:5;6JFA=32:2=CAH6:>394DHC?56803MCJ0<:17:FJE9726>1OEL2>6?58@LG;9>4<7IGN<0:=3>BNI5;22;5KI@>2:2=CAH69<394DHC?64803MCJ0?<17:FJE9446>1OEL2=4?58@LG;:<4<7IGN<34=3>BNI58<2:5KI@>1<;1<L@K7>409;EKB87803MCJ0>>19:FJE956294<7IGN<23=2>BNI595:6JFA=6=2>BNI5?5:6JFA=4=2>BNI5=5:6JFA=:=2>BNI535:6JFB=2=3>BNJ5;;2:5KIC>25;1<L@H7=?08;EKA8459?2NBN1?;>69GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1<L@H7>=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK622:5KIQC?4;1<L@ZJ0<08;EKSE94912NB\L2<:1<4?AOWI595;6JFPC>3:2=CAYH7=394DHRA878>3MC[N1=50?58@LVE4:4=7IAN<1<4?AIF48:5;6J@A=32:2=CGH6:>394DNC?56803MEJ0<:17:FLE9726>1OCL2>6?58@JG;9>4<7IAN<0:=3>BHI5;22;5KO@>2:2=CGH69<394DNC?64803MEJ0?<17:FLE9446>1OCL2=4?58@JG;:<4<7IAN<34=3>BHI58<2:5KO@>1<;1<LFK7>409;EMB87803MEJ0>>19:FLE956294<7IAN<23=2>BHI595:6J@A=6=2>BHI5?5:6J@A=4=2>BHI5=5:6J@A=:=2>BHI535:6J@B=2=3>BHJ5;;2:5KOC>25;1<LFH7=?08;EMA8459?2NDN1?;>69GKG:6=7=0HBL317<4?AIE48=5;6J@B=3;:2=CGK6:5384DN@?5;1<LFH7>=08;EMA8779?2NDN1<=>69GKG:5;7=0HBL325<4?AIE4;?5;6J@B=05:2=CGK69;394DN@?6=803MEI0?716:FLF949?2NDN1=?>89GKG:493:5;6J@B=12:3=CGK682;5KOC>7:3=CGK6>2;5KOC>5:3=CGK6<2;5KOC>;:3=CGK622:5KOQC?4;1<LFZJ0<08;EMSE94912ND\L2<:1<4?AIWI595;6J@PC>3:2=CGYH7=394DNRA878>3ME[N1=50?58@JVE4:4:7H=4EOC0?@HE<2L;4=<4F908BA5<NMI?7KJLE29E@U2<NMZN86HI2168BC>7<2LMIL;4F`npa4=@;2MEH<5F2:K36>O6:2C9>6G<8:KMMQVX8920ECG[P^22<>OIA]ZT<?64IOKWTZ6402CEEY^P05:8MKOSXV:>46GAIUR\43><AGC_\R>89:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471<AGC_S==8;HLJPZ63?2CEEYQ?569JJLRX8?=0ECG[_154?LHN\V:3;6GAIU]3=2=NF@^T<L94IOKW[5D03@DBXR>L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571<AGC_S<=8;HLJPZ73?2CEEYQ>569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<7D@FT^033>OIA]U9=:5FNHV\671<AGC_S?=8;HLJPZ43?2CEEYQ=569JJLRX:?=0ECG[_354?LHN\V83;6GAIU]1=2=NF@^T>L94IOKW[7D03@DBXR<L7:KMMQY5L>1BBDZP2D58MKOSW;L<7D@FT^133>OIA]U8=:5FNHV\771<AGC_S>=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;6GAIU]0=2=NF@^T?L94IOKW[6D03@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:L=7D@FT^C5?LHN\VH87D@Y3:KLF7=KA;1GC;5CO@FF@2=KGNCHMA:4LTV20>JR\;<0@XZ=_M68HPR4<2F^X984LTV7[I3<EZMDB85BUYAZg>Kfbfx]i}fooa8Ilhhz_oydaa2:L27>H68:1E=<:4N0320>H69;>0B<?<4:L2512<F8;>86@>1768J470<2D:=5:4N03:7>H6:=1E=?>;;O3151=I9;8?7C?=359M57233G;9995A1347?K75?=1E=?6;;O31=6=I9:>0B<=?4:L2742<F89986@>3268J453<2D:?8:4N0150>H6;>>0B<=74:L27<5<F8>?7C?;059M51733G;?>95A1517?K73<=1E=98;;O3736=I9<90B<8<;O347>H60:1E=4=4N320?K46;2D9>>5A2218J7243G8>?6@=629M625<F;287C<63:L046=I;890B><<;O107>H4<:1E?8=4N240?K50:2D=>6@82:L;6>H>;2D2>>5A9218J<343G3<?6@68g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;Pg8VDKE9?UX?;Q\3738W45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC4:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0123[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv5679VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<?Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?011\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4563W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;9R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?07]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3451XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:;S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?1^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2347YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt7899T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>;_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1231ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678?UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=9PRdqvhq7592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?3305?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789>9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0127570<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<8<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34536:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?63:8Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?;:>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123274e3\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8Pbef363=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos23427582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<14>S7'nxm"h gbz-gim'{nT|cz}_fa?0;473\:$kh!rg-dg}(ddbr$~iQnup\cf:26;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm38?3e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]35c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[47a3\:$kh!rg-dg}(ddbr$~iQnup\cfY59o1^<"i}f/pe+be&jf`t"|k_qlwvZadW:;m7X> gsd-vc)`kq$h`fv re]sjqtXojU?=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS8?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ91g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_63e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb];63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>;:73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT>?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:?6;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U3>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:<><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1>1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=3=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj949:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf595><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1:1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=7=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj909:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5=5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb161219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^214>S7'nxm"h gbz-gim'~xT|cz}_ckm[4473\:$kh!rg-dg}(ddbr${Qnup\flhX:;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU8>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR:=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_403?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\276<]9%l~k }f.e`|+ekcq%|~R~ats]amkY0:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV29:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012362=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;<<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34575?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>>1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?010263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;??94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234645>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>;269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567<88=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01713>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:>=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos23434?3\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=8>1358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?89n6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0125[gbc8;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0604?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789=:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0=0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm31?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>1:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg959:91^<"i}f/pe+be&jf`t"y}_qlwvZad4=49<6[?/fpe*w`(ojr%oaew/vp\tkruWni793<?;T2,cw`)zo%lou lljz,swYwf}xTkn29>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=5=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`8=86n2_;#j|i.sd,cf~)keas#z|Ppovq[beX88l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV;:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT><h4U1-dvc(un&mht#mcky-tvZvi|{UloR=>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP40d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^72b>S7'nxm"h gbz-gim'~xT|cz}_fa\24`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ16n2_;#j|i.sd,cf~)keas#z|Ppovq[beX0;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi30?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4849:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=0=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn682?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?0;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol080=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc909:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij28>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;078>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_106?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W88>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_306?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W:8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_506?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W<8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_706?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W>8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_90:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7<3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;97827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?6;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?33?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;783<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;=7827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?2;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?37?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;743<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X8;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]26==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R<=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W:837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\07><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q:299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V<946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[24?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P8378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv5678;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?1378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv567:;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?3378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv567<;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?5378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv567>;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?73c8Q5)`zo$yj"ic0/pg+bt6&{l|jy!]AL]Q@ZCIWO]MX<<l;T2,cw`)zo%l`= }d.eq5+tao~$^LCPRE]FJZ@PN];T=?74U1-dvc(un&mg<#|k/fp2*w`pn}%YM@Q]EFMVPZCI9:90Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi;11^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<#Fn0307>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c::<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io1;?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5%@d:=?64U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZ`e:>1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h0f?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}UbSb|?0121b>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~TeRa}012356`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8584n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\g|:66:l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Ze~4;48j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xkp682>h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vir090<f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2:>2d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<7<0b>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pcx>4:6`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8=8382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;97>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6929>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1=1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<5<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?1;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:16=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5=58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We050;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz39?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>2:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv949<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4:4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx783:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc2:>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=4=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq828382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;07>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{622?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!]AL]FIUR^WOHTecx<6:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/SCN[@KW\PUMNRgav0]JJSY7;01^<"i}f/pe+bj7&{n$ko|.frf*wbwm&kofx}{ee]tvZvi|{UyhR~nd=2=7d=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*gcb|yiiQxr^rmpwYulVzjh1>113d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<0<1b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>1:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8685n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]sea:36;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugc4<49j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim6=2?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko0:0=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{mi27>3d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<8<1a>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]26`=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\67c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[64b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z25m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY2:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX>;o0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW>8n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV29i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU2?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?5;503\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Zgcl9:;<1<1369V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljPaef3456;;79<7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflVkoh=>?0=6=72=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\eab789:793=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd1234909;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>37?14?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`5678525?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?=;4e3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j73=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<>64U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVcTo`~Pld234544;01^<"i}f/pe+bj7&{n$ko|.frf*wbwm&zycjQjmqvz[lYdeyUgi=>?03147<=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<?:?3e9V4*aun'xm#jb?.sf,cwgt&nzn"je.rqkbYbey~rSdQlmq]oa5678;>;S^Y?289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|5:5>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp979:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1=12g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?5;443\:$kh!rg-dh5(ul&mym~ }suq,g5)q9585>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?33?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5929:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T<Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V;Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\0Zhh|9:;<<<6;T2,cw`)zo%l`= }d.eqev(u{}y$o<!laspzj`r;87827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?5;4>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz32?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7?3<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=3=66=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?0;4e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^6\jjr789::>=5Z0.eqb+ta'nf;"j gscp*wus{&ida}>=0:W3+bta&{l$ka>!re-dvdu)zz~x#nabp00:?P6(o{l%~k!hl1,q`*auiz$yy} r`o\ahvsqVliSd=?;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0238Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTeRa}0123575<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at007?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq25<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex8<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw272<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~<>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu:1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0=0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~494T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;978h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?5;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>1:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2=>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1=12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq595Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4=49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az818Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?1;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw35?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot29>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6=2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5=5>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}919W{~9m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv969:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>2:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|32?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0>0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5>5>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:26;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?2;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<6<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1612e9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{632R|{1g9V4*aun'xm#jb?.sf,vuhsz&idycz30?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=3=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?6;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9599o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;<7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5?5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7:3?i;T2,cw`)zo%l`= }d.psjqt(kfex1911g9V4*aun'xm#jb?.sf,vuhsz&idycz38?3f?P6(o{l%~k!hl1,q`*twf}x$ob{at^22a>S7'nxm"h gm2-va)uxg~y#naznu]25`=R8&myj#|i/fn3*wb(zyd~"m`uov\64c<]9%l~k }f.eo4+tc'{zex!lotlw[67b3\:$kh!rg-dh5(ul&x{by| cnwmpZ26m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY29l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX>8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW>;n7X> gsd-vc)`d9$yh"|nup,gjsi|V29>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1>1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>2:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;:7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0>0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=6=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:26;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7:3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><6<16>S7'nxm"h gm2-va)uxg~y#naznu]g59>9:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U;><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q>209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]164=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y4:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U?><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q:209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]564=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y0:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U3?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7<3=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1?13g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw32?1e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=1=7c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?0;5a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}939;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;>79m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5=5?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs743:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2>>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=0=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww868382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;<7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6>29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x181419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<6<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?<;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:>6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5958=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey090;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|35?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>5:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv919<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu414?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx753<i;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjh1=12g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|lj34?0e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd=7=6c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f?2;4a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`919:o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdb;078m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvfl535>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT??k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS9<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR;=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ92d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP73g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_90f?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^;03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a6789682>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238184?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=2:>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674?48;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>4:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;050<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:>6;k0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[l553\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(x{elSk{cl^k\ip~7899886[?/fpe*w`(oe:%{!hw`q-cu`){zm#}|`g^dvhiYnWds<=><1617?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,twi`Wog`RgPmtz345558:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYj}q:;<>;<2:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/qplcZ`rdeUbSca{012276=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*vugnUmyabPi^llp56798837X> gsd-vc)`d9$|~"ixar,dtc(pzyl${Q}su]g57?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>3:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64849?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2=>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28685;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86?2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W=Uecy>?0131=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0=0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs484956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw878512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><1<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>0:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64=49n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S<Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U9Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W:Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y3Wge<=>?1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu4502_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rhm_h06?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`8h7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv5678;n0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi^mq456798n0Y=!hrg,qb*ak8'}y#ob_vp\akYn98l0Y=!hrg,qb*ak8'}y#ob_vp\akYn9V;:j6[?/fpe*w`(oe:%{!}al]tvZciW`;T>?=4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov10>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|88?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{2368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by:=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp0433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d:?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov461=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}2956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8585k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw31?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:56Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}959:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<5<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs793<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;=7Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:16;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu191_sv1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1>12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6:2?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;:78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8685i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=6=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2:>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7:3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4>49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv9>9:m1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>;:Zts9o1^<"i}f/pe+bj7&~x${}`{r.alqkr;87;m7X> gsd-vc)`d9$|~"ynup,gjsi|5;5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7>3?i;T2,cw`)zo%l`= xr.usjqt(kfex1=11g9V4*aun'xm#jb?.vp,suhsz&idycz34?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=7=5c=R8&myj#|i/fn3*rt(yd~"m`uov?2;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9199o1^<"i}f/pe+bj7&~x${}`{r.alqkr;07;n7X> gsd-vc)`d9$|~"ynup,gjsi|V::i6[?/fpe*w`(oe:%{!xpovq+firf}U:=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T><k4U1-dvc(un&mg<#y}/vrmpw)dg|dS>?j;T2,cw`)zo%l`= xr.usjqt(kfexR:>e:W3+bta&{l$ka>!ws-ttkru'je~byQ:1d9V4*aun'xm#jb?.vp,suhsz&idyczP60g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_63f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^:16>S7'nxm"h gm2-sw)pxg~y#naznu]g5969:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86:2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?32?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28685:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95>5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2:>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?2;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64>49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=161209V4*aun'xm#jb?.vp,suhsz&idyczPd0]364=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q<209V4*aun'xm#jb?.vp,suhsz&idyczPd0]764=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y2:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U=><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q8209V4*aun'xm#jb?.vp,suhsz&idyczPd0];67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87=3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<3<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18385:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5=5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?27>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\477<]9%l~k }f.eo4+qu'~zex!lotlw[a4X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T>??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\077<]9%l~k }f.eo4+qu'~zex!lotlw[a4X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T:??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\<40<]9%l~k }f.ofi*bh}}UbS=?9;T2,cw`)zo%fi`!kotv\mZ76?2_;#j|i.sd,i`k(lfSdQ>0058Q5)`zo$yj"cjm.flqqYnW8;:;6[?/fpe*w`(elg$hb{{_h]2641<]9%l~k }f.ofi*bh}}UbS<=>7:W3+bta&{l$ahc dnww[lY6<8=0Y=!hrg,qb*kbe&ndyyQf_0723>S7'nxm"h mdo,`jssW`U::<94U1-dvc(un&gna"j`uu]j[416?2_;#j|i.sd,i`k(lfSdQ>8058Q5)`zo$yj"cjm.flqqYnW83::6[?/fpe*w`(elg$hb{{_h]152=R8&myj#|i/lgn+air|VcT>=?8;T2,cw`)zo%fi`!kotv\mZ469>1^<"i}f/pe+hcj'me~xRgP2334?P6(o{l%~k!bel-gkprXaV88=:5Z0.eqb+ta'dof#iazt^k\61703\:$kh!rg-nah)cg|~TeR<:169V4*aun'xm#`kb/emvpZoX:?;<7X> gsd-vc)jmd%ocxzPi^0452=R8&myj#|i/lgn+air|VcT>5?8;T2,cw`)zo%fi`!kotv\mZ4>9?1^<"i}f/pe+hcj'me~xRgP3058Q5)`zo$yj"cjm.flqqYnW:::;6[?/fpe*w`(elg$hb{{_h]0540<]9%l~k }f.ofi*bh}}UbS9?9;T2,cw`)zo%fi`!kotv\mZ36>2_;#j|i.sd,i`k(lfSdQ9179V4*aun'xm#`kb/emvpZoX?8<0Y=!hrg,qb*kbe&ndyyQf_935?P6(o{l%~k!bel-gkprXaV3:56[?/fpe*w`(elg$hb{{_lgn8586i2_;#j|i.sd,i`k(lfS`kb<02=5d=R8&myj#|i/lgn+air|Vgna1?>>0c8Q5)`zo$yj"cjm.flqqYjmd6:>3?n;T2,cw`)zo%fi`!kotv\i`k;9:4:m6[?/fpe*w`(elg$hb{{_lgn84299h1^<"i}f/pe+hcj'me~xRcjm=36:4g<]9%l~k }f.ofi*bh}}Ufi`2>6?3b?P6(o{l%~k!bel-gkprXelg7=:0>a:W3+bta&{l$ahc dnww[hcj4825=l5Z0.eqb+ta'dof#iazt^ofi97>6830Y=!hrg,qb*kbe&ndyyQbel>2:4g<]9%l~k }f.ofi*bh}}Ufi`2=0?3b?P6(o{l%~k!bel-gkprXelg7><0>a:W3+bta&{l$ahc dnww[hcj4;85=l5Z0.eqb+ta'dof#iazt^ofi94468k0Y=!hrg,qb*kbe&ndyyQbel>10;7f3\:$kh!rg-nah)cg|~Tahc324<2e>S7'nxm"h mdo,`jssWdof0?811`9V4*aun'xm#`kb/emvpZkbe58<2<o4U1-dvc(un&gna"j`uu]nah:507;j7X> gsd-vc)jmd%ocxzPmdo?6<8612_;#j|i.sd,i`k(lfS`kb<3<2e>S7'nxm"h mdo,`jssWdof0>>11`9V4*aun'xm#`kb/emvpZkbe59:2<74U1-dvc(un&gna"j`uu]nah:46830Y=!hrg,qb*kbe&ndyyQbel>7:4?<]9%l~k }f.ofi*bh}}Ufi`2:>0;8Q5)`zo$yj"cjm.flqqYjmd6=2<74U1-dvc(un&gna"j`uu]nah:06830Y=!hrg,qb*kbe&ndyyQbel>;:4?<]9%l~k }f.ofi*bh}}Ufi`26>7g8Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'8Tbbgaiu,qeh)cx:<s?; }al3a?P6(o{l%~k!bel-wiuYuidUyhRka1b9V4*aun'xm#`kb/uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj41<]9%l~k }f.pbiZtbofSh`>3:W3+bta&{l$~lcPws]fj4b<]9%l~k }f.pppgjl'{nT~~zParpfc76<]9%l~k }f.pppgjl'{nT~~zParpfcZb6:91^<"i}f/pe+wusjea$~iQ}su]bwwc`Wm8:o6[?/fpe*w`(zz~i`f!}d^pppZehek;o7X> gsd-vc)u{}hgg"|k_sqw[fijj8;n7X> gsd-vc)u{}hgg"|k_sqw[wc`494:i6[?/fpe*w`(zz~i`f!}d^pppZtbo5;5=h5Z0.eqb+ta'{ynae re]qwqYumn692<j4U1-dvc(un&xxxobd/sf\vvrXzlmT<<j4U1-dvc(un&xxxobd/sf\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXzlmT><j4U1-dvc(un&xxxobd/vp\vvrXizxnk?>4U1-dvc(un&xxxobd/vp\vvrXizxnkRj>219V4*aun'xm#}{bmi,swYu{}Ujkh_e02g>S7'nxm"h rrvahn)pzVxxxRm`mc3g?P6(o{l%~k!}su`oo*quW{ySnabb03f?P6(o{l%~k!}su`oo*quW{ySkh<1<2a>S7'nxm"h rrvahn)pzVxxxR|jg=3=5a=R8&myj#|i/sqwfim({UyyQ}ef]35a=R8&myj#|i/sqwfim({UyyQ}ef]2e>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4WDC0?RCEj2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPB0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybccm4amolwqYbey~rn6ocmnqw[cskd?1imnezpe9aefmrxVgj~fk}3:aooa=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)e9geqgXkfex%:&d:fbpdYdg|d$8'k;ecweZeh}g~#:$j4d`vb[firf}"<%i5kauc\gjsi|!2"j6jnt`]`kphs410;2:5kbhvfvw0<l`d#<$84dhl+5,1<l`d#=='8;ekm,47.?2nbb%?=)69gmk.6; =0hd`'15+4?aoi 8?";6jfn)35-2=cag":;$94dhl+5=/03mce$<7&6:fjj-4.?2nbb%<?)69gmk.59 =0hd`'23+4?aoi ;9";6jfn)07-2=cag"99$94dhl+63/03mce$?9&7:fjj-4?!>1oec&=9(48`lh/; =0hd`'31+4?aoi :;":6jfn)6*2>bnf!?":6jfn)4*2>bnf!=":6jfn):*2>bnf!3":6jfn=2=3>bnf5;;2:5kio>25;1<l`d7=?08;ekm8459?2nbb1?;>69gmk:6=7=0hd`317<4?aoi48=5;6jfn=3;:2=cag6:5384dhl?5;1<l`d7>=08;ekm8779?2nbb1<=>69gmk:5;7=0hd`325<4?aoi4;?5;6jfn=05:2=cag69;394dhl?6=803mce0?716:fjj949?2nbb1=?>89gmk:493:5;6jfn=12:3=cag682;5kio>7:3=cag6>2;5kio>5:3=cag6<2;5kio>;:3=cag622:5kotv+4,1<lf$<'7;emvp-77!11ocxz'10+;?air|!;9%55kotv+56/?3me~x%?;)99gkpr/9<#37iazt)35-==cg|~#=:'7;emvp-7?!11ocxz'18+4?air|!8"46j`uu*14,><lf$??&8:flqq.5: 20hb{{(31*<>bh}}"98$64dnww,73.02ndyy&=6(:8`jss ;="46j`uu*1<,><lf$?7&7:flqq.4!11ocxz'31+;?air|!9:%:5kotv+0,1<lf$8'8;emvp-0.?2ndyy&8)69gkpr/0 =0hb{{(8+4?air|5:546j`uu>24;><lf0<?18:flqq:6:720hb{{<01=<>bh}}6:8364dnww843902ndyy2>6?:8`jss48=546j`uu>2<;><lf0<717:flqq:6611ocxz321<;?air|58:255kotv?678?3me~x1<<>99gkpr;:=437iazt=06:==cg|~7>;07;emvp940611ocxz329<;?air|5822:5kotv?6;><lf0>>1a:flqq:493:546j`uu>05;1<lf0>08;emvp929?2ndyy2:>69gkpr;>7=0hb{{<6<4?air|525;6j`uu>::==bl`l9bjj:;dosp|4a3ocmcRijndpbpjtX~=U8 -Vflhl{$FIUM)Mnbh|ntnp#55(6i2lbjbQ|p^116==aaoeT}Q<2-djbjY`mgoymya}_w6\7)HHFL&ECCK<b09emciX{yU8>!hffn]dakcui}eyS{:P3-y`[mgtW`dSnbd=1.`[mgtWzxxx0>#c^pg[ctby4;'oRfns^pg[agsiVidycz20-a\`lhX`nd0==,b]kevYpzVnjxlQlotlw95*dWog`Rhcafq\vvrX~hf6=!mPilroahci|h~bccQ{yqg>6)eX}zoTi`ljdegg[wc`59&hSz|Pfsgr94*dW|ynSiazt^ppp87+kVehh|ilnu\hjq:8%iTdl}Powgqbiip59&hS}|jlncg[igsmgir1="l_emvpZqnl}b6??"l_lqdkkYqie7: nQ}d^fftqn:=88'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4;'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?2(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;4<0&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk58:: nQrne\ahvsqV~c~h}g_`qpawrX~hf6==>#c^jbwZpfd`n6<!mPpsmd[cskdV~c~h}g_`qpawrX~hf6?8>#cnoskkci|Vdjah3iigm\wuY4:%iTzlbfd^nls86+kVzye`Qiigm\p|vb5<;9t>8#c^rqkbYa}efTxt~j=0.`[hcjg{sTxt~j=0.~61=aaoeT}Q<2^djbjY`mgoymya}_w6\7Z~t|V;?7k{cl69jjqYddb20bjmmuhng<>iqm{lgczo4psmd[`kw|p;?7}|`g^gntqX|axne&?)068twi`Wlg{xtQ{hsgpl-7.9=1{~biPelrw}Zrozlyc$?'>4:rqkbYbey~rSyf}erj+7,733yxdkRkbpu{\pmtb{a"?%<:4psmd[`kw|pUdk|h)7*51=wzfmTi`~{y^vkv`uo ?#:86~}of]fiur~W}byi~f'7(37?uthoVof|ywPtipfwm.?!8<0|ah_dosp|Ys`{oxd1650?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdzuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5rne\ahvsqV~c~h}g_`qpawr/; ;i7}|`g^gntqX|axneQnsrgqp-2.9k1{~biPelrw}ZrozlycSl}|esv+1,7e3yxdkRkbpu{\pmtb{aUj~k}t)4*5g=wzfmTi`~{y^vkv`uoWhyxiz'7(3a?uthoVof|ywPtipfwmYf{zoyx%6&1e9svjaXmdzuRzgrdqk[dutm{~747>11c9svjaXmdzuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=o5rne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntqX|axneQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnki%9&1c9svjaXmdzuRzgrdqk[kc`i}o#4$?k;qplcZcjx}sTxe|jsi]mabgsm521<374psmd[cskd890|ah_gwohZrozlyc$='>3:rqkbYa}efTxe|jsi*2-45<x{elSk{cl^vkv`uo ;#:?6~}of]eqijX|axne&<)018twi`Wog`Rzgrdqk,1/6;2zycjQiumn\pmtb{a">%<=4psmd[cskdV~c~h}g(7+27>vugnUmyabPtipfwm.0!890|ah_gwohZrozlyc$5'>5:rqkbYa}efTxe|jsi>;>586i2zycjQiumn\pmtb{aUj~k}t)2*5d=wzfmTjxbc_ujqavnXizyn~y&>)0c8twi`Wog`Rzgrdqk[dutm{~#>$?n;qplcZ`rdeUdk|h^cpw`ts :#:m6~}of]eqijX|axneQnsrgqp-2.9h1{~biPftno[qnumzbTm~}jru*6-4g<x{elSk{cl^vkv`uoWhyxiz'6(3b?uthoVl~`aQ{hsgplZgt{lx$:'>a:rqkbYa}efTxe|jsi]bwvcu|!2"=n5rne\bpjkW}byi~fParqfvq:?294:m6~}of]eqijX|axneQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4g<x{elSk{cl^vkv`uoWgolmyk'2(3b?uthoVl~`aQ{hsgplZhboh~n$>'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8k0|ah_gwohZrozlycSckhaug+2,7f3yxdkRhzlm]wlwct`Vdnklzj(6+2e>vugnUmyabPtipfwmYimnki%6&1b9svjaXn|fgSyf}erj\j`af|l636=09;sf\gim682xoSio{a^alqkr/8 ;;7jPd`vb[firf}":%<>4re]geqgXkfex%<&119q`Zbf|hUhcx`{(2+24>tcWmkmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu>3:44<zmUomyoPcnwmp9>=87?0~iQjn79q`Ztt|:1yy94sckwawt33zxxx<84tlr,[fa(n`ldS~~P33,mcj2<|{n56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpe30?EF=?81J7:51zQ7b?3703?1=><973:974208rd?;94>;o641?2<,==9698l;|Q7`?3703?1=><973:9742082Y:8h4:1083>455>>836>?;719P0a<2980;6<==660;>673?81o9=l50;395~U3n3?;47;5120537>=;8><<6x[1c094?7=93;hnv];f;73<?3=9:8=;?6530644>"3>?0:8k5Y46196~s6=10:7x?:9;28y!7fi3o0n8>m:187=?5=<0qC8;:4Z5196~e=13w/=o9551`8 1162<:j7b;<2;29?l32l3:17b;>3;29?l30:3:17b;?f;29?j3713:17d;97;29?l32n3:17b:j0;29 4gd2=lm7c?nb;28?j2cn3:1(<ol:5de?k7fj3;07b:kd;29 4gd2=lm7c?nb;08?j2ck3:1(<ol:5de?k7fj3907b:kb;29 4gd2=lm7c?nb;68?j2ci3:1(<ol:5de?k7fj3?07b:k9;29 4gd2=lm7c?nb;48?j2c03:1(<ol:5de?k7fj3=07b:k7;29 4gd2=lm7c?nb;:8?j2c>3:1(<ol:5de?k7fj3307b:k5;29 4gd2=lm7c?nb;c8?j2c<3:1(<ol:5de?k7fj3h07b:k2;29 4gd2=lm7c?nb;a8?j2c93:1(<ol:5de?k7fj3n07b:k0;29 4gd2=lm7c?nb;g8?j2dn3:1(<ol:5de?k7fj3l07b:le;29 4gd2=lm7c?nb;33?>i3km0;6)?nc;6eb>h6ik0:=65`4ba94?"6ij0?jk5a1``957=<g=ii6=4+1`a90c`<f8ki6<=4;n6`e?6=,8kh69hi;o3bf?7332e?o44?:%3bg?2an2d:mo4>5:9l0`0=83.:mn4;fg9m5dd=9?10c9k::18'5de=<ol0b<om:058?j2b<3:1(<ol:5de?k7fj3;376a;e283>!7fk3>mj6`>ac82=>=h<l81<7*>ab87bc=i9hh1=l54o5g2>5<#9hi18kh4n0ca>4d<3f>oi7>5$0c`>1`a3g;jn7?l;:m7`6<72-;jo7:if:l2eg<6l21d8n650;&2ef<3no1e=ll51d98k1e0290/=lm54gd8j4ge28l07d:6f;29 4gd2=hn7c?nb;28?l2>m3:1(<ol:5`f?k7fj3;07d:6c;29 4gd2=hn7c?nb;08?l2>j3:1(<ol:5`f?k7fj3907d:6a;29 4gd2=hn7c?nb;68?l2>13:1(<ol:5`f?k7fj3?07d:68;29 4gd2=hn7c?nb;48?l2>?3:1(<ol:5`f?k7fj3=07d:66;29 4gd2=hn7c?nb;:8?l2>=3:1(<ol:5`f?k7fj3307d:64;29 4gd2=hn7c?nb;c8?l2>;3:1(<ol:5`f?k7fj3h07d:61;29 4gd2=hn7c?nb;a8?l2>83:1(<ol:5`f?k7fj3n07d:7f;29 4gd2=hn7c?nb;g8?l2?m3:1(<ol:5`f?k7fj3l07d:7d;29 4gd2=hn7c?nb;33?>o30j0;6)?nc;6aa>h6ik0:=65f49`94?"6ij0?nh5a1``957=<a=2j6=4+1`a90gc<f8ki6<=4;h6;=?6=,8kh69lj;o3bf?7332c?454?:%3bg?2em2d:mo4>5:9j0d3=83.:mn4;bd9m5dd=9?10e9o;:18'5de=<ko0b<om:058?l2f;3:1(<ol:5`f?k7fj3;376g;a383>!7fk3>ii6`>ac82=>=n<h;1<7*>ab87f`=i9hh1=l54i5c3>5<#9hi18ok4n0ca>4d<3`>2h7>5$0c`>1db3g;jn7?l;:k7=7<72-;jo7:me:l2eg<6l21b85950;&2ef<3jl1e=ll51d98m1>1290/=lm54cg8j4ge28l07d;:1;29?g21=3:1=7>50zJ721=#9k=18;;4o0c:>5<<ukl26=4>:183M21<2.:n:4i9:me<?6=3th?>7>5a882<2<6kkqC8;:4Z51953}5:38=6?752281e?422j026?9529810?4?2;k1o7<6:319=?402;<1>84=4;01>x"6j>0><h5+15`9b2=#<10><n5+4d864a=#9h>1=l64o555>5<<a<?n6=44i43`>5<#9hi19<j4n0ca>5=<a<;i6=4+1`a914b<f8ki6<54i43b>5<#9hi19<j4n0ca>7=<a<;26=4+1`a914b<f8ki6>54i43;>5<#9hi19<j4n0ca>1=<a<;<6=4+1`a914b<f8ki6854i435>5<#9hi19<j4n0ca>3=<a<;>6=4+1`a914b<f8ki6:54i437>5<#9hi19<j4n0ca>==<g<996=44i442>5<<g<<>6=44i466>5<#9hi19984n0ca>5=<a<>?6=4+1`a9110<f8ki6<54i460>5<#9hi19984n0ca>7=<a<>96=4+1`a9110<f8ki6>54i462>5<#9hi19984n0ca>1=<a<>;6=4+1`a9110<f8ki6854i41e>5<#9hi19984n0ca>3=<a<9n6=4+1`a9110<f8ki6:54i41g>5<#9hi19984n0ca>==<a<?o6=44o431>5<<g<;86=44i55:>5<<g<?j6=4+1`a910e<f8ki6=54o47:>5<#9hi198m4n0ca>4=<g<?36=4+1`a910e<f8ki6?54o474>5<#9hi198m4n0ca>6=<g<?=6=4+1`a910e<f8ki6954o476>5<#9hi198m4n0ca>0=<g<??6=4+1`a910e<f8ki6;54o470>5<#9hi198m4n0ca>2=<g<?96=4+1`a910e<f8ki6554i405>5<#9hi19?94n0ca>5=<a<8>6=4+1`a9171<f8ki6<54i407>5<#9hi19?94n0ca>7=<a<886=4+1`a9171<f8ki6>54i401>5<#9hi19?94n0ca>1=<a<8:6=4+1`a9171<f8ki6854i403>5<#9hi19?94n0ca>3=<a<;m6=4+1`a9171<f8ki6:54i43f>5<#9hi19?94n0ca>==<a<=96=44o453>5<#9hi19:?4n0ca>5=<g<<m6=4+1`a9127<f8ki6<54o44f>5<#9hi19:?4n0ca>7=<g<<o6=4+1`a9127<f8ki6>54o44`>5<#9hi19:?4n0ca>1=<g<<i6=4+1`a9127<f8ki6854o44b>5<#9hi19:?4n0ca>3=<g<<26=4+1`a9127<f8ki6:54o44;>5<#9hi19:?4n0ca>==<g<:m6=44o42:>5<<a<9i6=4+1`a916e<f8ki6=54i41b>5<#9hi19>m4n0ca>4=<a<926=4+1`a916e<f8ki6?54i41;>5<#9hi19>m4n0ca>6=<a<9<6=4+1`a916e<f8ki6954i415>5<#9hi19>m4n0ca>0=<a<9>6=4+1`a916e<f8ki6;54i417>5<#9hi19>m4n0ca>2=<a<986=4+1`a916e<f8ki6554i444>5<<a<;;6=44i55b>5<<a<=86=44o443>5<<g<<=6=44o447>5<<a<?m6=44o5g3>5<#9hi18kh4n0ca>5=<g=nm6=4+1`a90c`<f8ki6<54o5fg>5<#9hi18kh4n0ca>7=<g=nh6=4+1`a90c`<f8ki6>54o5fa>5<#9hi18kh4n0ca>1=<g=nj6=4+1`a90c`<f8ki6854o5f:>5<#9hi18kh4n0ca>3=<g=n36=4+1`a90c`<f8ki6:54o5f4>5<#9hi18kh4n0ca>==<g=n=6=4+1`a90c`<f8ki6454o5f6>5<#9hi18kh4n0ca>d=<g=n?6=4+1`a90c`<f8ki6o54o5f1>5<#9hi18kh4n0ca>f=<g=n:6=4+1`a90c`<f8ki6i54o5f3>5<#9hi18kh4n0ca>`=<g=im6=4+1`a90c`<f8ki6k54o5af>5<#9hi18kh4n0ca>46<3f>hh7>5$0c`>1`a3g;jn7?>;:m7gf<72-;jo7:if:l2eg<6:21d8nl50;&2ef<3no1e=ll51298k1ef290/=lm54gd8j4ge28>07b:l9;29 4gd2=lm7c?nb;36?>i3m?0;6)?nc;6eb>h6ik0::65`4d794?"6ij0?jk5a1``952=<g=o?6=4+1`a90c`<f8ki6<64;n6f7?6=,8kh69hi;o3bf?7>32e?i?4?:%3bg?2an2d:mo4>a:9l0`7=83.:mn4;fg9m5dd=9k10c9jj:18'5de=<ol0b<om:0a8?j2c;3:1(<ol:5de?k7fj3;o76a;c983>!7fk3>mj6`>ac82a>=h<j=1<7*>ab87bc=i9hh1=k54i5;e>5<#9hi18ok4n0ca>5=<a=3n6=4+1`a90gc<f8ki6<54i5;`>5<#9hi18ok4n0ca>7=<a=3i6=4+1`a90gc<f8ki6>54i5;b>5<#9hi18ok4n0ca>1=<a=326=4+1`a90gc<f8ki6854i5;;>5<#9hi18ok4n0ca>3=<a=3<6=4+1`a90gc<f8ki6:54i5;5>5<#9hi18ok4n0ca>==<a=3>6=4+1`a90gc<f8ki6454i5;7>5<#9hi18ok4n0ca>d=<a=386=4+1`a90gc<f8ki6o54i5;2>5<#9hi18ok4n0ca>f=<a=3;6=4+1`a90gc<f8ki6i54i5:e>5<#9hi18ok4n0ca>`=<a=2n6=4+1`a90gc<f8ki6k54i5:g>5<#9hi18ok4n0ca>46<3`>3o7>5$0c`>1db3g;jn7?>;:k7<g<72-;jo7:me:l2eg<6:21b85o50;&2ef<3jl1e=ll51298m1>>290/=lm54cg8j4ge28>07d:78;29 4gd2=hn7c?nb;36?>o3i<0;6)?nc;6aa>h6ik0::65f4`694?"6ij0?nh5a1``952=<a=k86=4+1`a90gc<f8ki6<64;h6b6?6=,8kh69lj;o3bf?7>32c?m<4?:%3bg?2em2d:mo4>a:9j0d6=83.:mn4;bd9m5dd=9k10e97k:18'5de=<ko0b<om:0a8?l2>:3:1(<ol:5`f?k7fj3;o76g;8683>!7fk3>ii6`>ac82a>=n<1<1<7*>ab87f`=i9hh1=k54o554>5<<a==36=44i46e>5<#9hi198>4n0ca>5=<a<>n6=4+1`a9106<f8ki6<54i46g>5<#9hi198>4n0ca>7=<a<>h6=4+1`a9106<f8ki6>54i46a>5<#9hi198>4n0ca>1=<a<>j6=4+1`a9106<f8ki6854i46:>5<#9hi198>4n0ca>3=<a<>36=4+1`a9106<f8ki6:54i464>5<#9hi198>4n0ca>==<g=286=4+1`a90=2<f8ki6=54o5:1>5<#9hi185:4n0ca>4=<g=2:6=4+1`a90=2<f8ki6?54o5:3>5<#9hi185:4n0ca>6=<g==m6=4+1`a90=2<f8ki6954o55f>5<#9hi185:4n0ca>0=<g==o6=4+1`a90=2<f8ki6;54o55`>5<#9hi185:4n0ca>2=<g==i6=4+1`a90=2<f8ki6554i413>5<#9hi19>?4n0ca>5=<a<8m6=4+1`a9167<f8ki6<54i40f>5<#9hi19>?4n0ca>7=<a<8o6=4+1`a9167<f8ki6>54i40`>5<#9hi19>?4n0ca>1=<a<8i6=4+1`a9167<f8ki6854i40b>5<#9hi19>?4n0ca>3=<a<826=4+1`a9167<f8ki6:54i40;>5<#9hi19>?4n0ca>==<g=2>6=44i472>5<<j=<m6=4>:183!7e?3l27E:9e:J721=hn10;66sm46294?7=83:p(<l8:546?M21m2B?:95`1`;94?=zj=?:6=4<:183!7e?3om7E:9e:J721=O<91/==951:k70?6=3`?;6=44o0cf>5<<uk>>o7>53;294~"6j>0nj6F;6d9K032<@=:0(<>8:09j01<722c><7>5;n3ba?6=3th?9=4?:283>5}#9k=1ik5G47g8L1033A>;7)??7;38m12=831b9=4?::m2e`<722wi88o50;794?6|,8h<6k=4H54f?M21<2B?<6*>0682?l232900e9950;9j15<722c:mi4?::m2e`<722wi88l50;194?6|,8h<6hh4H54f?M21<2B?<6*>0682?l232900e8>50;9l5dc=831vn9:i:186>5<7s-;i;7h<;I65a>N3>=1C8=5+11595>o3<3:17d:8:188m06=831b=lj50;9l5dc=831vn9;6:187>5<7s-;i;7h=;I65a>N3>=1/==951:k70?6=3`?;6=44i0cg>5<<g8kn6=44}c66<?6=<3:1<v*>b68e6>N3>l1C8;:4$024>4=n<=0;66g:0;29?l7fl3:17b?ne;29?xd3=>0;694?:1y'5g1=n;1C8;k4H547?!77?3;0e9:50;9j15<722c:mi4?::m2e`<722wi8;<50;694?6|,8h<6k<4H54f?M21<2.:<:4>;h67>5<<a<:1<75f1`f94?=h9ho1<75rb542>5<3290;w)?m7;d1?M21m2B?:95+11595>o3<3:17d;?:188m4gc2900c<oj:188yg5793:187>50z&2f2<a:2B?:h5G4768 4602=1b894?::k64?6=3`;jh7>5;n3ba?6=3th8ml4?:583>5}#9k=1j?5G47g8L1033-;;;7?4i5694?=n=90;66g>ae83>>i6il0;66sm3`;94?2=83:p(<l8:g08L10b3A>=86*>0682?l232900e8>50;9j5db=831d=lk50;9~f7c6290?6=4?{%3a3?72?2B?:h5G4768m1?=831b9<4?::k2f5<722e:m:4?::a6`6=83>1<7>t$0`4>4303A>=i6F;659j0<<722c>=7>5;h3a4?6=3f;j;7>5;|`1`c<72=0;6=u+1c59501<@=<n7E:94:k7=?6=3`?:6=44i0`3>5<<g8k<6=44}c0ga?6=<3:1<v*>b68212=O<?o0D98;;h6:>5<<a<;1<75f1c294?=h9h=1<75rb3fg>5<3290;w)?m7;363>N3>l1C8;:4i5;94?=n=80;66g>b183>>i6i>0;66sm2g694?2=83:p(<l8:074?M21m2B?:95f4883>>o293:17d?m0;29?j7f?3:17pl=f283>1<729q/=o951458L10b3A>=86g;9;29?l362900e<l?:188k4g02900qo<i2;290?6=8r.:n:4>569K03c<@=<?7d:6:188m07=831b=o>50;9l5d1=831vn?h>:187>5<7s-;i;7?:7:J72`=O<?>0e9750;9j14<722c:n=4?::m2e2<722wi>k>50;694?6|,8h<6<;8;I65a>N3>=1b844?::k65?6=3`;i<7>5;n3b3?6=3th8444?:583>5}#9k=1=894H54f?M21<2c?57>5;h72>5<<a8h;6=44o0c4>5<<uk9347>54;294~"6j>0:9:5G47g8L1033`>26=44i4394?=n9k:1<75`1`594?=zj:2<6=4;:183!7e?3;>;6F;6d9K032<a=31<75f5083>>o6j90;66a>a683>>{e;1<1<7:50;2x 4d028?<7E:9e:J721=n<00;66g:1;29?l7e83:17b?n7;29?xd40<0;694?:1y'5g1=9<=0D98j;I650>o313:17d;>:188m4d72900c<o8:188yg5>k3:187>50z&2f2<6=>1C8;k4H547?l2>2900e8?50;9j5g6=831d=l950;9~f6?e290?6=4?{%3a3?72?2B?:h5G4768m1?=831b9<4?::k2f5<722e:m:4?::a7<g=83>1<7>t$0`4>4303A>=i6F;659j0<<722c>=7>5;h3a4?6=3f;j;7>5;|`0=<<72=0;6=u+1c59501<@=<n7E:94:k7=?6=3`?:6=44i0`3>5<<g8k<6=44}c1:<?6=<3:1<v*>b68212=O<?o0D98;;h6:>5<<a<;1<75f1c294?=h9h=1<75rb0f:>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f4b?290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj8n<6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn<j9:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb0f6>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f4b3290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj8n86=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn<j=:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb0f2>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f75e290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;9j6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?=6:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb31;>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f750290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;9=6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?=::187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb317>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f754290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;286=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?6=:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb3:2>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f7>7290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;=m6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?9j:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb35g>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f71d290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;=i6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?<>:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb303>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f77a290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;;n6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn??k:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb33`>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f77e290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;;j6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn??6:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb352>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;=;6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb34e>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;<n6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb34g>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;<h6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb34a>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;<j6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb34:>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;:m6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb32f>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;:o6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb32`>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;:i6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb32b>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;:26=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb32;>5<3290;w)?m7;d3?M21m2B?:95G419'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;:<6=4;:183!7e?3l;7E:9e:J721=O<91/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb0dg>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f4`d290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj8li6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn<hn:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb0d:>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f4`?290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj8l<6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn<h9:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb0d6>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f73a290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;?n6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?;k:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb37`>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f73e290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj;?j6=4;:183!7e?3l;7E:9e:J721=#99=1=6g;4;29?l2d2900e8>50;9l5dc=831vn?;6:187>5<7s-;i;7h?;I65a>N3>=1/==951:k70?6=3`>h6=44i4294?=h9ho1<75rb37;>5<3290;w)?m7;d3?M21m2B?:95+11595>o3<3:17d:l:188m06=831d=lk50;9~f730290?6=4?{%3a3?`73A>=i6F;659'551=92c?87>5;h6`>5<<a<:1<75`1`g94?=zj:936=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a761=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl<3783>0<729q/=o95f09K03c<@=<?7)??7;38m12=831b8:4?::k7g?6=3`?;6=44o0cf>5<<uk9897>55;294~"6j>0m=6F;6d9K032<,8:<6<5f4583>>o3?3:17d:l:188m06=831d=lk50;9~f653290>6=4?{%3a3?`63A>=i6F;659'551=92c?87>5;h64>5<<a=i1<75f5183>>i6il0;66sm32194?3=83:p(<l8:g38L10b3A>=86*>0682?l232900e9950;9j0f<722c><7>5;n3ba?6=3th8?<4?:483>5}#9k=1j<5G47g8L1033-;;;7?4i5694?=n<>0;66g;c;29?l372900c<oj:188yg5483:197>50z&2f2<a;2B?:h5G4768 4602=1b894?::k73?6=3`?;6=44i0cg>5<<g8kn6=44}c106?6==3:1<v*>b68e5>N3>l1C8;:4$024>4=n<=0;66g;7;29?l2d2900e8>50;9l5dc=831vn><::180>5<7s-;i;7:9d:J72`=O<?>0e9750;9j51e=831d=l950;9~f64329086=4?{%3a3?21l2B?:h5G4768m1?=831b=9m50;9l5d1=831vn>?m:187>5<7s-;i;7?:7:J72`=O<?>0e9750;9j14<722c:n=4?::m2e2<722wi??=50;194?6|,8h<698k;I65a>N3>=1b844?::k20f<722e:m:4?::a74?=83>1<7>t$0`4>4303A>=i6F;659j0<<722c>=7>5;h3a4?6=3f;j;7>5;|`067<72:0;6=u+1c5903b<@=<n7E:94:k7=?6=3`;?o7>5;n3b3?6=3th8=:4?:583>5}#9k=1=894H54f?M21<2c?57>5;h72>5<<a8h;6=44o0c4>5<<uk99=7>53;294~"6j>0?:i5G47g8L1033`>26=44i06`>5<<g8k<6=44}c121?6=<3:1<v*>b68212=O<?o0D98;;h6:>5<<a<;1<75f1c294?=h9h=1<75rb203>5<4290;w)?m7;65`>N3>l1C8;:4i5;94?=n9=i1<75`1`594?=zj:;86=4;:183!7e?3;>;6F;6d9K032<a=31<75f5083>>o6j90;66a>a683>>{e;8l1<7=50;2x 4d02=<o7E:9e:J721=n<00;66g>4b83>>i6i>0;66sm30394?2=83:p(<l8:074?M21m2B?:95f4883>>o293:17d?m0;29?j7f?3:17pl<1d83>6<729q/=o9547f8L10b3A>=86g;9;29?l73k3:17b?n7;29?xd48o0;694?:1y'5g1=9<=0D98j;I650>o313:17d;>:188m4d72900c<o8:188yg56l3:1?7>50z&2f2<3>m1C8;k4H547?l2>2900e<:l:188k4g02900qo=?d;290?6=8r.:n:4>569K03c<@=<?7d:6:188m07=831b=o>50;9l5d1=831vn>:n:186>5<7s-;i;7h>;I65a>N3>=1/==951:k70?6=3`><6=44i5a94?=n=90;66a>ad83>>{e;=31<7;50;2x 4d02o;0D98j;I650>"68>0:7d:;:188m11=831b8n4?::k64?6=3f;ji7>5;|`00=<72<0;6=u+1c59b4=O<?o0D98;;%333?7<a=>1<75f4683>>o3k3:17d;?:188k4gb2900qo=;7;291?6=8r.:n:4i1:J72`=O<?>0(<>8:09j01<722c?;7>5;h6`>5<<a<:1<75`1`g94?=zj:>=6=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a713=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl<4583>0<729q/=o95f09K03c<@=<?7)??7;38m12=831b8:4?::k7g?6=3`?;6=44o0cf>5<<uk9??7>55;294~"6j>0m=6F;6d9K032<,8:<6<5f4583>>o3?3:17d:l:188m06=831d=lk50;9~f625290>6=4?{%3a3?`63A>=i6F;659'551=92c?87>5;h64>5<<a=i1<75f5183>>i6il0;66sm2c194?5=83:p(<l8:54g?M21m2B?:95f4883>>o6<j0;66a>a683>>{e:k81<7=50;2x 4d02=<o7E:9e:J721=n<00;66g>4b83>>i6i>0;66sm2`394?2=83:p(<l8:074?M21m2B?:95f4883>>o293:17d?m0;29?j7f?3:17pl=b083>6<729q/=o9547f8L10b3A>=86g;9;29?l73k3:17b?n7;29?xd5i90;694?:1y'5g1=9<=0D98j;I650>o313:17d;>:188m4d72900c<o8:188yg4e83:1?7>50z&2f2<3>m1C8;k4H547?l2>2900e<:l:188k4g02900qo<6f;290?6=8r.:n:4>569K03c<@=<?7d:6:188m07=831b=o>50;9l5d1=831vn?oi:180>5<7s-;i;7:9d:J72`=O<?>0e9750;9j51e=831d=l950;9~f7?b290?6=4?{%3a3?72?2B?:h5G4768m1?=831b9<4?::k2f5<722e:m:4?::a6dc=8391<7>t$0`4>10c3A>=i6F;659j0<<722c:8n4?::m2e2<722wi>4j50;694?6|,8h<6<;8;I65a>N3>=1b844?::k65?6=3`;i<7>5;n3b3?6=3th9mi4?:283>5}#9k=18;j4H54f?M21<2c?57>5;h37g?6=3f;j;7>5;|`1=f<72=0;6=u+1c59501<@=<n7E:94:k7=?6=3`?:6=44i0`3>5<<g8k<6=44}c0bg?6=;3:1<v*>b6872a=O<?o0D98;;h6:>5<<a8>h6=44o0c4>5<<uk82n7>54;294~"6j>0:9:5G47g8L1033`>26=44i4394?=n9k:1<75`1`594?=zj;ki6=4<:183!7e?3>=h6F;6d9K032<a=31<75f15a94?=h9h=1<75rb3;b>5<3290;w)?m7;363>N3>l1C8;:4i5;94?=n=80;66g>b183>>i6i>0;66sm28;94?2=83:p(<l8:074?M21m2B?:95f4883>>o293:17d?m0;29?j7f?3:17pl=d283>0<729q/=o95f29K03c<@=<?7)??7;68m12=831b8:4?::k64?6=3`;jh7>5;n3ba?6=3th9o44?:583>5}#9k=1j=5G47g8L1033-;;;7?4i5694?=n<j0;66g:0;29?j7fm3:17pl=c983>1<729q/=o95f19K03c<@=<?7)??7;38m12=831b8n4?::k64?6=3f;ji7>5;|`1g2<72=0;6=u+1c59b5=O<?o0D98;;%333?7<a=>1<75f4b83>>o283:17b?ne;29?xd5k?0;694?:1y'5g1=n91C8;k4H547?!77?3;0e9:50;9j0f<722c><7>5;n3ba?6=3th9o84?:583>5}#9k=1j=5G47g8L1033-;;;7?4i5694?=n<j0;66g:0;29?j7fm3:17pl=c583>1<729q/=o95f19K03c<@=<?7)??7;38m12=831b8n4?::k64?6=3f;ji7>5;|`1g6<72=0;6=u+1c59b5=O<?o0D98;;%333?7<a=>1<75f4b83>>o283:17b?ne;29?xd5k;0;694?:1y'5g1=n91C8;k4H547?!77?3;0e9:50;9j0f<722c><7>5;n3ba?6=3th9o<4?:583>5}#9k=1j=5G47g8L1033-;;;7?4i5694?=n<j0;66g:0;29?j7fm3:17pl=9383>1<729q/=o95f19K03c<@=<?7)??7;38m12=831b8n4?::k64?6=3f;ji7>5;|`1<c<72=0;6=u+1c59b5=O<?o0D98;;%333?7<a=>1<75f4b83>>o283:17b?ne;29?xd51?0;694?:1y'5g1=n;1C8;k4H547?!77?3>0e9:50;9j15<722c:mi4?::m2e`<722wi>4;50;694?6|,8h<6k<4H54f?M21<2.:<:4;;h67>5<<a<:1<75f1`f94?=h9ho1<75rb240>5<4290;w)?m7;65`>N3>l1C8;:4i5;94?=n9=i1<75`1`594?=zj:<96=4<:183!7e?3>=h6F;6d9K032<a=31<75f15a94?=h9h=1<75rb270>5<3290;w)?m7;363>N3>l1C8;:4i5;94?=n=80;66g>b183>>i6i>0;66sm37394?5=83:p(<l8:54g?M21m2B?:95f4883>>o6<j0;66a>a683>>{e;<81<7:50;2x 4d028?<7E:9e:J721=n<00;66g:1;29?l7e83:17b?n7;29?xd4>90;6>4?:1y'5g1=<?n0D98j;I650>o313:17d?;c;29?j7f?3:17pl<5083>1<729q/=o951458L10b3A>=86g;9;29?l362900e<l?:188k4g02900qo=:f;297?6=8r.:n:4;6e9K03c<@=<?7d:6:188m42d2900c<o8:188yg5283:187>50z&2f2<6=>1C8;k4H547?l2>2900e8?50;9j5g6=831d=l950;9~f63b29086=4?{%3a3?21l2B?:h5G4768m1?=831b=9m50;9l5d1=831vn>:i:187>5<7s-;i;7?:7:J72`=O<?>0e9750;9j14<722c:n=4?::m2e2<722wi?8j50;194?6|,8h<698k;I65a>N3>=1b844?::k20f<722e:m:4?::a71c=83>1<7>t$0`4>4303A>=i6F;659j0<<722c>=7>5;h3a4?6=3f;j;7>5;|`00a<72=0;6=u+1c59501<@=<n7E:94:k7=?6=3`?:6=44i0`3>5<<g8k<6=44}c17g?6=<3:1<v*>b68212=O<?o0D98;;h6:>5<<a<;1<75f1c294?=h9h=1<75rb26a>5<3290;w)?m7;363>N3>l1C8;:4i5;94?=n=80;66g>b183>>i6i>0;66sm36394?2=83:p(<l8:g28L10b3A>=86*>0682?l232900e9m50;9j15<722e:mh4?::a726=83>1<7>t$0`4>c6<@=<n7E:94:&242<63`>?6=44i5a94?=n=90;66a>ad83>>{e;?l1<7:50;2x 4d02o:0D98j;I650>"68>0:7d:;:188m1e=831b9=4?::m2e`<722wi?;k50;694?6|,8h<6k>4H54f?M21<2.:<:4>;h67>5<<a=i1<75f5183>>i6il0;66sm37f94?2=83:p(<l8:g28L10b3A>=86*>0682?l232900e9m50;9j15<722e:mh4?::a73e=83>1<7>t$0`4>c6<@=<n7E:94:&242<63`>?6=44i5a94?=n=90;66a>ad83>>{e;?h1<7:50;2x 4d02o:0D98j;I650>"68>0:7d:;:188m1e=831b9=4?::m2e`<722wi?5>50;694?6|,8h<6k<4H54f?M21<2.:<:4;;h67>5<<a<:1<75f1`f94?=h9ho1<75rb25:>5<2290;w)?m7;d0?M21m2B?:95+11595>o3<3:17d:8:188m06=831b=lj50;9l5dc=831vn>k;:186>5<7s-;i;7h>;I65a>N3>=1/==951:k70?6=3`><6=44i5a94?=n=90;66a>ad83>>{e;l91<7;50;2x 4d02o;0D98j;I650>"68>0:7d:;:188m11=831b8n4?::k64?6=3f;ji7>5;|`0a7<72<0;6=u+1c59b4=O<?o0D98;;%333?7<a=>1<75f4683>>o3k3:17d;?:188k4gb2900qo=j1;291?6=8r.:n:4i1:J72`=O<?>0(<>8:09j01<722c?;7>5;h6`>5<<a<:1<75`1`g94?=zj:o;6=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a7a`=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl<de83>0<729q/=o95f29K03c<@=<?7)??7;68m12=831b8:4?::k64?6=3`;jh7>5;n3ba?6=3th8hn4?:483>5}#9k=1j<5G47g8L1033-;;;7?4i5694?=n<>0;66g;c;29?l372900c<oj:188yg5cm3:197>50z&2f2<a92B?:h5G4768 460281b894?::k73?6=3`>h6=44i4294?=h9ho1<75rb2f2>5<4290;w)?m7;65`>N3>l1C8;:4i5;94?=n9=i1<75`1`594?=zj:n;6=4<:183!7e?3>=h6F;6d9K032<a=31<75f15a94?=h9h=1<75rb2a4>5<3290;w)?m7;363>N3>l1C8;:4i5;94?=n=80;66g>b183>>i6i>0;66sm3bd94?5=83:p(<l8:54g?M21m2B?:95f4883>>o6<j0;66a>a683>>{e;j?1<7:50;2x 4d028?<7E:9e:J721=n<00;66g:1;29?l7e83:17b?n7;29?xd4kl0;6>4?:1y'5g1=<?n0D98j;I650>o313:17d?;c;29?j7f?3:17pl<c283>1<729q/=o951458L10b3A>=86g;9;29?l362900e<l?:188k4g02900qo=ld;297?6=8r.:n:4;6e9K03c<@=<?7d:6:188m42d2900c<o8:188yg5d93:187>50z&2f2<6=>1C8;k4H547?l2>2900e8?50;9j5g6=831d=l950;9~f6ed29086=4?{%3a3?21l2B?:h5G4768m1?=831b=9m50;9l5d1=831vn>li:187>5<7s-;i;7?:7:J72`=O<?>0e9750;9j14<722c:n=4?::m2e2<722wi?nl50;194?6|,8h<698k;I65a>N3>=1b844?::k20f<722e:m:4?::a7gb=83>1<7>t$0`4>4303A>=i6F;659j0<<722c>=7>5;h3a4?6=3f;j;7>5;|`0gd<72:0;6=u+1c5903b<@=<n7E:94:k7=?6=3`;?o7>5;n3b3?6=3th8no4?:583>5}#9k=1=894H54f?M21<2c?57>5;h72>5<<a8h;6=44o0c4>5<<uk9h57>53;294~"6j>0?:i5G47g8L1033`>26=44i06`>5<<g8k<6=44}c1a=?6=<3:1<v*>b68212=O<?o0D98;;h6:>5<<a<;1<75f1c294?=h9h=1<75rb2d3>5<2290;w)?m7;d2?M21m2B?:95+11595>o3<3:17d:8:188m1e=831b9=4?::m2e`<722wi?hk50;794?6|,8h<6k=4H54f?M21<2.:<:4;;h67>5<<a==1<75f5183>>o6im0;66a>ad83>>{e;ll1<7;50;2x 4d02o;0D98j;I650>"68>0:7d:;:188m11=831b8n4?::k64?6=3f;ji7>5;|`0b4<72<0;6=u+1c59b4=O<?o0D98;;%333?7<a=>1<75f4683>>o3k3:17d;?:188k4gb2900qo=i2;291?6=8r.:n:4i1:J72`=O<?>0(<>8:09j01<722c?;7>5;h6`>5<<a<:1<75`1`g94?=zj:l86=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a7c2=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl<f483>0<729q/=o95f09K03c<@=<?7)??7;38m12=831b8:4?::k7g?6=3`?;6=44o0cf>5<<uk9m:7>55;294~"6j>0m=6F;6d9K032<,8:<6<5f4583>>o3?3:17d:l:188m06=831d=lk50;9~f16?290>6=4?{%3a3?`63A>=i6F;659'551=92c?87>5;h64>5<<a=i1<75f5183>>i6il0;66sm41594?3=83:p(<l8:g38L10b3A>=86*>0682?l232900e9950;9j0f<722c><7>5;n3ba?6=3th?<;4?:483>5}#9k=1j<5G47g8L1033-;;;7?4i5694?=n<>0;66g;c;29?l372900c<oj:188yg27=3:197>50z&2f2<a92B?:h5G4768 460281b894?::k73?6=3`>h6=44i4294?=h9ho1<75rb527>5<2290;w)?m7;d2?M21m2B?:95+11595>o3<3:17d:8:188m1e=831b9=4?::m2e`<722wi8==50;794?6|,8h<6k?4H54f?M21<2.:<:4>;h67>5<<a==1<75f4b83>>o283:17b?ne;29?xd38;0;684?:1y'5g1=n81C8;k4H547?!77?3;0e9:50;9j02<722c?o7>5;h73>5<<g8kn6=44}c635?6==3:1<v*>b68e5>N3>l1C8;:4$024>4=n<=0;66g;7;29?l2d2900e8>50;9l5dc=831vn9>?:186>5<7s-;i;7h>;I65a>N3>=1/==951:k70?6=3`><6=44i5a94?=n=90;66a>ad83>>{e<;81<7;50;2x 4d02o;0D98j;I650>"68>0:7d:;:188m11=831b8n4?::k64?6=3f;ji7>5;|`764<72<0;6=u+1c59b4=O<?o0D98;;%333?7<a=>1<75f4683>>o3k3:17d;?:188k4gb2900qo:>f;291?6=8r.:n:4i1:J72`=O<?>0(<>8:09j01<722c?;7>5;h6`>5<<a<:1<75`1`g94?=zj=;n6=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a04b=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl;1b83>0<729q/=o95f09K03c<@=<?7)??7;38m12=831b8:4?::k7g?6=3`?;6=44o0cf>5<<uk>:n7>55;294~"6j>0m=6F;6d9K032<,8:<6<5f4583>>o3?3:17d:l:188m06=831d=lk50;9~f17f290>6=4?{%3a3?`63A>=i6F;659'551=92c?87>5;h64>5<<a=i1<75f5183>>i6il0;66sm40;94?3=83:p(<l8:g38L10b3A>=86*>0682?l232900e9950;9j0f<722c><7>5;n3ba?6=3th?=54?:483>5}#9k=1j<5G47g8L1033-;;;7?4i5694?=n<>0;66g;c;29?l372900c<oj:188yg26?3:197>50z&2f2<a92B?:h5G4768 460281b894?::k73?6=3`>h6=44i4294?=h9ho1<75rb535>5<2290;w)?m7;d2?M21m2B?:95+11595>o3<3:17d:8:188m1e=831b9=4?::m2e`<722wi8<:50;794?6|,8h<6k?4H54f?M21<2.:<:4>;h67>5<<a==1<75f4b83>>o283:17b?ne;29?xd39:0;684?:1y'5g1=n81C8;k4H547?!77?3;0e9:50;9j02<722c?o7>5;h73>5<<g8kn6=44}c626?6==3:1<v*>b68e5>N3>l1C8;:4$024>4=n<=0;66g;7;29?l2d2900e8>50;9l5dc=831vn9?>:186>5<7s-;i;7h>;I65a>N3>=1/==951:k70?6=3`><6=44i5a94?=n=90;66a>ad83>>{e<8:1<7;50;2x 4d02o;0D98j;I650>"68>0:7d:;:188m11=831b8n4?::k64?6=3f;ji7>5;|`74c<72<0;6=u+1c59b4=O<?o0D98;;%333?7<a=>1<75f4683>>o3k3:17d;?:188k4gb2900qo:?e;291?6=8r.:n:4i1:J72`=O<?>0(<>8:09j01<722c?;7>5;h6`>5<<a<:1<75`1`g94?=zj=:o6=4::183!7e?3l:7E:9e:J721=#99=1=6g;4;29?l202900e9m50;9j15<722e:mh4?::a05e=83?1<7>t$0`4>c7<@=<n7E:94:&242<63`>?6=44i5594?=n<j0;66g:0;29?j7fm3:17pl;0c83>0<729q/=o95f09K03c<@=<?7)??7;38m12=831b8:4?::k7g?6=3`?;6=44o0cf>5<<uk>947>55;294~"6j>0m=6F;6d9K032<,8:<6<5f4583>>o3?3:17d:l:188m06=831d=lk50;9~f140290>6=4?{%3a3?`63A>=i6F;659'551=92c?87>5;h64>5<<a=i1<75f5183>>i6il0;66sm43494?3=83:p(<l8:g38L10b3A>=86*>0682?l232900e9950;9j0f<722c><7>5;n3ba?6=3th?>84?:483>5}#9k=1j<5G47g8L1033-;;;7?4i5694?=n<>0;66g;c;29?l372900c<oj:188yg25<3:197>50z&2f2<a92B?:h5G4768 460281b894?::k73?6=3`>h6=44i4294?=h9ho1<75rb500>5<2290;w)?m7;d2?M21m2B?:95+11595>o3<3:17d:8:188m1e=831b9=4?::m2e`<722wi8?>50;794?6|,8h<6k?4H54f?M21<2.:<:4>;h67>5<<a==1<75f4b83>>o283:17b?ne;29?xd39<0;684?:1y'5g1=n81C8;k4H547?!77?3;0e9:50;9j02<722c?o7>5;h73>5<<g8kn6=44}c63e?6==3:1<v*>b68e5>N3>l1C8;:4$024>4=n<=0;66g;7;29?l2d2900e8>50;9l5dc=831vn9>6:186>5<7s-;i;7h>;I65a>N3>=1/==951:k70?6=3`><6=44i5a94?=n=90;66a>ad83>>{e<<o1<7=50;2x 4d028?:7E:9e:J721=#99=1j6gib;29?l`d2900c<o8:188yg22;3:1?7>50z&2f2<6=81C8;k4H547?!77?3l0ekl50;9jbf<722e:m:4?::a66b=8391<7>t$0`4>4363A>=i6F;659'551=9=1bjo4?::keg?6=3f;j;7>5;|`2`g<72:0;6=u+1c59507<@=<n7E:94:&242<6<2cmn7>5;hd`>5<<g8k<6=44}c3`3?6=;3:1<v*>b68214=O<?o0D98;;%333?733`li6=44iga94?=h9h=1<75rb0a5>5<4290;w)?m7;365>N3>l1C8;:4$024>42<aoh1<75ffb83>>i6i>0;66sm1b794?5=83:p(<l8:072?M21m2B?:95+115951=nnk0;66gic;29?j7f?3:17pl>c583>6<729q/=o951438L10b3A>=86*>06820>oaj3:17dhl:188k4g02900qo?l3;297?6=8r.:n:4>509K03c<@=<?7)??7;37?l`e2900ekm50;9l5d1=831vn<m=:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:068mcd=831bjn4?::m2e2<722wi=n?50;194?6|,8h<6<;>;I65a>N3>=1/==95159jbg<722cmo7>5;n3b3?6=3th:o=4?:283>5}#9k=1=8?4H54f?M21<2.:<:4>4:kef?6=3`lh6=44o0c4>5<<uk;ij7>53;294~"6j>0:9<5G47g8L1033-;;;7?;;hda>5<<aoi1<75`1`594?=zj8hn6=4<:183!7e?3;>=6F;6d9K032<,8:<6<:4ig`94?=nnj0;66a>a683>>{e9kn1<7=50;2x 4d028?:7E:9e:J721=#99=1=95ffc83>>oak3:17b?n7;29?xd6jj0;6>4?:1y'5g1=9<;0D98j;I650>"68>0:86gib;29?l`d2900c<o8:188yg7ej3:1?7>50z&2f2<6=81C8;k4H547?!77?3;?7dhm:188mce=831d=l950;9~f4df29086=4?{%3a3?7292B?:h5G4768 46028>0ekl50;9jbf<722e:m:4?::a5g?=8391<7>t$0`4>4363A>=i6F;659'551=9=1bjo4?::keg?6=3f;j;7>5;|`2f=<72:0;6=u+1c59507<@=<n7E:94:&242<6<2cmn7>5;hd`>5<<g8k<6=44}c00b?6=<3:1<v*>b68216=O<?o0D98;;%333?7b3`li6=44iga94?=nnm0;66a>a683>>{e9mn1<7:50;2x 4d028?87E:9e:J721=#99=1=h5ffc83>>oak3:17dhk:188k4g02900qo<61;290?6=8r.:n:4>529K03c<@=<?7)??7;3:?l`e2900ekm50;9jba<722e:m:4?::a617=83?1<7>t$0`4>4333A>=i6F;659'551=9>1bjo4?::keg?6=3`lo6=44igg94?=h9h=1<75rb0fe>5<2290;w)?m7;360>N3>l1C8;:4$024>41<aoh1<75ffb83>>oal3:17dhj:188k4g02900qo<68;291?6=8r.:n:4>559K03c<@=<?7)??7;66?l`e2900ekm50;9jba<722cmi7>5;n3b3?6=3th9594?:483>5}#9k=1=8:4H54f?M21<2.:<:4>8:kef?6=3`lh6=44igf94?=nnl0;66a>a683>>{e;>l1<7=50;2x 4d028?:7E:9e:J721=#99=18<5ffc83>>oak3:17b?n7;29?xd50l0;694?:1y'5g1=9<90D98j;I650>"68>0:96gib;29?l`d2900ekj50;9l5d1=831vn?:<:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:068mcd=831bjn4?::m2e2<722wi=h?50;194?6|,8h<6<;>;I65a>N3>=1/==95159jbg<722cmo7>5;n3b3?6=3th9884?:583>5}#9k=1=8=4H54f?M21<2.:<:4>e:kef?6=3`lh6=44igf94?=h9h=1<75rb0g0>5<3290;w)?m7;367>N3>l1C8;:4$024>4c<aoh1<75ffb83>>oal3:17b?n7;29?xd5<>0;684?:1y'5g1=9<>0D98j;I650>"68>0:;6gib;29?l`d2900ekj50;9jb`<722e:m:4?::a5`3=83?1<7>t$0`4>4333A>=i6F;659'551=9>1bjo4?::keg?6=3`lo6=44igg94?=h9h=1<75rb3f6>5<4290;w)?m7;365>N3>l1C8;:4$024>4g<aoh1<75ffb83>>i6i>0;66sm45`94?5=83:p(<l8:072?M21m2B?:95+1159ad=nnk0;66gic;29?j7f?3:17pl<a783>6<729q/=o951438L10b3A>=86*>0682g>oaj3:17dhl:188k4g02900qo=63;297?6=8r.:n:4>509K03c<@=<?7)??7;3`?l`e2900ekm50;9l5d1=831vn?hj:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:0a8mcd=831bjn4?::m2e2<722wi>hl50;194?6|,8h<6<;>;I65a>N3>=1/==951b9jbg<722cmo7>5;n3b3?6=3th8m84?:483>5}#9k=1=8:4H54f?M21<2.:<:4>d:kef?6=3`lh6=44igf94?=nnl0;66a>a683>>{e;081<7;50;2x 4d028??7E:9e:J721=#99=1=i5ffc83>>oak3:17dhk:188mcc=831d=l950;9~f7`c290>6=4?{%3a3?72<2B?:h5G4768 46028n0ekl50;9jbf<722cmh7>5;hdf>5<<g8k<6=44}c0fe?6==3:1<v*>b68211=O<?o0D98;;%333?7e3`li6=44iga94?=nnm0;66gie;29?j7f?3:17pl<a583>0<729q/=o951468L10b3A>=86*>0682`>oaj3:17dhl:188mcb=831bjh4?::m2e2<722wi?4?50;794?6|,8h<6<;;;I65a>N3>=1/==951e9jbg<722cmo7>5;hdg>5<<aoo1<75`1`594?=zj;lh6=4::183!7e?3;>86F;6d9K032<,8:<6<j4ig`94?=nnj0;66gid;29?l`b2900c<o8:188yg4b13:197>50z&2f2<6==1C8;k4H547?!77?3;i7dhm:188mce=831bji4?::kea?6=3f;j;7>5;|`0e6<72<0;6=u+1c59502<@=<n7E:94:&242<6l2cmn7>5;hd`>5<<aon1<75ffd83>>i6i>0;66sm38294?3=83:p(<l8:077?M21m2B?:95+11595a=nnk0;66gic;29?l`c2900ekk50;9l5d1=831vn?hm:186>5<7s-;i;7?:4:J72`=O<?>0(<>8:0f8mcd=831bjn4?::ke`?6=3`ln6=44o0c4>5<<uk8n47>55;294~"6j>0:995G47g8L1033-;;;7?m;hda>5<<aoi1<75ffe83>>oam3:17b?n7;29?xd48:0;694?:1y'5g1=9<90D98j;I650>"68>0ni6gib;29?l`d2900ekj50;9l5d1=831vn>ol:186>5<7s-;i;7?:4:J72`=O<?>0(<>8:g68mcd=831bjn4?::ke`?6=3`ln6=44o0c4>5<<uk9j>7>55;294~"6j>0:995G47g8L1033-;;;7?k;hda>5<<aoi1<75ffe83>>oam3:17b?n7;29?xd40o0;684?:1y'5g1=9<>0D98j;I650>"68>0:h6gib;29?l`d2900ekj50;9jb`<722e:m:4?::a6cg=83?1<7>t$0`4>4333A>=i6F;659'551=9m1bjo4?::keg?6=3`lo6=44igg94?=h9h=1<75rb3g4>5<2290;w)?m7;360>N3>l1C8;:4$024>4d<aoh1<75ffb83>>oal3:17dhj:188k4g02900qo<;a;297?6=8r.:n:4>509K03c<@=<?7)??7;3`?l`e2900ekm50;9l5d1=831vn<k7:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:0a8mcd=831bjn4?::m2e2<722wi>9j50;694?6|,8h<6<;<;I65a>N3>=1/==95179jbg<722cmo7>5;hdg>5<<g8k<6=44}c3ff?6=<3:1<v*>b68216=O<?o0D98;;%333?713`li6=44iga94?=nnm0;66a>a683>>{e;>h1<7=50;2x 4d028?:7E:9e:J721=#99=1j6gib;29?l`d2900c<o8:188yg50k3:197>50z&2f2<6==1C8;k4H547?!77?3oo7dhm:188mce=831bji4?::kea?6=3f;j;7>5;|`10<<72<0;6=u+1c59502<@=<n7E:94:&242<6n2cmn7>5;hd`>5<<aon1<75ffd83>>i6i>0;66sm1d594?3=83:p(<l8:077?M21m2B?:95+11595c=nnk0;66gic;29?l`c2900ekk50;9l5d1=831vn>ok:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:79jbg<722cmo7>5;n3b3?6=3th8=n4?:383>5}#9k=1=8>4H54f?M21<2.:<:49;hda>5<<g8k<6=44}c12e?6=:3:1<v*>b68215=O<?o0D98;;%333?0<aoh1<75`1`594?=zj:;36=4=:183!7e?3;><6F;6d9K032<,8:<6;5ffc83>>i6i>0;66sm30494?4=83:p(<l8:073?M21m2B?:95+11592>oaj3:17b?n7;29?xd49=0;6?4?:1y'5g1=9<:0D98j;I650>"68>0=7dhm:188k4g02900qo=>2;296?6=8r.:n:4>519K03c<@=<?7)??7;48mcd=831d=l950;9~f67729096=4?{%3a3?7282B?:h5G4768 4602?1bjo4?::m2e2<722wi?=k50;094?6|,8h<6<;?;I65a>N3>=1/==956:kef?6=3f;j;7>5;|`0g=<72;0;6=u+1c59506<@=<n7E:94:&242<13`li6=44o0c4>5<<uk9h:7>52;294~"6j>0:9=5G47g8L1033-;;;784ig`94?=h9h=1<75rb2a7>5<5290;w)?m7;364>N3>l1C8;:4$024>3=nnk0;66a>a683>>{e;j81<7<50;2x 4d028?;7E:9e:J721=#99=1:6gib;29?j7f?3:17pl<c183>7<729q/=o951428L10b3A>=86*>0685?l`e2900c<o8:188yg5em3:1>7>50z&2f2<6=91C8;k4H547?!77?3<0ekl50;9l5d1=831vn>ll:181>5<7s-;i;7?:0:J72`=O<?>0(<>8:79jbg<722e:m:4?::a7gg=8381<7>t$0`4>4373A>=i6F;659'551=>2cmn7>5;n3b3?6=3th8>;4?:383>5}#9k=1=8>4H54f?M21<2.:<:49;hda>5<<g8k<6=44}c1g6?6=:3:1<v*>b68215=O<?o0D98;;%333?0<aoh1<75`1`594?=zj;h<6=4::183!7e?3;>86F;6d9K032<,8:<6984ig`94?=nnj0;66gid;29?l`b2900c<o8:188yg4f:3:1?7>50z&2f2<6=81C8;k4H547?!77?3;h7dhm:188mce=831d=l950;9~f63329086=4?{%3a3?7292B?:h5G4768 46028i0ekl50;9jbf<722e:m:4?::a6d5=8391<7>t$0`4>4363A>=i6F;659'551=9j1bjo4?::keg?6=3f;j;7>5;|`010<72:0;6=u+1c59507<@=<n7E:94:&242<6k2cmn7>5;hd`>5<<g8k<6=44}c0b0?6=;3:1<v*>b68214=O<?o0D98;;%333?7d3`li6=44iga94?=h9h=1<75rb275>5<4290;w)?m7;365>N3>l1C8;:4$024>4e<aoh1<75ffb83>>i6i>0;66sm2`794?5=83:p(<l8:072?M21m2B?:95+11595f=nnk0;66gic;29?j7f?3:17pl<5683>6<729q/=o951438L10b3A>=86*>0682g>oaj3:17dhl:188k4g02900qo<n6;297?6=8r.:n:4>509K03c<@=<?7)??7;3`?l`e2900ekm50;9l5d1=831vn>;7:180>5<7s-;i;7?:1:J72`=O<?>0(<>8:0a8mcd=831bjn4?::m2e2<722wi>l950;194?6|,8h<6<;>;I65a>N3>=1/==951b9jbg<722cmo7>5;n3b3?6=3th8944?:283>5}#9k=1=8?4H54f?M21<2.:<:4>c:kef?6=3`lh6=44o0c4>5<<uk8j47>53;294~"6j>0:9<5G47g8L1033-;;;7?l;hda>5<<aoi1<75`1`594?=zj:?j6=4<:183!7e?3;>=6F;6d9K032<,8:<6<m4ig`94?=nnj0;66a>a683>>{e:h31<7=50;2x 4d028?:7E:9e:J721=#99=1=n5ffc83>>oak3:17b?n7;29?xd4=k0;6>4?:1y'5g1=9<;0D98j;I650>"68>0:o6gib;29?l`d2900c<o8:188yg4fi3:1?7>50z&2f2<6=81C8;k4H547?!77?3;h7dhm:188mce=831d=l950;9~f63d29086=4?{%3a3?7292B?:h5G4768 46028i0ekl50;9jbf<722e:m:4?::a6a>=83?1<7>t$0`4>4333A>=i6F;659'551=n?1bjo4?::keg?6=3`lo6=44igg94?=h9h=1<75rb3f4>5<2290;w)?m7;360>N3>l1C8;:4$024>7d<aoh1<75ffb83>>oal3:17dhj:188k4g02900qo=ne;297?6=8r.:n:4>509K03c<@=<?7)??7;48mcd=831bjn4?::m2e2<722wi?lh50;194?6|,8h<6<;>;I65a>N3>=1/==956:kef?6=3`lh6=44o0c4>5<<uk83h7>55;294~"6j>0:995G47g8L1033-;;;7j4ig`94?=nnj0;66gid;29?l`b2900c<o8:188yg4e=3:1>7>50z&2f2<6<h1C8;k4H547?l`f2900c<o8:188yg2313:1ml4?:1y'5g1=9hl0D98j;I650>\3;3kp>=4=1;59<?7728;1m7?=:c827?{#9=<1?6*>4680?!`a2:1/==>53:&244<43-;;>7=4$020>6=#99>1?6*>b281?!7e<380(<>7:29'55?=;2.:<l4<;%33f?5<,8:h6>5+11f97>"68l087)??f;18 4772:1/=<?53:&257<43-;:?7=4$037>6=#98?1?6*>1780?!76?390(<?7:29'54?=;2.:=l4<;%32f?5<,8;h6>5+10f97>"69l087)?>f;18 4472:1/=??53:&267<43-;9?7=4$007>6=#9;?1?6*>2780?!75?390(<<7:29'57?=;2.:>l4<;%31f?5<,88h6>5+13f97>"6:l087)?=f;18 4572:1/=>?53:&277<43-;8?7=4$017>6=#9:?1?6*>3780?!74?390(<=7:29'56?=;2.:?l4<;%30f?5<,89h6>5+12f97>"6;l087)?<f;18 4272:1/=9?53:&207<43-;??7=4$067>6=#9=?1?6*;6c872<=#99?1?6*>b082e3=#9=21>6*>4881?!21i3>=56*>0780?l2f2900e9l50;9jag<722cno7>5;h3a1?6=3`;i:7>5;h653?6=3`>=47>5;h16>5<#9hi1?95a1``94>=n;:0;6)?nc;17?k7fj3;07d==:18'5de=;=1e=ll52:9j74<72-;jo7=;;o3bf?5<3`9;6=4+1`a971=i9hh1865f2g83>!7fk39?7c?nb;78?l4b290/=lm5359m5dd=>21b>i4?:%3bg?533g;jn794;h0`>5<#9hi1?95a1``9<>=n;o0;6)?nc;1f?k7fj3:07d=k:18'5de=;l1e=ll51:9j7f<72-;jo7=j;o3bf?4<3`9i6=4+1`a97`=i9hh1?65f3`83>!7fk39n7c?nb;68?l5>290/=lm53d9m5dd==21b?54?:%3bg?5b3g;jn784;h14>5<#9hi1?h5a1``93>=n;?0;6)?nc;1f?k7fj3207d8m:18'5de=>h1e=ll50:9j2<<72-;jo78n;o3bf?7<3`<<6=4+1`a92d=i9hh1>65f6783>!7fk3<j7c?nb;18?l02290/=lm56`9m5dd=<21b:94?:%3bg?0f3g;jn7;4;h40>5<#9hi1:l5a1``92>=n>;0;6)?nc;4b?k7fj3=07d8>:18'5de=>h1e=ll58:9j25<72-;jo78n;o3bf??<3`?m6=4+1`a92d=i9hh1m65f5d83>!7fk3<j7c?nb;`8?l3d290/=lm56`9m5dd=k21b9o4?:%3bg?0f3g;jn7j4;h7b>5<#9hi1:l5a1``9a>=n=00;6)?nc;4b?k7fj3l07d;7:18'5de=>h1e=ll51198m01=83.:mn49a:l2eg<6921b9;4?:%3bg?0f3g;jn7?=;:k61?6=,8kh6;o4n0ca>45<3`??6=4+1`a92d=i9hh1=954i4194?"6ij0=m6`>ac821>=n?;0;6)?nc;4b?k7fj3;=76g81;29 4gd2?k0b<om:058?l17290/=lm56`9m5dd=9110e;h50;&2ef<1i2d:mo4>9:9j2`<72-;jo78n;o3bf?7f32c=h7>5$0c`>3g<f8ki6<l4;h4`>5<#9hi1:l5a1``95f=<a?21<7*>ab85e>h6ik0:h65f5e83>!7fk3<j7c?nb;3f?>o2:3:1(<ol:7c8j4ge28l07d6l:18'5de=0k1e=ll50:9j<d<72-;jo76m;o3bf?7<3`236=4+1`a9<g=i9hh1>65f8683>!7fk32i7c?nb;18?l>1290/=lm58c9m5dd=<21b484?:%3bg?>e3g;jn7;4;h:7>5<#9hi14o5a1``92>=n0:0;6)?nc;:a?k7fj3=07d6=:18'5de=0k1e=ll58:9j<4<72-;jo76m;o3bf??<3`2;6=4+1`a9<g=i9hh1m65f7g83>!7fk32i7c?nb;`8?l1c290/=lm58c9m5dd=k21b;n4?:%3bg?>e3g;jn7j4;h5a>5<#9hi14o5a1``9a>=n?h0;6)?nc;:a?k7fj3l07d96:18'5de=0k1e=ll51198m2>=83.:mn47b:l2eg<6921b;:4?:%3bg?>e3g;jn7?=;:k42?6=,8kh65l4n0ca>45<3`=>6=4+1`a9<g=i9hh1=954i6694?"6ij03n6`>ac821>=n1:0;6)?nc;:a?k7fj3;=76g62;29 4gd21h0b<om:058?l?6290/=lm58c9m5dd=9110e4>50;&2ef<?j2d:mo4>9:9j<c<72-;jo76m;o3bf?7f32c3i7>5$0c`>=d<f8ki6<l4;h:g>5<#9hi14o5a1``95f=<a131<7*>ab8;f>h6ik0:h65f7d83>!7fk32i7c?nb;3f?>o0;3:1(<ol:9`8j4ge28l07d77:18'5de=1>1e=ll50:9j=3<72-;jo778;o3bf?7<3`3>6=4+1`a9=2=i9hh1>65f9583>!7fk33<7c?nb;18?l?c290/=lm59b9m5dd=821b5o4?:%3bg??d3g;jn7?4;h;b>5<#9hi15n5a1``96>=n100;6)?nc;;`?k7fj3907bl8:18'5de=j?1e=ll50:9lf0<72-;jo7l9;o3bf?7<3fh86=4+1`a9f3=i9hh1>65`b383>!7fk3h=7c?nb;18?jd6290/=lm5b79m5dd=<21dn=4?:%3bg?d13g;jn7;4;nce>5<#9hi1n;5a1``92>=hil0;6)?nc;`5?k7fj3=07bok:18'5de=j?1e=ll58:9lef<72-;jo7l9;o3bf??<3fki6=4+1`a9f3=i9hh1m65`a`83>!7fk3h=7c?nb;`8?jg?290/=lm5b79m5dd=k21dm:4?:%3bg?d13g;jn7j4;nc5>5<#9hi1n;5a1``9a>=hi<0;6)?nc;`5?k7fj3l07bo;:18'5de=j?1e=ll51198kd5=83.:mn4m6:l2eg<6921dm?4?:%3bg?d13g;jn7?=;:mb5?6=,8kh6o84n0ca>45<3fk;6=4+1`a9f3=i9hh1=954o8d94?"6ij0i:6`>ac821>=hjl0;6)?nc;`5?k7fj3;=76amd;29 4gd2k<0b<om:058?jdd290/=lm5b79m5dd=9110col50;&2ef<e>2d:mo4>9:9lfd<72-;jo7l9;o3bf?7f32ei57>5$0c`>g0<f8ki6<l4;n`;>5<#9hi1n;5a1``95f=<gk>1<7*>ab8a2>h6ik0:h65`a883>!7fk3h=7c?nb;3f?>i>m3:1(<ol:c48j4ge28l07bk;:18'5de=m:1e=ll50:9la7<72-;jo7k<;o3bf?7<3fo:6=4+1`a9a6=i9hh1>65`e183>!7fk3o87c?nb;18?jb?290/=lm5d69m5dd=821dh;4?:%3bg?b03g;jn7?4;nf7>5<#9hi1h:5a1``96>=hl:0;6)?nc;f4?k7fj3907bj=:18'5de=l>1e=ll54:9l`4<72-;jo7j8;o3bf?3<3fn;6=4+1`a9`2=i9hh1:65`cg83>!7fk3n<7c?nb;58?jeb290/=lm5d69m5dd=021doi4?:%3bg?b03g;jn774;na`>5<#9hi1h:5a1``9e>=hkk0;6)?nc;f4?k7fj3h07bm6:18'5de=l>1e=ll5c:9lg=<72-;jo7j8;o3bf?b<3fi<6=4+1`a9`2=i9hh1i65`c783>!7fk3n<7c?nb;d8?je2290/=lm5d69m5dd=9910cn:50;&2ef<c?2d:mo4>1:9lg6<72-;jo7j8;o3bf?7532eh>7>5$0c`>a1<f8ki6<=4;na2>5<#9hi1h:5a1``951=<gj:1<7*>ab8g3>h6ik0:965`dg83>!7fk3n<7c?nb;35?>icm3:1(<ol:e58j4ge28=07bjk:18'5de=l>1e=ll51998kae=83.:mn4k7:l2eg<6121dho4?:%3bg?b03g;jn7?n;:mge?6=,8kh6i94n0ca>4d<3fn26=4+1`a9`2=i9hh1=n54oe794?"6ij0o;6`>ac82`>=hkh0;6)?nc;f4?k7fj3;n76amf;29 4gd2m=0b<om:0d8?jc>290/=lm5e99m5dd=821di:4?:%3bg?c?3g;jn7?4;ng5>5<#9hi1i55a1``96>=hm<0;6)?nc;g;?k7fj3907pl=d783>6<729q/=o951408L10b3A>=86*>0687?l`e2900ekm50;9l51b=831vn>9k:186>5<7s-;i;7?:5:J72`=O<?>0(<>8:g78mcd=831bjn4?::ke`?6=3`ln6=44o06g>5<<uk83o7>55;294~"6j>0:985G47g8L1033-;;;7j4ig`94?=nnj0;66gid;29?l`b2900c<:k:188yv32l3:14vP:5e9>6<7=nm16>465fd9>6<2=nl16>5k5fe9>6g1=nk16>5j5fc9>6=e=nk1v8;m:184g~X30<1U8:94^445?[31<2T?;;5Q5728Z0753W?=96P;829]0=4<V=2:7S:70:\73c=Y<>o0R99k;_64g>X3?k1U9:>4^44e?[31m2T>:i5Q57a8Z00e3W?=m6P:689]13><V<?j7S;:9:\61==Y=<=0R8;9;_761>X2==1U98=4^471?821n3l370::a;73?823n3?;70::9;73?82203?;70::7;73?821:3?;70:91;73?84b93?:70<j0;72?84cn3?:70<ke;72?84cl3?:70<i4;72?84a;3?:70<i2;72?84a93?:70<i0;72?85?13?:70=78;72?85??3?:70=76;72?85?=3?:70=6c;72?85>j3?:70=6a;72?85>13?:70=68;72?856j3?:70=>9;72?856?3?:70=>5;72?856;3?:70=>1;72?857n3?:70=?d;72?84>13;i<63<4c82f5=:;1:19=523b5914=:;j?19<523b1914=:;j;19<523cd914=:;kn19<523c`914=:;k319<5245;95g3<5=>26987;<67=?>d34>?576n;<67=?>?34>?5768;<67=?>134>?576:;<67=?>334>?576<;<67=?>534>?576>;<67=?>734>?579i;<67=?1c34>?579l;<67=?1e34>?579n;<67=?1>34>?5797;<67=?1034>?5799;<67=?1234>?579;;<67=??434>?577=;<67=??634>?577?;<67=?>a34>?576j;<67=?>c34>?5766;<67=?1b34>?579<;<67=???34>?5779;<67=??234>?577;;<67=??c34>?577m;<67=??f34>?5776;|q61c<72:qU98h4=57b>4gc34>?j7?nd:p15?=838pR8>6;<0:2?7fm2wx9:<50;7xZ015349jo7hm;<1b`?`e349ji7hm;<1bb?`e3ty>9<4?:7fxZ03634>>=7:;;<664?2334>?j7:;;<66=?2334>>47:;;<663?23349;=7:;;<00f?233488m7:;;<00=?23348847:;;<003?233488:7:;;<001?23348887:;;<007?233483?7:;;<0;6?233483=7:;;<0;4?23348<j7:;;<04a?23348<h7:;;<04g?23348<n7:;;<045?23348<<7:;;<05b?23348=i7:;;<05`?23348=o7:;;<05f?23348=m7:;;<05=?2334;mh7:;;<3eg?2334;mn7:;;<3ee?2334;m57:;;<3e<?2334;m;7:;;<3e2?2334;m97:;;<10<?233498;7:;;<102?23349897:;;<100?233498?7:;;<105?233498<7:;;<106?23349?m7:;;<17=?23349?47:;;<173?23349?:7:;;<171?23349?87:;;<177?23349?>7:;;<0g7?23348h57:;;<0`<?23348h;7:;;<0`2?23348h97:;;<0`0?23348h?7:;;<0`6?23348h=7:;;<0:6?233483j7:;;<0:2?23348297:;;<616?2334>9=7:;;<62b?2334>:i7:;;<62`?2334>:o7:;;<62f?2334>:m7:;;<62=?2334>:47:;;<623?2334>::7:;;<620?2334>:?7:;;<626?2334>:=7:;;<624?2334>;j7:;;<63a?2334>;h7:;;<63g?2334>;n7:;;<61<?2334>9;7:;;<612?2334>997:;;<610?2334>9?7:;;<614?2334>:97:;;<63e?2334>;57:;;<67=?2e3ty><k4?:3y]15`<5:kj6<oj;|q656<72;qU9<=4=3f0>4gb3ty>::4?:43xZ00034>>o7:;;<66e?2334>>n7:;;<656?2334>==7:;;<1be?23349j57:;;<3g=?2334;o47:;;<3g3?2334;o:7:;;<3g1?2334;o87:;;<3g7?2334;o>7:;;<3g5?233489=7:;;<014?23348:j7:;;<02a?23348:h7:;;<02g?23348:n7:;;<02e?23348:57:;;<03b?23348;i7:;;<03`?23348;o7:;;<03f?23348;m7:;;<03=?23348;47:;;<033?23348>j7:;;<06a?23348>h7:;;<06g?23348>n7:;;<06e?23348>57:;;<06<?23348>;7:;;<145?23349<<7:;;<15b?23349=i7:;;<15`?23349=o7:;;<15f?233493<7:;;<14=?23349n87:;;<1f7?23349n>7:;;<1f5?23349n<7:;;<1gb?23349oh7:;;<1gg?23349oi7:;;<1e4?23349ni7:;;<1fb?23349m=7:;;<1e6?23349m?7:;;<1e0?23349m97:;;<1e2?2334>;47:;;<633?2334>;:7:;;<631?2334>;87:;;<637?2334>;>7:;;<635?2334>;<7:;;<67=?2f3ty>??4?:3y]164<5:=26<oj;|q645<72;qU8h>4=501>4gb3ty?jh4?:3y]0a`<5=8:6<oj;|q7bf<72;qU8ij4=53e>4gb3ty?jo4?:3y]0ae<5=;n6<oj;|q7bd<72;qU8il4=53g>4gb3ty?j44?:3y]0ag<5=;h6<oj;|q7b=<72;qU8i74=53a>4gb3ty?j:4?:3y]0a><5=;j6<oj;|q7b3<72;qU8i94=53:>4gb3ty?j84?:3y]0a0<5=;36<oj;|q7b1<72;qU8i;4=534>4gb3ty?j>4?:3y]0a2<5=;=6<oj;|q7b4<72;qU8i<4=537>4gb3ty?j=4?:3y]0a7<5=;86<oj;|q7ac<72;qU8i>4=531>4gb3ty?ih4?:3y]0f`<5=;:6<oj;|q7aa<72;qU8nk4=533>4gb3ty?in4?:3y]0fb<5=:m6<oj;|q7ag<72;qU8nm4=52f>4gb3ty?il4?:3y]0fd<5=:o6<oj;|q7a<<72;qU8no4=52`>4gb3ty?i54?:3y]0f?<5=:i6<oj;|q642<72;qU8h84=50;>4gb3ty><;4?:3y]0`3<5=8<6<oj;|q640<72;qU8h:4=505>4gb3ty><94?:3y]0`5<5=8>6<oj;|q646<72;qU8h<4=507>4gb3ty><?4?:3y]0`7<5=886<oj;|q644<72;qU8ik4=503>4gb3ty?ji4?:3y]0a5<5=;>6<oj;|q7b7<72;qU8n64=52b>4gb3ty?i:4?:3y]0f1<5=:26<oj;|q7fc<72;qU84h4=56:>3d<uz>ih7>52z\7=`=:<=31:45rs5`a>5<5sW>2o63;48853>{t<kk1<7<t^5;a?82313<=7p};b883>7}Y<0k019:6:778yv2e03:1>vP;989>01?=>=1v9l8:181[2>027?84493:p0g0=838pR978;<67=?053ty?n84?:3y]0<0<5=>26;?4}r6a0?6=:rT?585245;925=z{=h86=4={_6:0>;3<00>j6s|4c094?4|V=3870:;9;7f?xu3j90;6?uQ4838912>2<i0q~:nf;296~X3191689755c9~w1gb2909wS:7f:?70<<2i2wx8lj50;0xZ1>b34>?57;6;|q7ef<72;qU85j4=56:>0><uz>jn7>52z\7<f=:<=319:5rs5cb>5<5sW>3n63;48862>{t<h31<7<t^5:b?82313?>7p};a983>7}Y<13019:6:468yv2f?3:1>vP;899>01?==:1v9m9:181[2f=27?84482:p0f3=838pR9o;;<67=?163ty?o94?:3y]0d5<5=>26:>4}r6`7?6=:rT?m?5245;92c=z{=i96=4={_6b5>;3<00=i6s|4b394?4|V=k;70:;9;4g?xu3k90;6?uQ48f8912>2?i0q~:mc;296~X31;168975699~w1d62909wS:77:?70<<2l2wx8l850;0xZ1>134>?57;=;|q21d<72jq68:>51`;897bc2=301?h?:5;896>22=301>77:5;8967c2=301>>k:5;897?>2=301>:m:5;896e>2=301>l6:5;8912>2lh0q~::0;297~;3=80><63;5182e`=:<=l18:5rs572>5<5s4>>=7?ne:?716<aj2wx88l50;1x913d2<:019;n:558913e28kn7p};5b83>7}:<<i1=lk4=57f>cd<uz>?j7>53z?715<2827?8k4>ad9>005=nj1v9;n:180822i3;ji63;5c864>;3=l0mo6s|44094?2|5=?26<ok;<66<?7fl27?9:4>ae9>005=9h=0q~::6;2967}:<<31=lk4=222>4gc349847:l;<103?2d3498:7:l;<101?2d349887:l;<107?2d3498=7:l;<104?7fl278??4;c:?00d<3k278844;c:?00=<3k2788:4;c:?003<3k278884;c:?001<3k2788>4;c:?007<3k279h>4>ae9>6f?=<j16>n654b9>6f1=<j16>n854b9>6f3=<j16>n:54b9>6f5=<j16>n<54b9>6f7=<j16>4<54b9>6=`=<j16>4851`f897?228ko7p};5483>73|5=?36<oj;<00f?2d3488m7:l;<00=?2d348847:l;<003?2d3488:7:l;<001?2d348887:l;<007?2d3483?7:l;<0;6?2d3483=7:l;<0;4?2d348<j7:l;<04a?2d348<h7:l;<04g?2d348<n7:l;<045?2d348<<7:l;<05b?2d348=i7:l;<05`?2d348=o7:l;<05f?2d348=m7:l;<05=?2d34;mh7:l;<3eg?2d34;mn7:l;<3ee?2d34;m57:l;<3e<?2d34;m;7:l;<3e2?2d34;m97:l;|q711<72;9p19;8:0cf?825:3>h70:=1;6`?826n3>h70:>e;6`?826l3>h70:>c;6`?826j3>h70:>a;6`?82613>h70:>8;6`?826?3>h70:>6;6`?826<3>h70:>3;6`?826:3>h70:>1;6`?82683>h70:?f;6`?827m3>h70:?d;6`?827k3>h70:?b;6`?82503>h70:=7;6`?825>3>h70:=5;6`?825<3>h70:=3;6`?82583>h70:>5;6`?827i3>h70:?9;6`?823j3li70:;9;3a2>{t<<n1<7=t=541>4gc34>==7?nd:?71`<6i>1v98?:1813~;3>;0:mh523`c95db<5:k26<ok;<145?2d349<<7:l;<15b?2d349=i7:l;<15`?2d349=o7:l;<15f?2d3493<7?nd:?03<<6im16?h:54b9>7`5=<j16?h<54b9>7`7=<j16?h>54b9>7a`=<j16?ij51`f896bd2=i01>jj:5a896`72=i01>kj:0cg?85bn3>h70=i1;6`?85a:3>h70=i3;6`?85a<3>h70=i5;6`?85a>3>h70:?8;6`?827?3>h70:?6;6`?827=3>h70:?4;6`?827;3>h70:?2;6`?82793>h70:?0;6`?xu3=o0;6?;t=542>4gb34;o57:l;<3g<?2d34;o;7:l;<3g2?2d34;o97:l;<3g0?2d34;o?7:l;<3g6?2d34;o=7:l;<015?2d3489<7:l;<02b?2d348:i7:l;<02`?2d348:o7:l;<02f?2d348:m7:l;<02=?2d348;j7:l;<03a?2d348;h7:l;<03g?2d348;n7:l;<03e?2d348;57:l;<03<?2d348;;7:l;<06b?2d348>i7:l;<06`?2d348>o7:l;<06f?2d348>m7:l;<06=?2d348>47:l;<063?2d3ty8<?4?:3y>757==916?==51`58yv5793:1:v3<0082e`=::0>1jo522c59bf=::1n1jn522c79bd=::1i1jn5rs2ca>5<4s49jm7;?;<1b=?37349jo7?n7:p7d?=83<p1>o6:0cf?850n3lh70=nc;d`?85fl3lh70=ne;d`?85fn3lh7p}=db83>7}::l;184522d295d1<uz8n:7>52z?1a4<6j916>hl51`58yv4an3:1>v3=e082e2=:;991ji5rs3fa>5<5s48n<7:6;<0gb?7f?2wx>h;50;0x97c728h;70<ja;3b3>{t:mk1<7<t=3fe>1?<5;nn6<o8;|q1a1<72;q6>ih51c2897c>28k<7p}=d883>7}::mo184522ef95d1<uz8n?7>52z?1``<6j916>h651`58yv4b:3:1>v3=de82f5=::l=1=l94}r0fb?6=:r79j94;9:?1b6<6i>1v?h6:18184a<3;i<63=fd82e2=z{::;6=4={<0e0?7f?278<>4ic:p6`c=838p1?h<:5;897`528k<7p}=f983>7}::o91=o>4=3dg>4g03ty9ii4?:3y>6c4=<016>k?51`58yv4a?3:1>v3=f382f5=::oi1=l94}r0fg?6=:r79j<4;9:?1b5<6i>1v?h9:18184a93;i<63=fc82e2=z{;l>6=4={<0e4?7e8279jl4>a69~w6>32909w0=79;6:?85?03;j;6s|39g94?4|5:226<l?;<1:7?7f?2wx?l950;0x96>>28k<70=nc;df?xu40:0;6?u239:90<=:;1=1=l94}r1;`?6=:r78454>b19>7<4=9h=0q~=72;296~;40>0?563<8782e2=z{:2h6=4={<1;3?7e82785<4>a69~w6>62909w0=76;6:?85?=3;j;6s|39`94?4|5:2=6<l?;<1:4?7f?2wx?5o50;0x96>228h;70=7f;3b3>{t;0=1<7<t=2;`>1?<5:3i6<o8;|q0e4<72;q6?4m51c2896g128k<7p}<a983>7}:;0i1=l94=2c`>cb<uz92:7>52z?0=g<312785l4>a69~w6g72909w0=6b;3a4>;4i<0:m:5rs2;6>5<5s492m7:6;<1:=?7f?2wx?4h50;0x96?f28h;70=n4;3b3>{t;0>1<7<t=2;:>1?<5:336<o8;|q0=`<72;q6?4751c2896g428k<7p}<9e83>7}:;021=o>4=2c1>4g03ty9=54?:4y>5a?==916>??51`g894be2oi01<jk:g`894ba2oo0q~?k0;290~;6l00:mh523`49bf=:;091jn5234a9bf=z{8nj6=4={<3g<?3734;on7?n7:p5f`=83>p1<j7:0cf?85f=3lh70=62;d`?852j3lh7p}>db83>7}:9m=19=521ef95d1<uz;hi7>54z?2`2<6il16?l;5fd9>7<4=nl16?8o5fb9~w4bb290=w0?k6;73?87cn3;j;63>e08eg>;6m:0mn63>e48e`>;6m>0mi6s|1bf94?2|58n=6<oj;<1b0?`d3492=7hl;<16=?`d3ty:i=4?:3y>5a3==916=h?51`58yv7dk3:18v3>d482e`=:;h>1jh523839b`=:;<21jn5rs0g1>5<5s4;o87;?;<3f7?7f?2wx=nl50;6x94b328kn70=n3;d`?85>83lh70=:7;d`?xu6m=0;6?u21e1915=:9l?1=l94}r3`e?6=<r7:h>4>ad9>7d5=nl16?4>5fd9>700=nj1v<k9:18187c:3?;70?j8;3b3>{t9j31<7:t=0f1>4gb349j>7hl;<1;b?`d349>97hl;|q2ad<72;q6=i?5519>5`d=9h=0q~?l8;290~;6l80:mh523`09b`=:;1l1jh523469bf=z{;=j6=4:{<00f?373483?7?ne:?17a<ak279?k4ib:?104<am2wx>><50;6x975e28kn70<ie;da?84bj3li70<na;da?xu5;j0;6?u222c915=:::n1=l94}r005?6==r79?l4>ad9>6d7==816>kj5fb9>6`g=nj16>l75fc9~w75b2909w0<<9;73?844n3;j;6s|22294?3|5;926<oj;<0b4?36348mh7hj;<0fe?`e348j47hm;|q105<72?q6>>65519>617=9h=01?:<:ga897222oh01?:8:gf8972>2oo0q~<=f;291~;5;10:mh5228d914=::oi1jn522d;9bf=::h=1jo5rs361>5<5s488;7;?;<077?7f?2wx>?k50;7x975028kn70<6e;72?84ak3ln70<j9;da?84f>3li7p}=4583>7}:::<19=5225795d1<uz89h7>55z?173<6il16>4j5509>6cd=nj16>h65fb9>6d3=nk1v?:9:181844=3?;70<;7;3b3>{t:;i1<7;t=316>4gb3482o7;>;<0ef?`b348n47hm;<0b0?`e3ty9854?:3y>662==916>9o51`58yv45j3:19v3=3582e`=::0h19<522gc9bf=::l=1jn522`19bg=z{;>h6=4={<007?37348?h7?n7:p67g=83?p1?=<:0cf?84>i3?:70<ia;df?84b?3li70<n2;da?xu5>10;6?u2291915=::>;1=lk4}r053?6=:r794?4:0:?135<6il1v?96:18784?:3;ji63=3e8ef>;5;o0mo63=408e`>{t:?<1<7<t=3:2>06<5;<m6<oj;|q13=<72:q6>5?51`g8975a2on01?:>:ga8yv41=3:1>v3=81864>;5>l0:mh5rs354>5<5s483<7?ne:?104<aj2wx>;:50;0x971a2<:01?8k:0cf?xu5??0;68u226d95dc<5;>86kl4=366>ce<5;><6kk4=36:>cb<uz8=?7>52z?13`<28279:n4>ad9~w712290?w0<8e;3ba>;5<<0mh63=468ef>;5<00mo6s|27094?4|5;=o68>4=34a>4gb3ty9;94?:2y>62b=9ho01?:8:ga8972>2oh0q~<91;296~;5?j0><63=6`82e`=z{;=86=4<{<04g?7fm2798l4ib:?10a<ak2wx>;>50;0x971e2<:01?86:0cf?xu5?;0;6?u226`95dc<5;>o6kl4}r032?6=:r79><4:0:?14c<6il1v?>::18184583?;70<?e;3ba>{t:8=1<7:t=303>4gb34;on7hm;<3g`?`d34;oj7hk;|q141<72;q6><h5519>65b=9ho0q~<>6;297~;59o0:mh521ef9ba=:9ml1jn5rs320>5<5s48:i7;?;<03g?7fm2wx><;50;0x977b28kn70?kf;da?xu58;0;6?u220f915=::9h1=lk4}r020?6==r79=i4>ad9>5`7=nk16=h=5fb9>5`3=nl16=h95fe9~w7662909w0<>c;73?847i3;ji6s|20194?2|5;;h6<oj;<3f7?`c34;n97hm;<3f3?`d3ty9<=4?:3y>64d==916>=751`g8yv46:3:1?v3=1c82e`=:9l?1jn521d59bg=z{8lm6=4={<02e?37348;47?ne:p647=839p1??n:0cf?87b03li70?jb;d`?xu6nl0;6?u220;915=::9=1=lk4}r024?6=:r79=44>ad9>5`d=nk1v?;9:18184093?;70<:f;3ba>{t:<?1<7<t=353>06<5;?n6<oj;|q111<72;q6>;h5519>60b=9ho0q~<:3;296~;5>l0><63=5b82e`=z{;?96=4={<05`?37348>n7?ne:p607=838p1?8l:428973f28kn7p}=5183>7}::?h19=5224;95dc<uz8?j7>52z?12d<28279954>ad9~w72b2909w0<99;73?842?3;ji6s|1g694?4|5;:m68>4=0dg>4gb3ty:j>4?:3y>65c==916=km51`g8yv7a:3:1>v3=0e864>;6nk0:mh5rs0d2>5<5s48;o7;?;<3ee?7fm2wx=k>50;0x976e2<:01<h6:0cf?xu6mo0;6?u221c915=:9o21=lk4}r3fa?6=:r79<44:0:?2b2<6il1v<kk:18184703?;70?i6;3ba>{t9li1<7<t=324>06<58l>6<oj;|q004<72?q6=kj5519>71g=9ho01<l7:g`897ce2oi01?on:ga8912>2:l0q~<=2;296~;6nj0><63>b982e2=z{;886=4={<3ef?3734;i57?n7:p672=838p1<hn:42894df28k<7p}=2483>7}:9o319=521c`95d1<uz89:7>52z?2b=<2827:nn4>a69~w7402909w0?i7;73?87el3;j;6s|23:94?4|58l=68>4=0`f>4g03ty9>44?:3y>5c3==916=oh51`58yv5an3:18v3=5g864>;3810:mh521b29bg=:<=31?85rs3:7>5<5s48>i7;?;<3`4?7f?2wx>5;50;0x973c2<:01<m>:0c4?xu50?0;6?u224a915=:9j81=l94}r0;3?6=:r799o4:0:?2g6<6i>1v?67:181842i3?;70?l4;3b3>{t:131<7<t=37:>06<58i>6<o8;|q1<d<72;q6>865519>5f0=9h=0q~<7b;296~;5=>0><63>c682e2=z{;2h6=4>4z?07=<3?278?:4;7:?073<3?278?84;7:?071<3?278?>4;7:?074<3?278?=4;7:?077<3?2788l4;7:?00<<3?278854;7:?002<3?2788;4;7:?000<3?278894;7:?006<3?2788?4;7:?70g<ak2794i4>a69~w66d2909w0=<8;73?855=3;j;6s|33d94?2|5:936<oj;<17e?37348mi7hl;<112?`e3ty8<o4?:3y>761==916??:51`58yv55m3:18v3<3682e`=:;=319=522gf9bg=:;8i1jo5rs22b>5<5s498:7;?;<117?7f?2wx??j50;6x965128kn70=;8;73?84al3lo70=>a;da?xu4800;6?u2327915=:;;81=l94}r11g?6=<r78?84>ad9>711==916>km5fc9>74>=nk1v>>7:181854<3?;70==1;3b3>{t;;h1<7:t=217>4gb349?:7;?;<0eg?`c349::7hm;|q042<72;q6?>=5519>776=9h=0q~==a;290~;4;:0:mh52357915=::oh1jo523069bg=z{::>6=4={<105?37349:i7?n7:p77>=83>p1>=>:0cf?853;3?;70<ia;da?85683li7p}<0583>7}:;::19=5230f95d1<uz99;7>54z?075<6il16?9<5519>6cg=nm16?=k5fc9~w6612909w0=<2;73?856n3;j;6s|33;94?2|5:996<oj;<170?37348mn7hk;<126?`e3ty8=o4?:3y>773=<016?<l51`58yv55>3:1>v3<24820f=:;;<1=l94}r12=?6=;r78>94;9:?05g<31278=44>a69~w67d2908w0==4;37g>;49k0:n=5230a95d1<uz9:;7>53z?066<31278=44;9:?052<6i>1v>?n:180855;3;?o63<1882f5=:;8k1=l94}r121?6=;r78>?4;9:?052<31278=84>a69~w67?2908w0==2;37g>;49>0:n=5230:95d1<uz9:?7>53z?064<31278=84;9:?056<6i>1v>?9:18085593;?o63<1482f5=:;8<1=l94}r125?6=;r78>=4;9:?056<31278=<4>a69~w6732908w0==0;37g>;49:0:n=5230695d1<uz9;j7>53z?05c<31278=<4;9:?04c<6i>1v>?=:180856n3;?o63<1082f5=:;881=l94}r13`?6=;r78=h4;9:?04c<31278<i4>a69~w6772908w0=>e;37g>;48o0:n=5230295d1<uz9;i7>53z?05a<6<j16?=j51c28966b28k<7p}<4183>3}:;=31=lk4=0`:>cd<58h36km4=3gb>cc<5;k26km4=56:>6b<uz98j7>56z?00=<6il16=oo5fc9>5g?=nj16>ho5fe9>6d>=nj1689753b9~w65b290=w0=;7;3ba>;6jk0mn63>b`8eg>;5m00mi63=a68eg>;3<008n6s|32f94?0|5:>=6<oj;<3ag?`e34;in7hl;<0f=?`c348j:7hl;<67=?5f3ty8?n4?:7y>713=9ho01<lk:g`894dd2oi01?k7:gg897g22oi019:6:2;8yv54j3:1:v3<4582e`=:9ko1jo521cf9bf=::l21ji522`69bf=:<=31?55rs21b>5<1s49??7?ne:?2fc<aj27:nh4ic:?1a2<am279m>4ic:?70<<4?2wx?>750;7x962528kn70?mf;d`?84b?3lo70<n2;d`?823139=7p}=a083>7}::k9184522`395d1<uz8jm7>52z?1f6<6<j16>lo51`58yv4c:3:1>v3=b282e2=::j319=5rs3c3>5<4s48i>7:6;<0b5?2>348j<7?n7:p6d?=839p1?l=:06`?84f93;i<63=a882e2=z{;n:6=4={<0a6?7f?279o54:0:p6<`=839p1?l>:5;897g72=301?7i:0c4?xu5i10;6>u22c3951e<5;k;6<l?;<0b<?7f?2wx>i>50;0x97d628k<70<l7;73?xu51l0;6>u22c290<=::0l1845228g95d1<uz8j;7>53z?1f5<6<j16>4h51c2897g028k<7p}=cg83>7}::k:1=l94=3a5>06<uz82h7>53z?1ec<312795h4;9:?1=a<6i>1v?o9:18084fn3;?o63=9d82f5=::h<1=l94}r0`a?6=:r79mk4>a69>6f3==91v?7l:18084fm3>270<6d;6:?84>k3;j;6s|2`794?5|5;kn6<:l;<0:`?7e8279m84>a69~w7ec2909w0<ne;3b3>;5k=0><6s|28`94?5|5;ko6974=3;`>1?<5;3i6<o8;|q1e1<72:q6>lj515a897?d28h;70<n4;3b3>{t:ji1<7<t=3cg>4g0348h?7;?;|q1=d<72:q6>lm5489>6<d=<016>4o51`58yv4f;3:1?v3=ab820f=::0h1=o>4=3c0>4g03ty9oo4?:3y>6de=9h=01?m=:428yv4>13:1?v3=ac87=>;51h0?563=9882e2=z{;k96=4<{<0bf?73k2795l4>b19>6d4=9h=0q~<la;296~;5ik0:m:522b3915=z{;h=6=4={<0:=?36348i;7?n7:p6g2=838p1?j<:55897d228k<7p}=d583>7}::m919=522e595d1<uz8h<7>52z?1g<<6il16>i85fb9~w7da2909w0<l8;3ba>;5l?0mn6s|2cg94?4|5;i<6<oj;<0g1?`e3ty9ni4?:3y>6f0=9ho01?j::ga8yv4ek3:1>v3=c482e`=::m=1jn5rs3`a>5<5s48h87?ne:?1`=<aj2wx>oo50;0x97e428kn70<k8;df?xu5j00;6?u22b095dc<5;n36km4}r0a<?6=:r79o<4>ad9>6a>=nm1v?7<:18184>:3?;70<64;3b3>{t:081<76t=3;1>4gb3482=7hl;<0:<?`c348287hk;<0;a?`e348i;7hj;<0;`?`b3483o7hj;|q1=5<72;q6>5h5519>6<7=9h=0q~<7f;29<~;50o0:mh522839bg=::021jn522869bf=::1o1jn522c59ba=::1n1ji5229a9ba=z{;3<6=4<{<0:2?37348297;?;<0:<?7f?2wx>4;50;0x97?228kn70<68;da?xu4=:0;6?u237190<=:;<91=l94}r16g?6=:r78:>4>4b9>70e=9h=0q~=88;296~;4>:0:m:52363915=z{:?96=4<{<156?2>349>?7:6;<166?7f?2wx?8l50;1x960528>h70=:3;3a4>;4=k0:m:5rs254>5<5s49=>7?n7:?035<282wx?hm50;7x96342<;01>h::0cf?827?3?;70=62;da?852j3li7p}<5083>6}:;?;1845234090<=:;<;1=l94}r16e?6=;r78:<4>4b9>704=9k:01>;n:0c4?xu4??0;6?u237395d1<5:<m68>4}r1ff?6==r789?4:1:?0b1<6il168=85519>7<4=nm16?8o5fc9~w6372908w0=90;6:?85293>270=:0;3b3>{t;<31<7=t=243>42d349>=7?m0:?01<<6i>1v>9::18185183;j;63<6d864>{t;lk1<7;t=272>07<5:l86<oj;<631?373492=7hm;<16=?`e3ty88k4?:2y>70`=<016?8>5489>71`=9h=0q~=:8;297~;4=o0:8n5234295g6<5:?36<o8;|q031<72;q6?8h51`58960c2<:0q~=j9;291~;4=90>=63<f382e`=:<9>19=523839ba=:;<21jo5rs26f>5<4s49>i7:6;<17b?2>349?i7?n7:p701=839p1>;j:06`?853n3;i<63<5682e2=z{:=86=4={<16a?7f?278:n4:0:p7`>=83?p1>:i:43896`628kn70:?3;73?85>83li70=:7;da?xu4<m0;6>u234f90<=:;=o1845235f95d1<uz9>:7>53z?01a<6<j16?9k51c28963128k<7p}<7383>7}:;<n1=l94=24a>06<uz9n;7>55z?00`<29278j=4>ad9>054==916?4>5fe9>700=nk1v>:l:181853l3>270=;c;3b3>{t;l<1<7;t=26g>07<5:om6<oj;<635?373493j7hm;<161?`e3ty8984?:3y>71b=9k:01>;::0c4?xu4<k0;6?u235a90<=:;=h1=l94}r1f1?6==r788n4:1:?0a`<6il168=>5519>7=`=nm16?8:5fc9~w6332909w0=;c;3a4>;4==0:m:5rs2cg>5<5s49?n7;>;<1ba?7f?2wx?;o50;0x961628kn70=8b;d`?xu4>00;6?u236295dc<5:=h6kk4}r15<?6=:r78:k4>ad9>72e=nk1v>88:181851m3;ji63<7e8ea>{t;?<1<7<t=24g>4gb349<h7hm;|q020<72;q6?;m51`g8961c2oi0q~=94;296~;4>k0:mh5236f9ba=z{:2;6=4<{<1;4?7fm278;k4ib:?03g<aj2wx?:k50;0x961>2==01>9i:0c4?xu4?h0;6?u236;915=:;>i1=l94}r1ba?6=9jq6?h:5469>7`5=<>16?h<5469>7`7=<>16?h>5469>7a`=<>16?ij5469>7ae=<>16?ik5469>7c6=<>16?hk5469>7``=<>16?k?5469>7c4=<>16?k=5469>7c2=<>16?k;5469>7c0=<>168=65469>051=<>168=85469>053=<>168=:5469>055=<>168=<5469>057=<>168=>5469>7d`=9h=0q~=m8;296~;4m=0><63<d082e2=z{:ni6=4;{<1f0?7fm278j;4:0:?0e3<aj278h?4ib:p7g1=838p1>k<:42896b728k<7p}<d`83>1}:;l91=lk4=2d6>06<5:k>6kl4=2a;>cd<uz9i:7>52z?0a7<28278ok4>a69~w6b>290?w0=j2;3ba>;4n=0><63<a48e`>;4k?0mn6s|3c794?4|5:o:68>4=2af>4g03ty8h54?:5y>7`7=9ho01>h<:42896g32oh01>m;:g`8yv5e<3:1>v3<e1864>;4km0:m:5rs2f4>5<3s49n<7?ne:?0b7<28278m94id:?0g7<aj2wx?o=50;0x96ba2<:01>ml:0c4?xu4l?0;69u23ed95dc<5:l:68>4=2c0>cd<5:i;6kl4}r1a5?6=:r78hi4:0:?0gd<6i>1v>j;:18785cl3;ji63<eg864>;4i;0mn63<bb8ef>{t;k:1<7<t=2f`>06<5:i26<o8;|q0`6<72=q6?im51`g896cb2<:01>o=:gf896df2oh0q~=m2;296~;4ll0><63<cc82e2=z{:n>6=4;{<1ga?7fm278j=4:0:?0e6<al278nh4ib:p7f1=838p1>j>:5;896e028k<7p}<d383>7}:;m;1=9m4=2f1>4g03ty8o84?:2y>7a6=<016?n95489>7f3=9h=0q~=l8;297~;4l90:8n523b595g6<5:i36<o8;|q0g6<72:q6?nh5489>7f3=<016?n=51`58yv5d>3:1?v3<cg820f=:;j?1=o>4=2a5>4g03ty8o<4?:2y>7fc=<016?n=5489>7f7=9h=0q~=l4;297~;4kl0:8n523b195g6<5:i?6<o8;|q0fc<72:q6?nj5489>7f7=<016?oh51`58yv5d:3:1?v3<ce820f=:;j;1=o>4=2a1>4g03ty8ni4?:2y>7fe=<016?oh5489>7gb=9h=0q~=l0;297~;4kj0:8n523cd95g6<5:i;6<o8;|q0fg<72:q6?nl5489>7gb=<016?ol51`58yv5em3:1?v3<cc820f=:;kn1=o>4=2`f>4g03ty8n44?:2y>7fg=<016?ol5489>7g?=9h=0q~=mc;297~;4kh0:8n523c`95g6<5:hh6<o8;|q0fd<72:q6?n7515a896d>28h;70=ma;3b3>{t;ln1<7:t=2d5>4gb34>;47;?;<1:7?`e349>o7hm;|q0b`<72=q68=951`g894e62oh01<m?:ga8912>2:90q~=id;290~;38?0:mh521b09bg=:9j;1jn5245;977=z{:lh6=4;{<631?7fm27:o>4ib:?2g7<ak27?844<1:p7cd=83>p19>;:0cf?87d<3li70?l3;d`?823139;7p}<f`83>1}:<991=lk4=0a6>cd<58i?6km4=56:>7`<uz9m57>54z?747<6il16=n85fc9>5f3=nj1689752d9~w6`?290?w0:?1;3ba>;6k>0mn63>c78eg>;3<009h6s|3g594?5|5=:;6<oj;<3`3?`d34>?57<l;|q70a<72;;p19<=:55891462==019?i:558917b2==019?k:558917d2==019?m:558917f2==019?6:558917?2==019?8:55891712==019?;:55891742==019?=:55891762==019??:558916a2==019>j:558916c2==019>l:558916e2==019<7:55891402==019<9:55891422==019<;:55891442==019<?:55891722==019>n:558916>2==01?6j:0c4?xu3<80;6?u2430915=:<=31h55rs563>5<5s4>9=7;?;<67=?b13ty??h4?:3y>04`==9168975d59~w15c2909w0:>e;73?82313n87p};3b83>7}:<8n19=5245;9`7=z{=9i6=4={<62g?3734>?57j>;|q77d<72;q68<l5519>01?=l91v9=6:181826i3?;70:;9;ae?xu3;10;6?u240;915=:<=31oh5rs514>5<5s4>:47;?;<67=?ec3ty??;4?:3y>041==9168975cb9~w1522909w0:>6;73?82313ii7p};3283>7}:<8>19=5245;9g<=z{=996=4={<627?3734>?57m7;|q774<72;q68<<5519>01?=k>1v9=?:18182693?;70:;9;a5?xu3:o0;6?u2402915=:<=31o85rs50f>5<5s4>;j7;?;<67=?e33ty?>i4?:3y>05c==9168975c29~w14d2909w0:?d;73?82313i97p};2c83>7}:<9i19=5245;9g4=z{=8j6=4={<63f?3734>?57m?;|q70=<72;q68?65519>01?=lo1v9:8:181825?3?;70:;9;ff?xu3<?0;6?u2434915=:<=31hi5rs566>5<5s4>997;?;<67=?bd3ty?894?:3y>072==9168975dc9~w1242909w0:=3;73?82313nj7p};4383>7}:<;:19=5245;9`<=z{=9m6=4={<621?3734>?57j:;|q771<72;q68=o5519>01?=kh1v9<6:18182713?;70:;9;`e?xu5l<0;6?u22e795d1<5;n<6kk4}r67e?6=:r7?8o4>a69>01?=mj1v9:l:181857;3li70<7c;37`>{t:=h1<7=t=36b>ce<5;>o6kj4=36:>4g03ty:i44?:2y>5`>=nj16=hl5fe9>5`1=9h=0q~=8b;296~;4?k0:m:5236a9ba=z{:=o6=4={<14g?`d349<h7?;d:p01c=838p1>ok:0c4?82313>=;6s|18794?4|5;n36<o8;<0g3?`c3ty9h;4?:3y>6a1=nk16>i8515f8yxu3jo0;6?uQ48d8914=<0l0(98<:0;a?xu3jm0;6?uQ48g8914=<0o0(98<:0;`?xu3jk0;6?uQ48a8914=<0i0(98<:0;g?xu3jh0;6?uQ48`8914=<0h0(98<:0;f?xu3j00;6?uQ48c8914=<0k0(98<:07a?xu3j10;6?uQ48;8914=<030(98<:07`?xu3j>0;6?uQ48:8914=<020(98<:045?xu3j?0;6?uQ4858914=<0=0(98<:052?xu3j<0;6?uQ4848914=<0<0(98<:05`?xu3j=0;6?uQ4878914=<0?0(98<:0:7?xu3j:0;6?uQ4868914=<0>0(98<:0:6?xu3j;0;6?uQ4818914=<090(98<:0:5?xu3j90;6?uQ4838914=<0;0(98<:0:4?xu3io0;6?uQ4828914=<0:0(98<:0:;?xu3il0;6?uQ49d8914=<1l0(98<:0::?xu3im0;6?uQ49g8914=<1o0(98<:0:b?xu3ij0;6?uQ49f8914=<1n0(98<:0:a?xu3ik0;6?uQ49a8914=<1i0(98<:0:`?xu3ih0;6?uQ49`8914=<1h0(98<:0:g?xu3i00;6?uQ49c8914=<1k0(98<:0:f?xu3i10;6?uQ49;8914=<130(98<:0:e?xu3i>0;6?uQ49:8914=<120(98<:0;3?xu3k?0;6?uQ4`78914=<h?0(98<:0;2?xu3k<0;6?uQ4`68914=<h>0(98<:0;1?xu3k=0;6?uQ4`18914=<h90(98<:0;0?xu3k:0;6?uQ4`08914=<h80(98<:0;7?xu3k;0;6?uQ4`38914=<h;0(98<:0;6?xu3k80;6?uQ4`28914=<h:0(98<:0;5?xu3k90;6?uQ48f8914=<0n0(98<:0;4?xu3jj0;6?uQ4808914=<080(98<:0;;?xu3j80;6?uQ4958914=<1=0(98<:0;:?xu3i?0;6?uQ4948914=<1<0(98<:0;b?xu2=80;6?uQ5438914==<;0(98<:0;e?xu2=m0;6?uQ54f8914==<n0(98<:0c3?xu2=o0;6?uQ54d8914==<l0(98<:0c2?xu2>>0;6?uQ5758914==?=0(98<:0c1?xu2?;0;6?uQ5608914==>80(98<:0c0?xu2890;6?uQ4d28914=<l:0(98<:07g?xu3nl0;6?uQ4ed8914=<ml0(98<:07f?xu3nj0;6?uQ4ef8914=<mn0(98<:07e?xu3nk0;6?uQ4ea8914=<mi0(98<:043?xu3nh0;6?uQ4e`8914=<mh0(98<:042?xu3n00;6?uQ4ec8914=<mk0(98<:041?xu3n10;6?uQ4e;8914=<m30(98<:040?xu3n>0;6?uQ4e:8914=<m20(98<:047?xu3n?0;6?uQ4e58914=<m=0(98<:046?xu3n<0;6?uQ4e48914=<m<0(98<:044?xu3n=0;6?uQ4e78914=<m?0(98<:04;?xu3n:0;6?uQ4e68914=<m>0(98<:04:?xu3n80;6?uQ4e08914=<m80(98<:04b?xu3n90;6?uQ4e38914=<m;0(98<:04a?xu3mo0;6?uQ4e28914=<m:0(98<:04`?xu3ml0;6?uQ4bd8914=<jl0(98<:04g?xu3mm0;6?uQ4bg8914=<jo0(98<:04f?xu3mj0;6?uQ4bf8914=<jn0(98<:04e?xu3mk0;6?uQ4ba8914=<ji0(98<:053?xu3mh0;6?uQ4b`8914=<jh0(98<:051?xu3m00;6?uQ4bc8914=<jk0(98<:050?xu3m10;6?uQ4b;8914=<j30(98<:057?xu28>0;6?uQ4d48914=<l<0(98<:056?xu28?0;6?uQ4d78914=<l?0(98<:055?xu28<0;6?uQ4d68914=<l>0(98<:054?xu28=0;6?uQ4d18914=<l90(98<:05;?xu28:0;6?uQ4d08914=<l80(98<:05:?xu28;0;6?uQ4d38914=<l;0(98<:05b?xu2880;6?uQ4eg8914=<mo0(98<:05a?xu3nm0;6?uQ4e18914=<m90(98<:05g?xu3n;0;6?uQ4b:8914=<j20(98<:05f?xu3m>0;6?uQ4b58914=<j=0(98<:05e?xu2800;6?uQ51;8914==930(98<:0:3?xu28o0;6?uQ51d8914==9l0(98<:0:2?xu29:0;6?uQ5018914==890(98<:0:1?xu2;;0;6?uQ5208914==:80(98<:0:0?x{iiol1<7<tH547?xhe890;6?uG4768ykd793:1>vF;659~jg652909wE:94:mf55=838pD98;;|la41<72;qC8;:4}o`31?6=:rB?:95rnc25>5<5sA>=86sab1594?4|@=<?7p`m0983>7}O<?>0qcl?9;296~N3>=1vbo>n:181M21<2wen=l50;0xL1033tdi<n4?:3yK032<ugh;h7>52zJ721=zfk:n6=4={I650>{ij9l1<7<tH547?xhe990;6?uG4768ykd693:1>vF;659~jg752909wE:94:mf45=838pD98;;|la51<72;qC8;:4}o`21?6=:rB?:95rnc35>5<5sA>=86sab0594?4|@=<?7p`m1983>7}O<?>0qcl>9;296~N3>=1vbo?n:181M21<2wen<l50;0xL1033tdi=n4?:3yK032<ugh:h7>52zJ721=zfk;n6=4={I650>{ij8l1<7<tH547?xhe:90;6?uG4768ykd593:1>vF;659~jg452909wE:94:mf75=838pD98;;|la61<72;qC8;:4}o`11?6=:rB?:95rnc05>5<5sA>=86sab3594?4|@=<?7p`m2983>7}O<?>0qcl=9;296~N3>=1vbo<n:181M21<2wen?l50;0xL1033tdi>n4?:3yK032<ugh9h7>52zJ721=zfk8n6=4={I650>{ij;l1<7<tH547?xhe;90;6?uG4768ykd493:1>vF;659~jg552909wE:94:mf65=838pD98;;|la71<72;qC8;:4}o`01?6=:rB?:95rnc15>5<5sA>=86sab2594?4|@=<?7p`m3983>7}O<?>0qcl<9;296~N3>=1vbo=n:181M21<2wen>l50;0xL1033tdi?n4?:3yK032<ugh8h7>52zJ721=zfk9n6=4={I650>{ij:l1<7<tH547?xhe<90;6?uG4768ykd393:1>vF;659~jg252909wE:94:mf15=838pD98;;|la01<72;qC8;:4}o`71?6=:rB?:95rnc65>5<5sA>=86sab5594?4|@=<?7p`63483>4}O<?>0qc78e;295~N3>=1vb49i:182!72>3?=?6F;659~j<>7290:w)?:6;757>N3>=1vb46>:182!72>3?=?6F;659~j<>5290:w)?:6;757>N3>=1vb46<:182!72>3?=?6F;659~j<>3290:w)?:6;757>N3>=1vb46::182M21<2we55850;3xL1033td24:4?:0yK032<ug3347>51zJ721=zf0226=4>{I650>{i11k1<7?tH547?xh>0k0;6<uG4768yk??k3:1=vF;659~j<>c290:wE:94:m==c=83;pD98;;|l:<c<728qC8;:4}o;:4?6=9rB?:95rn8;2>5<6sA>=86sa98094?7|@=<?7p`69283>4}O<?>0qc764;295~N3>=1vb47::182M21<2we54850;3xL1033td25:4?:0yK032<ug3247>51zJ721=zf0326=4>{I650>{i10k1<7?tH547?xh>1k0;6<uG4768yk?>k3:1=vF;659~j<?c290:wE:94:m=<c=83;pD98;;|l:=c<728qC8;:4}o;b4?6=9rB?:95rn8c2>5<6sA>=86sa9`094?7|@=<?7p`6a283>4}O<?>0qc7n4;295~N3>=1vb4o::182M21<2we5l850;3xL1033td2m:4?:0yK032<ug3j47>51zJ721=zf0k26=4>{I650>{i1hk1<7?tH547?xh>ik0;6<uG4768yk?fk3:1=vF;659~j<gc290:wE:94:m=dc=83;pD98;;|l:ec<728qC8;:4}o;a4?6=9rB?:95rn8`2>5<6sA>=86sa9c094?7|@=<?7p`6b283>4}O<?>0qc7m4;295~N3>=1vb4l::182M21<2we5o850;3xL1033td2n:4?:0yK032<ug3i47>51zJ721=zf0h26=4>{I650>{i1kk1<7?tH547?xh>jk0;6<uG4768yk?ek3:1=vF;659~j<dc290:wE:94:m=gc=83;pD98;;|l:fc<728qC8;:4}o;`4?6=9rB?:95rn8a2>5<6sA>=86sa9b094?7|@=<?7p`6c283>4}O<?>0qc7l4;295~N3>=1vb4m::182M21<2we5n850;3xL1033td2o:4?:0yK032<ug3h47>51zJ721=zf0i26=4>{I650>{i1jk1<7?tH547?xh>kk0;6<uG4768yk?dk3:1=vF;659~j<ec290:wE:94:m=fc=83;pD98;;|l:gc<728qC8;:4}o;g4?6=9rB?:95rn8f2>5<6sA>=86sa9e094?7|@=<?7p`6d283>4}O<?>0qc7k4;295~N3>=1vb4j::182M21<2we5i850;3xL1033td2h:4?:0yK032<ug3o47>51zJ721=zf0n26=4>{I650>{i1mk1<7?tH547?xh>lk0;6<uG4768yk?ck3:1=v*>578626=O<?>0qc7kd;295~"6=?0>:>5G4768yk?cm3:1=vF;659~j<ba290:w)?:6;757>N3>=1vb4k?:182M21<2we5h?50;3x 4312<<87E:94:m=`4=83;pD98;;|l:a6<728q/=8855718L1033td2i94?:0yK032<ug3n97>51z&213<2>:1C8;:4}o;f2?6=9rB?:95rn8g4>5<6s-;>:7;93:J721=zf0o36=4>{I650>{i1l31<7?t$075>0043A>=86sa9dc94?7|@=<?7p`6ec83>4}#9<<19;=4H547?xh>mj0;6<uG4768yk?bl3:1=v*>578626=O<?>0qc7je;295~"6=?0>:>5G4768yk?bn3:1=vF;659~j<`7290:w)?:6;757>N3>=1vb4h>:182M21<2we5k<50;3x 4312<<87E:94:m=c5=83;pD98;;|l:b1<728q/=8855718L1033td2j84?:0yK032<ug3m:7>51z&213<2>:1C8;:4}o;e3?6=9rB?:95rn8d;>5<6s-;>:7;93:J721=zf0l26=4>{I650>{i1ok1<7?t$075>0043A>=86sa9g`94?7|@=<?7p`6fb83>4}#9<<19;=4H547?xh>nm0;6<uG4768yk?am3:1=vF;659~j<`a290:wE:94:me56=83;pD98;;|lb44<728qC8;:4}oc36?6=9rB?:95rn`20>5<6sA>=86saa1694?7|@=<?7p`n0483>4}O<?>0qco?6;295~N3>=1vbl>8:182M21<2wem=650;3xL1033tdj<44?:0yK032<ugk;m7>51zJ721=zfh:i6=4>{I650>{ii9i1<7?tH547?xhf8m0;6<uG4768ykg7m3:1=vF;659~jd6a290:wE:94:me46=83;pD98;;|lb54<728qC8;:4}oc26?6=9rB?:95rn`30>5<6sA>=86saa0694?7|@=<?7p`n1483>4}O<?>0qco>6;295~N3>=1vbl?8:182M21<2wem<650;3xL1033tdj=44?:0yK032<ugk:m7>51zJ721=zfh;i6=4>{I650>{ii8i1<7?tH547?xhf9m0;6<uG4768ykg6m3:1=vF;659~jd7a290:wE:94:me76=83;pD98;;|lb64<728qC8;:4}oc16?6=9rB?:95rn`00>5<6sA>=86saa3694?7|@=<?7p`n2483>4}O<?>0qco=6;295~N3>=1vbl<8:182M21<2wem?650;3xL1033tdj>44?:0yK032<ugk9m7>51zJ721=zfh8i6=4>{I650>{ii;i1<7?tH547?xhf:m0;6<uG4768ykg5m3:1=vF;659~jd4a290:wE:94:me66=83;pD98;;|lb74<728qC8;:4}oc06?6=9rB?:95rn`10>5<6sA>=86saa2694?7|@=<?7p`n3483>4}O<?>0qco<6;295~N3>=1vbl=8:182M21<2wem>650;3xL1033tdj?44?:0yK032<ugk8m7>51zJ721=zfh9i6=4>{I650>{ii:i1<7?tH547?xhf;m0;6<uG4768ykg4m3:1=vF;659~jd5a290:wE:94:me16=83;pD98;;|lb04<728qC8;:4}oc76?6=9rB?:95rn`60>5<6sA>=86saa5694?7|@=<?7p`n4483>4}O<?>0qco;6;295~N3>=1vbl:8:182M21<2wem9650;3xL1033tdj844?:0yK032<ugk?m7>51zJ721=zfh>i6=4>{I650>{ii=i1<7?tH547?xhf<m0;6<uG4768ykg3m3:1=vF;659~jd2a290:wE:94:me06=83;pD98;;|lb14<728qC8;:4}oc66?6=9rB?:95rn`70>5<6sA>=86saa4694?7|@=<?7p`n5483>4}O<?>0qco:6;295~N3>=1vbl;8:182M21<2wem8650;3xL1033tdj944?:0yK032<ugk>m7>51zJ721=zfh?i6=4>{I650>{ii<i1<7?tH547?xhf=m0;6<uG4768ykg2m3:1=vF;659~jd3a290:wE:94:me36=83;pD98;;|lb24<728qC8;:4}oc56?6=9rB?:95rn`40>5<6sA>=86saa7694?7|@=<?7p`n6483>4}O<?>0qco96;295~N3>=1vbl88:182M21<2wem;650;3xL1033tdj:44?:0yK032<ugk=m7>51zJ721=zfh<i6=4>{I650>{ii?i1<7?tH547?xhf>m0;6<uG4768ykg1m3:1=vF;659~jd0a290:wE:94:me26=83;pD98;;|lb34<728qC8;:4}oc46?6=9rB?:95rn`50>5<6sA>=86saa6694?7|@=<?7p`n7483>4}O<?>0qco86;295~N3>=1vbl98:182M21<2wem:650;3xL1033tdj;44?:0yK032<ugk<m7>51zJ721=zfh=i6=4>{I650>{ii>i1<7?tH547?xhf?m0;6<uG4768ykg0m3:1=vF;659~jd1a290:wE:94:me=6=83;pD98;;|lb<4<728qC8;:4}oc;6?6=9rB?:95rn`:0>5<6sA>=86saa9694?7|@=<?7p`n8483>4}O<?>0qco76;295~N3>=1vbl68:182M21<2wem5650;3xL1033tdj444?:0yK032<ugk3m7>51zJ721=zfh2i6=4>{I650>{ii1i1<7?tH547?xhf0m0;6<uG4768ykg?m3:1=vF;659~jd>a290:wE:94:me<6=83;pD98;;|lb=4<728qC8;:4}oc:6?6=9rB?:95rn`;0>5<6sA>=86saa8694?7|@=<?7p`n9483>4}O<?>0qco66;295~N3>=1vbl78:182M21<2wem4650;3xL1033tdj544?:0yK032<ugk2m7>51zJ721=zfh3i6=4>{I650>{ii0i1<7?tH547?xhf1m0;6<uG4768ykg>m3:1=vF;659~jd?a290:wE:94:med6=83;pD98;;|lbe4<728qC8;:4}ocb6?6=9rB?:95rn`c0>5<6sA>=86saa`694?7|@=<?7p`na483>4}O<?>0qcon6;295~N3>=1vblo8:182M21<2weml650;3xL1033tdjm44?:0yK032<ugkjm7>51zJ721=zfhki6=4>{I650>{iihi1<7?tH547?xhfim0;6<uG4768ykgfm3:1=vF;659~jdga290:wE:94:meg6=83;pD98;;|lbf4<728qC8;:4}oca6?6=9rB?:95rn``0>5<6sA>=86saac694?7|@=<?7p`nb483>4}O<?>0qcom6;295~N3>=1vbll8:182M21<2wemo650;3xL1033tdjn44?:0yK032<ugkim7>51zJ721=zfhhi6=4>{I650>{iiki1<7?tH547?xhfjm0;6<uG4768ykgem3:1=vF;659~jdda290:wE:94:mef6=83;pD98;;|lbg4<728qC8;:4}oc`6?6=9rB?:95rn`a0>5<6sA>=86saab694?7|@=<?7p`nc483>4}O<?>0qcol6;295~N3>=1vblm8:182M21<2wemn650;3xL1033tdjo44?:0yK032<ugkhm7>51zJ721=zfhii6=4>{I650>{iiji1<7?tH547?xhfkm0;6<uG4768ykgdm3:1=vF;659~jdea290:wE:94:mea6=83;pD98;;|lb`4<728qC8;:4}ocg6?6=9rB?:95rn`f0>5<6sA>=86saae694?7|@=<?7p`nd483>4}O<?>0qcok6;295~N3>=1vblj8:182M21<2wemi650;3xL1033tdjh44?:0yK032<ugkom7>51zJ721=zfhni6=4>{I650>{iimi1<7?tH547?xhflm0;6<uG4768ykgcm3:1=vF;659~jdba290:wE:94:me`6=83;pD98;;|lba4<728qC8;:4}ocf6?6=9rB?:95rn`g0>5<6sA>=86saad694?7|@=<?7p`ne483>4}O<?>0qcoj6;295~N3>=1vblk8:182M21<2wemh650;3xL1033tdji44?:0yK032<ugknm7>51zJ721=zfhoi6=4>{I650>{iili1<7?tH547?xhfmm0;6<uG4768ykgbm3:1=vF;659~jdca290:wE:94:mec6=83;pD98;;|lbb4<728qC8;:4}oce6?6=9rB?:95rn`d0>5<6sA>=86saag694?7|@=<?7p`nf483>4}O<?>0qcoi6;295~N3>=1vblh8:182M21<2wemk650;3xL1033tdjj44?:0yK032<ugkmm7>51zJ721=zfhli6=4>{I650>{iioi1<7?tH547?xhfnm0;6<uG4768ykgam3:1=vF;659~yx{GHJqo=>4k0cg;b4dzHIHp<pNOPzCD
/trunk/npi_vga_v1_00_b/netlist/fifo_i.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
 
/trunk/npi_vga_v1_00_b/netlist/fifo_sp_32.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:18:41 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc3s100e
SET devicefamily = spartan3e
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = vq100
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -5
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_sp_32
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=32
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: 2c79026e
 
/trunk/npi_vga_v1_00_b/netlist/fifo_v4.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$70040<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>=;137?5>0N81:?6?!00d855<NFY__6}|`g^gntqX|axne26:1<12>772@D[YY4rne\ahvsqV~c~h}g_`qpawr;13:5>;5>0;KMTPR=x{elShctx]wlwct`Vdnklzj<883:4e<990DYY^ZT;pg[agsiVidycz39;2=0>74NO;?7<;5IORVP?GCL[K7=94?>06850<NFY__6LJKRC>20?69928:>6==:HLSQQ<CAH68=7>113906?OIX\^1HDL33083:45<;;0DYY^ZT;FLE956294:?6==:NWWTPR=LFH7?<4?>06877<H]]Z^X7j`uu>05?69<298>><4393807=398;087GAPTV9@LVF4:0;2<?44;KMTPR=L@ZI0>4?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>d97rc~58ol=;?#>009557=1<3CE\XZ5dhl?26<76;1=59599847?3?F9;1=I<584::7642<012;=67;;823=7=>>=12:;7>d:;9MKVR\3zycjQiumn\pmtb{a636=0=4:;9MKVR\3zycjQiumn\pmtb{aUj~k}t=:94;43300BB][[:qplcZ`rdeUdk|h^lfcdrb410;2<649;MVPUSS2mkmRm`uov?<?699k126B[[PTV9swYci}kTob{at=:94;><IMNYM1>19:CG@WG;99427LJKR@>25;?<IMNYM1?=>89B@ATF4895n6OKDSC?51<7601JHI\N<06=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF975601JHI\M<01=f>GCL[H7=94?>89B@ATE48>546OKDS@?5;><IMNYN1<18:CG@WD;;720MIJ]B=6=<>GCL[H79364AEFQF90902KOH_L37?:8EABUJ52546OKDS@?=;?<IZSEOR\JG09A7>DR:11IY^QFNGM2?F2<K9N;86MN8@c8GDUDIMOBBLo4C@Q@EACNFKh0OL]LAEGLQQGe3JKXOLJJOTVA0>EDLJ80OH?=;BJFGNYKAJOE_HQ[YQG1?FO33JF@M95LLJ@0?FJU12IDA@G[TDF20>EHZLULICK]AUKLJZOINF=0O_KNTDF2?A4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=908;EKB8439?2NBM1?9>69GMD:6?7=0HDO319<4?AOF4835:6JFA=3=3>BNI58;2:5KI@>15;1<L@K7>?08;EKB8759?2NBM1<;>69GMD:5=7=0HDO327<4?AOF4;=5;6JFA=0;:2=CAH695384DHC?6;1<L@K7?=06;EKB867=87=0HDO330<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:17:FJF9726>1OEO2>6?58@LD;9>4<7IGM<0:=3>BNJ5;22;5KIC>2:2=CAK69<394DH@?64803MCI0?<17:FJF9446>1OEO2=4?58@LD;:<4<7IGM<34=3>BNJ58<2:5KIC>1<;1<L@H7>409;EKA87803MCI0>>19:FJF956294<7IGM<23=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535;6JFP@>3:2=CAYK7=394DHRB878>3MC[M1=50?58@LVF4:4<7IG_B=2=3>BNXK6:2:5KIQ@?6;?<L@ZI0>4?>69GMUD;;7<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;1<LFK7=908;EMB8439?2NDM1?9>69GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1<LFK7>?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;1<LFK7?=06;EMB867=87=0HBO330<5?AIF4:4=7IAN<5<5?AIF4<4=7IAN<7<5?AIF4>4=7IAN<9<5?AIF404<7IAN_SGD2>BHJ5:5;6J@B=33:2=CGK6:=394DN@?57803MEI0<=17:FLF9736>1OCO2>5?58@JD;9?4<7IAM<05=3>BHJ5;32:5KOC>2=;0<LFH7=394DN@?65803MEI0??17:FLF9456>1OCO2=3?58@JD;:=4<7IAM<37=3>BHJ58=2:5KOC>13;1<LFH7>508;EMA87?9>2NDN1<17:FLF957601OCO2<1;2=3>BHJ59:2;5KOC>0:3=CGK6?2;5KOC>6:3=CGK6=2;5KOC>4:3=CGK632;5KOC>::2=CGKUYIJ94DNRB85803ME[M1?17:FLTD:5601OC]O33;2=3>BHXH682:5KOQ@?4;1<LFZI0<08;EMSF94912ND\O2<:1<4?AIWJ595?6KAA29FJG4<N1?0JLB\E39E@6=ALJ>0JIMJ3:DGA6=ALY>0JI^J4:DE652<NO?<86HIE@78Bdjtm81L?6IAD09J6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL6;HLJPZ@NDL90ECX>2:KMR@TSWJF@SIAN_SGD57=NF_OYXRMCK^FLFZTBO:1BCO<4LH08HJ0<DFKOII94LNEJGDJ33E__=95CUU05?ISS:VF?7A[[379OQQ5XD=1GYY:9;MWW0ZJ23DYLCC;4MTZ@]0=J]QL>o6CnjnpUawunggi0Ad``rWgqwlii:2D:?6@>029M545<F88?7C?=759M57>43G;886@>3168J455<2D:?>=4N067?K73>=1E=99;;O37<1=I9=387C?:4:L2152<F8?:86@>5368J434<2D:99:4N0760>H6=?>0B<;84:L21=2<F8?2?6@>659M53633G;==95A1707?K71;=1E=;:;;O3511=I9?<?7C?9759M53>33G;=5>5A1668J417<2D:;<:4N0510>H6?:>0B<9;4:L2302<F8==86@>7668J41?<2D:;4=4N0:7?K7?9=1E=5<<;O3:7>H58:1E><=4N300?K44;2D98>5A2418J7043G8<?6@=829M6<5<F::87C=>3:L066=I;:90B>:<;O167>H4>:1E?:=4N2:0?K5>;2D?<>5A4018J1443G>8?6@;429M005<F=<87C:83:L7<6=I<090B8><;O727>H2::1E9>=4N460?K32;2D>:>5A5618J0>43G?2>6@93:L546=I>890B;<<;O407>H1<:1E:8=4N740?K00;2D=4>5A6808J24<F180B4h4NC]AQVVNFVZYC]]8;OGWSJTL<2DDBH?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_9;SCNF40a3[KFSHV[EOQJKKYFn2XJARKWTDLPMJHXJj1YILJPWHFWLZGd3[OJHRYFDUJ\F3=UMNINM;5]EFAFF4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF77=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2344YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1230ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678<UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=8PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0124[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv5670VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<=Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?013\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4565W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;?R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?05]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3453XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:=S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?7^Pfwpjs9;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6:2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2=>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>0:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:36;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6>2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec29>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>4:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:?6;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag622?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ>219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^014>S7'nxm"h gbz-gim'{nT|cz}_ckm[6473\:$kh!rg-dg}(ddbr$~iQnup\flhX<;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU>>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR8=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_603?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\<76<]9%l~k }f.e`|+ekcq%yhR~ats]amkY>:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?0358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw6789;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012262=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;=<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34545?2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>=1348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678:8<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?011163=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;8?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234175>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>:269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567=88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?0141g>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:==<Q\W10`?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<:=R]X1358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?89:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012462=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;<<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2?>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=3=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`878582_;#j|i.sd,cf~)keas#jPpovq[be;;78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6?2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1;1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<7<14>S7'nxm"h gbz-gim'{nT|cz}_fa?3;473\:$kh!rg-dg}(ddbr$~iQnup\cf:?6;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k535=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS=?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ6279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:76;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?1;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc919:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij27>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;178>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_106?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W88>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_306?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W:8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_506?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W<8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_706?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W>8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_906?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W0827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?5;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?32?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7?3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;<7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?1;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?36?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7;3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;07827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?=;4?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P13:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U9>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z5502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_50;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T9?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y1:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^51<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S5<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X1;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv5679;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567?;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?8338Q5)`zo$yj"ilx/aoo})pzVzexQmio>3:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:66;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag692??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>7:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:26;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6=2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>;:76<]9%l~k }f.e`|+ekcq%|~R~ats]amkY7:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV;9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS?<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P3328Q5)`zo$yj"ilx/aoo})pzVzexQmio]765=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ3582_;#j|i.sd,cf~)keas#z|Ppovq[goiW?8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT;?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ7279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv5678;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?01312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89::>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12354413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=<=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq45659;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0204?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt78999>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123071<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<9?=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4562:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?5005?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789<9o6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012554YT_98h7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01425ZUP9;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?07012>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:<>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12334473\:$kh!rg-dg}(ddbr${Qnup\cf:76;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg939:91^<"i}f/pe+be&jf`t"y}_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7;3<?;T2,cw`)zo%lou lljz,swYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^22b>S7'nxm"h gbz-gim'~xT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ46n2_;#j|i.sd,cf~)keas#z|Ppovq[beX;8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT9<h4U1-dvc(un&mht#mcky-tvZvi|{UloR8>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^:12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^21<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S<<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X:;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]06==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R:=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W<837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\27><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q8299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V2996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01211>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01011>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01611>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789?996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01411>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789=9m6[?/fpe*w`(oe:%~i!hr0,qbr`s'[KFS_JPEO]ESCR6:01^<"i}f/pe+bj7&{n$k?!rguep*TFEVXNKB[[_DL276=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al89=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>-Hl0<>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce=<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf173=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al;'Bb>64U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe0.Mk76:11^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_g`13>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~Te?k4U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZoXg{:;<=<i;T2,cw`)zo%l`= }d.eq5+tao~$i`~{y^dtbqYnWfx;<=>>3g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw30?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=3=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?6;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}959;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;<79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5?5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7:3=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1913g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw38?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=;=04=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww8469<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[iss4;4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xd|~7?3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Ugyy2;>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=7=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww838382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;?7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6329>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x171409V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<02=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq848382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;:7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{6829>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vrd~1:1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<4<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pxnp?2;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]{kw:06=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5258=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey040=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/SCN[@KW\PUMNRgav248Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-QEHYBEY^RSKLPiot2[LHQW9927X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$midzsugg[rtXxg~ySjPp`f?4;5f3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(im`~ykk_vp\tkruW{nT|lj30?304>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>24;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`979:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;:78m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl595>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn783<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjh1;12g9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|lj36?0e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=5=6c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f?<;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`9?9:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX9;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW8:9i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU9>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT??k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS9<j;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhR;=e:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQ92d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP73g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_90f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^;0<>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a67896:<3=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd1234979;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>32?14?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`5678595?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?0;503\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Zgcl9:;<1;1369V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljPaef3456;>79<7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflVkoh=>?0=5=72=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\eab789:743=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd12349?9:k1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&zycjQjmqvz[l513\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j[fkwWeo;<=><8:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/qplcZcjx}sTeRmbp^nf4567::927X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$|ah_dosp|YnWjg{Sak?0121075>3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j[fkwWeo;<=>=441g?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,twi`Wlg{xtQf_bos[ic789:988Q\W112?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,vaYwf}xTecxPp`f?5;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(zmU{by|Piot\tdbX9Vddx=>?00]JJSY7:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=2=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1?1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|585>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp959:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]4U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5969::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7=3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=0=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;;7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1:12c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\4Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^3\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T8Road123444>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o<!laspzj`r;:7827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95;5>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?32?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5959::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';783<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^2\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P1^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R<Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T?Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V>Tmcj?012265=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6582_;#j|i.sd,ci6)zm%l~l}!rrvp+fijx8827X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[l573\:$kh!rg-dh5(ul&mym~ }suq,vdkXmdzuRhm_h]lv5678:;0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;=?=4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|88?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{2368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by:=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp0433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d:?:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov461=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}2986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at80:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7<3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;87Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:66;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>2:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=0=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1<1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0>0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4:4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;<78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?0;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>6:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2:>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1812b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5<5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4>49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az828Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?<;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw38?]qp7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:46;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?0;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<4<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6<2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;078j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8<85l2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=;=[wr6n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:768l0Y=!hrg,qb*ak8'xo#~ats-`kphs484:j6[?/fpe*w`(oe:%~i!}povq+firf}692<h4U1-dvc(un&mg<#|k/srmpw)dg|d0>0>f:W3+bta&{l$ka>!re-qtkru'je~by2;>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<4<2b>S7'nxm"h gm2-va)uxg~y#naznu>5:4`<]9%l~k }f.eo4+tc'{zex!lotlw8286n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:?68l0Y=!hrg,qb*ak8'xo#~ats-`kphs404:i6[?/fpe*w`(oe:%~i!}povq+firf}U;=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T=<k4U1-dvc(un&mg<#|k/srmpw)dg|dS??j;T2,cw`)zo%l`= }d.psjqt(kfexR=>e:W3+bta&{l$ka>!re-qtkru'je~byQ;1d9V4*aun'xm#jb?.sf,vuhsz&idyczP50g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_73f?P6(o{l%~k!hl1,q`*twf}x$ob{at^52a>S7'nxm"h gm2-va)uxg~y#naznu];5`=R8&myj#|i/fn3*wb(zyd~"m`uov\=74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;87897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=0=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:46;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;783<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><4<16>S7'nxm"h gm2-va)uxg~y#naznu]g5909:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?38?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28<8592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R?=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^015>S7'nxm"h gm2-va)uxg~y#naznu]g5Z5592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V>9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R;=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^415>S7'nxm"h gm2-va)uxg~y#naznu]g5Z1592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V29=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R7<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2?>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<0<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>1:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8684n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:36:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4<48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6=2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0:0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot27>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=3=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww878382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;;7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6?29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x1;1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<7<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?3;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:?6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5358=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey0<0;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|32?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>0:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv929<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4<4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx7:3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc28>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=:=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq8<85n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:66;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4;49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim682?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko090=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2:>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<7<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>4:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8=85n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:>6;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW88n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV89i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU8>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT8?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS8<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR8=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ82d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP83g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_814?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785;5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?6;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<1=1369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;<79<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=7=72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:7:3=8;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhRokd1234919;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>38?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`5678535>l5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXa:80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYj}q:;<>=7;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?01121ZUP8:20Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYj}q:;<>?:_RU27d=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*vugnUmyabPi^ov|567;8?T_Z?PF2:8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy234672WZ]9?95Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?32206>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_omw4566;:1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&zycjQiumn\mZhh|9:;=<<7;T2,cw`)zo%l`= xr.etev(`xo$|~}h ws]qwqYc9;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>3:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2>>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}692?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:46;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX5X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR>V"jc|.lq17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:76;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0<0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64:49?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2;>3`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_3]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S9Qaou23457512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<1<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0<0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs4;4956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw8685n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28585;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><3<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:46;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:090=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]3[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_0]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q=_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S>Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U?Sca{0123576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6:91^<"i}f/pe+bj7&~x$kzo|.vqww*ehey8946[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^da[l423\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSd<l;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[lYhz9:;<?j4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZiu89:;=<j4U1-dvc(un&mg<#y}/scn[rtXmgUb=<h4U1-dvc(un&mg<#y}/scn[rtXmgUb=R?>f:W3+bta&{l$ka>!ws-qehYpzVoeSd?P20d8Q5)`zo$yj"ic0/uq+wgjW~xTicQf1^117>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex><;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw072<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu410>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{83;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:76Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}979:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<3<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;;7Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:36;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;?78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?3;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?4;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<0<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1<12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{682?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;<78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8085i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=4=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc28>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx743<k;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu414T~y?i;T2,cw`)zo%l`= xr.usjqt(kfex1>11g9V4*aun'xm#jb?.vp,suhsz&idycz31?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=0=5c=R8&myj#|i/fn3*rt(yd~"m`uov?7;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9299o1^<"i}f/pe+bj7&~x${}`{r.alqkr;=7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5<5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7;3?i;T2,cw`)zo%l`= xr.usjqt(kfex1611d9V4*aun'xm#jb?.vp,suhsz&idyczP00g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_03f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^02a>S7'nxm"h gm2-sw)pxg~y#naznu]05`=R8&myj#|i/fn3*rt(yd~"m`uov\04c<]9%l~k }f.eo4+qu'~zex!lotlw[07b3\:$kh!rg-dh5(pz&}{by| cnwmpZ06m2_;#j|i.sd,ci6){%||cz}/bmvjqY09l1^<"i}f/pe+bj7&~x${}`{r.alqkrX0;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><0<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?34?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28085:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95<5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<28>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?<;463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W98:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[6463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W=8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S8<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[2463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W1897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<5<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18=8592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V:9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R?=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^015>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z5592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V>9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R;=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^415>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z1592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V2::6[?/fpe*w`(elg$hb{{_h]353=R8&myj#|i/lgn+air|VcT=<94U1-dvc(un&gna"j`uu]j[466?2_;#j|i.sd,i`k(lfSdQ>1058Q5)`zo$yj"cjm.flqqYnW88:;6[?/fpe*w`(elg$hb{{_h]2741<]9%l~k }f.ofi*bh}}UbS<:>7:W3+bta&{l$ahc dnww[lY6=8=0Y=!hrg,qb*kbe&ndyyQf_0423>S7'nxm"h mdo,`jssW`U:;<94U1-dvc(un&gna"j`uu]j[4>6?2_;#j|i.sd,i`k(lfSdQ>9048Q5)`zo$yj"cjm.flqqYnW;;<7X> gsd-vc)jmd%ocxzPi^0352=R8&myj#|i/lgn+air|VcT><?8;T2,cw`)zo%fi`!kotv\mZ459>1^<"i}f/pe+hcj'me~xRgP2234?P6(o{l%~k!bel-gkprXaV8?=:5Z0.eqb+ta'dof#iazt^k\60703\:$kh!rg-nah)cg|~TeR<9169V4*aun'xm#`kb/emvpZoX:>;<7X> gsd-vc)jmd%ocxzPi^0;52=R8&myj#|i/lgn+air|VcT>4?9;T2,cw`)zo%fi`!kotv\mZ56?2_;#j|i.sd,i`k(lfSdQ<0058Q5)`zo$yj"cjm.flqqYnW:;::6[?/fpe*w`(elg$hb{{_h]753=R8&myj#|i/lgn+air|VcT9<84U1-dvc(un&gna"j`uu]j[3713\:$kh!rg-nah)cg|~TeR9>6:W3+bta&{l$ahc dnww[lY?9?1^<"i}f/pe+hcj'me~xRgP90;8Q5)`zo$yj"cjm.flqqYjmd6;2<o4U1-dvc(un&gna"j`uu]nah:687;j7X> gsd-vc)jmd%ocxzPmdo?5486i2_;#j|i.sd,i`k(lfS`kb<00=5d=R8&myj#|i/lgn+air|Vgna1?<>0c8Q5)`zo$yj"cjm.flqqYjmd6:83?n;T2,cw`)zo%fi`!kotv\i`k;9<4:m6[?/fpe*w`(elg$hb{{_lgn84099h1^<"i}f/pe+hcj'me~xRcjm=34:4g<]9%l~k }f.ofi*bh}}Ufi`2>8?3b?P6(o{l%~k!bel-gkprXelg7=40>9:W3+bta&{l$ahc dnww[hcj484:m6[?/fpe*w`(elg$hb{{_lgn87699h1^<"i}f/pe+hcj'me~xRcjm=02:4g<]9%l~k }f.ofi*bh}}Ufi`2=2?3b?P6(o{l%~k!bel-gkprXelg7>>0>a:W3+bta&{l$ahc dnww[hcj4;>5=l5Z0.eqb+ta'dof#iazt^ofi94268k0Y=!hrg,qb*kbe&ndyyQbel>12;7f3\:$kh!rg-nah)cg|~Tahc326<2e>S7'nxm"h mdo,`jssWdof0?611`9V4*aun'xm#`kb/emvpZkbe5822<74U1-dvc(un&gna"j`uu]nah:568k0Y=!hrg,qb*kbe&ndyyQbel>04;7f3\:$kh!rg-nah)cg|~Tahc330<2=>S7'nxm"h mdo,`jssWdof0>0>9:W3+bta&{l$ahc dnww[hcj4=4:56[?/fpe*w`(elg$hb{{_lgn808612_;#j|i.sd,i`k(lfS`kb<7<2=>S7'nxm"h mdo,`jssWdof0:0>9:W3+bta&{l$ahc dnww[hcj414:56[?/fpe*w`(elg$hb{{_lgn8<80>2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^6Z&{kf"!y4^llmkos&{kf#^J_.RKMCICXX[CF"^J_779V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>]/pbi+t(~=Uecd`ft/pbi*UCX'YBBJBJ_QPJI+UCX890Y=!hrg,qb*tfeVxoSh`>7:W3+bta&{l$~lcPrdelqqYbf890Y=!hrg,qb*tfeV}ySh`>d:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hi=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd003?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g64e<]9%l~k }f.pppgjl'{nT~~zPcnoa5a=R8&myj#|i/sqwfim(zmUyyQlol`25`=R8&myj#|i/sqwfim(zmUyyQ}ef>2:4c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$kh!rg-qwqdkc&xoS}{_sgd[47c3\:$kh!rg-qwqdkc&xoS}{_sgd[77c3\:$kh!rg-qwqdkc&}yS}{_`qqab473\:$kh!rg-qwqdkc&}yS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5:5=h5Z0.eqb+ta'{ynae ws]qwqYumn6:2<j4U1-dvc(un&xxxobd/vp\vvrXzlmT<<j4U1-dvc(un&xxxobd/vp\vvrXzlmT=l5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC13^OJ0=09;VGB84813^OJ0?07;VGB86<76?1\IL2<>79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:4i7Z\FTD]EMWUSk2]YEYKPMNFF[De<_[C_IRC@DD]A`>QUA]OT[DJ[H^Cg?RTN\LU\EIZG_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdzuo5nllmppZ`rde<0nlmduqf8fdel}yUfmejr29`hnb<lh~jSnaznu*3-a=ci}kTob{at)3*`>bf|hUhcx`{(3+g?agsiVidycz'3(f8`drfWje~by&;)e9geqgXkfex%;&d:fbpdYdg|d$;'k;ecweZeh}g~#;$j4d`vb[firf}"3%k5kauc\gjsi|521<394dckwawt13mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%:5kio*06,1<l`d#?>'8;ekm,62.?2nbb%=:)69gmk.4> =0hd`'36+4?aoi :2";6jfn)1:-3=cag"?%:5kio*74,1<l`d#8<'8;ekm,14.?2nbb%:<)69gmk.3< =0hd`'44+4?aoi =<";6jfn)64-2=cag"?4$94dhl+0</13mce$8'8;ekm,06.?2nbb%;>)69gmk.2: =0hd`'52+4?aoi <>";6jfn)76-2=cag">:$94dhl+12/03mce$86&7:fjj-3>!?1oec&9)69gmk.18 =0hd`'60+4?aoi ?8";6jfn)40-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;1<l`d7?808;ekm8609?2nbb1=8>69gmk:407=0hd`338<5?aoi4:4<7iga<52=3>bnf5>:2:5kio>76;1<l`d78>08;ekm8129?2nbb1::>69gmk:3>7=0hd`346<4?aoi4=25;6jfn=6::3=cag6?2:5kio>64;1<l`d79<08;ekm8049?2nbb1;<>69gmk:2<7=0hd`354<4?aoi4<<5;6jfn=74:2=cag6>4394dhl?1<813mce0808;ekm8369?2nbb18>>69gmk:1:730hd`36283:2=cag6=?384dhl?2;0<l`d7;384dhl?<;0<l`d75394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,><lf$<9&8:flqq.60 20hb{{(0;*3>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$94dnww,1/03me~x%;&7:flqq.1!>1ocxz'7(58`jss 1#<7iazt);*3>bh}}6;255kotv?558?3me~x1?>>99gkpr;9;437iazt=30:==cg|~7=907;emvp972611ocxz317<;?air|5;<255kotv?5=8?3me~x1?6>69gkpr;9720hb{{<32=<>bh}}69=364dnww874902ndyy2=3?:8`jss4;>546j`uu>11;><lf0?818:flqq:5?720hb{{<3:=<>bh}}695394dnww878?3me~x1=?>`9gkpr;;80;255kotv?74803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq5n2lbjbQheogqeqiuW>T?!.Wimkm|%EHZL*Lick}aumq$46)9>1mekaPv506?coagV|? kgio^efj`tf|fxTz9Q<,OMMA)HHFL9ji6hffn]u0)`nnfUlick}aumq[s2X;%qhSeo|_hlw[fjl59&hSeo|_rppp86+kVxoSk|jq<3/gZnf{VxoSio{a^alqkr:8%iThd`Pwhfwl803$jUcm~Qxr^fbpdYdg|d1="l_gwohZ`kinyT~~zPv`n>5)eXadzgi`kat`vjkkYsqyo6>!mPurg\ahdblmooSkh=1.`[rtXn{oz1<"l_tqf[air|Vxxx0>#c^wm``tadf}T`by20-a\lduXgoyjaax=1.`[utbdfkoSao{eoaz95*dWme~xRyfduj>77*dWdylccQyam?2(fYulVnn|yf21107(fYoizU~bik}fmmt95*dWakxSlbborv\bpjk59&hSeo|_sqw94*dWmkmRm`uov\slbs`43'oRfns^uq[del59&hSx}j_da`95*dWjefab`Pcmm`o86+kV}ySlmd_mmt95*dW{nT|cz}_vkgpm;68%iTy~kPfvdw[agsiVidycz20-a\lduXiegdyQjmqvz95*dW{nThlzn_bmvjqYpam~c1<>#c^muaw`kg~Ugcz3?,b]tvZvi|{U|eizg=8.`[uthoVof|ywPtxrf94*dW~xThlzn_bmvjqYpam~c14"l_qpfhjgcW{ol0?#c^flqqYu{}U}ma3?,b]kevYci}kTob{at<2/gZvugnUmyabPtipfwmYimnkiRxnl<17=)eX{Uoi}zg=431(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>557+kVzycjQjmqvz[qnumzbTm~}jru]uei;689&hSeo|_wcoma;7$jU{~biPftno[qnumzbTm~}jru]uei;4=9&hc`~`ndlw[kgjm4lbjbQy4-a\rdjnlVfd{0>#c^rqmhYaaoeTxt~j=431|24+kVzycjQiumn\p|vb58&hS`kbos{\p|vb58&v><5iigm\r1YaaoeTkh`jr`vlvZp3W:UsyQ>4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pUdk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|ah_dosp|Ys`{oxd%8&159svjaXmdzuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShctx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5rne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntqX|axneQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxiz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdzuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5rne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntqX|axneQnsrgqp9?=87;i7}|`g^gntqX|axneQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnki%:&1c9svjaXmdzuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5rne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntqX|axneQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wog`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|ah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axne&8)018twi`Wog`Rzgrdqk,=/6=2zycjQiumn\pmtb{a636=0>a:rqkbYa}efTxe|jsi]bwvcu|!:"=l5rne\bpjkW}byi~fParqfvq.6!8k0|ah_gwohZrozlycSl}|esv+6,7f3yxdkRhzlm]wlwct`Vkxh|{(2+2e>vugnUmyabPtipfwmYf{zoyx%:&1`9svjaXn|fgSyf}erj\evubz}">%<o4psmd[cskdV~c~h}g_`qpawr/> ;j7}|`g^dvhiYs`{oxdRo|sdpw,2/6i2zycjQiumn\pmtb{aUj~k}t):*5f=wzfmTjxbc_ujqavnXizyn~y27:1<2e>vugnUmyabPtipfwmYimnki%>&1`9svjaXn|fgSyf}erj\j`af|l":%<o4psmd[cskdV~c~h}g_ogdeqc/: ;j7}|`g^dvhiYs`{oxdR`jg`vf,6/6i2zycjQiumn\pmtb{aUeijo{e)6*5d=wzfmTjxbc_ujqavnXflmjxh&:)0c8twi`Wog`Rzgrdqk[kc`i}o#:$?n;qplcZ`rdeUdk|h^lfcdrb >#:m6~}of]eqijX|axneQaefcwa->.9j1{~biPftno[qnumzbTbhintd>;>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7jPd`vb[firf}"2%<>4re]geqgXkfex1>1139q`Zbf|hUhcx`{<883:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus9:1a}!Pcf-emciX~=$ekb:4tswf=>sillxm`by:;wcoma0<{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{Qkauc\gjsi|!;"==5xr^fbpdYdg|d$?'>0:uq[agsiVidycz'3(33?rtXlh~jSnaznu*7-46<{UomyoPcnwmp-3.991|~Rjnt`]`kphs ?#:<6y}_ecweZeh}g~#;$??;vp\`drfWje~by&7)008swYci}kTob{at=:94;3<{Unb;5xr^pppxFGxll;7MNw6039B?2=9rY=:7;m3;595641?8<1>>67azl7`c<63g>n<7:4$5fg>1b03tY=87;m3;595641?8<1>>67a:Q22d<2jj0;6<==6635>75?0h1X:94:bb83>455>>;=6?=78c9g1g0=83;1=v]96;7a7?1=9:8=;<8522:;e>pS9o=1<7?51;3e`~U1>3?i?7951205340=::23m6*;c6822g=Q<mo1>v{>7782?p70?3:0q)?j8;3e?g3e>3:1:44<:7;xL1e23S?>6?u>4;02>x"6nj0>n;5+4ea91g3<g<o;6=44i72`>5<<g<hn6=44i702>5<<g<hj6=44o4`7>5<<a?;=6=44i72f>5<<g<2i6=4+1dc91dg<f8o26=54o4:b>5<#9lk19lo4n0g:>4=<g<236=4+1dc91dg<f8o26?54o4:4>5<#9lk19lo4n0g:>6=<g<2=6=4+1dc91dg<f8o26954o4:6>5<#9lk19lo4n0g:>0=<g<2?6=4+1dc91dg<f8o26;54o4:0>5<#9lk19lo4n0g:>2=<g<296=4+1dc91dg<f8o26554o4:2>5<#9lk19lo4n0g:><=<g<2;6=4+1dc91dg<f8o26l54o45e>5<#9lk19lo4n0g:>g=<g<=o6=4+1dc91dg<f8o26n54o45`>5<#9lk19lo4n0g:>a=<g<=i6=4+1dc91dg<f8o26h54o45b>5<#9lk19lo4n0g:>c=<g<=26=4+1dc91dg<f8o26<>4;n74<?6=,8oj68on;o3f=?7632e>;:4?:%3fe?3fi2d:i44>2:9l120=83.:il4:a`9m5`?=9:10c89::18'5`g==hk0b<k6:068?j30<3:1(<kn:4cb?k7b13;>76a:9083>!7bi3?jm6`>e8822>=h=0:1<7*>e`86ed=i9l31=:54o4:e>5<#9lk19lo4n0g:>4><3f?3i7>5$0gb>0gf3g;n57?6;:m6<a<72-;nm7;na:l2a<<6i21d95m50;&2ad<2ih1e=h751c98k0>>290/=ho55`c8j4c>28i07b;8e;29 4cf2<kj7c?j9;3g?>i2?:0;6)?ja;7be>h6m00:i65`56094?"6mh0>ml5a1d;95c=<a<8o6=4+1dc913e<f8o26=54i40`>5<#9lk19;m4n0g:>4=<a<8i6=4+1dc913e<f8o26?54i40b>5<#9lk19;m4n0g:>6=<a<836=4+1dc913e<f8o26954i404>5<#9lk19;m4n0g:>0=<a<8=6=4+1dc913e<f8o26;54i406>5<#9lk19;m4n0g:>2=<a<8?6=4+1dc913e<f8o26554i400>5<#9lk19;m4n0g:><=<a<896=4+1dc913e<f8o26l54i402>5<#9lk19;m4n0g:>g=<a<8;6=4+1dc913e<f8o26n54i43e>5<#9lk19;m4n0g:>a=<a<;o6=4+1dc913e<f8o26h54i43`>5<#9lk19;m4n0g:>c=<a<;i6=4+1dc913e<f8o26<>4;h72e?6=,8oj688l;o3f=?7632c>=44?:%3fe?31k2d:i44>2:9j14>=83.:il4:6b9m5`?=9:10e8?8:18'5`g==?i0b<k6:068?l36>3:1(<kn:44`?k7b13;>76g:1483>!7bi3?=o6`>e8822>=n=8>1<7*>e`862f=i9l31=:54i431>5<#9lk19;m4n0g:>4><3`?:=7>5$0gb>00d3g;n57?6;:k655<72-;nm7;9c:l2a<<6i21b9=h50;&2ad<2>j1e=h751c98m06b290/=ho557a8j4c>28i07d;?d;29 4cf2<<h7c?j9;3g?>o28j0;6)?ja;75g>h6m00:i65f51`94?"6mh0>:n5a1d;95c=<a<:j6=4+1dc913e<f8o26?>4;h73=?6=,8oj688l;o3f=?4632c><:4?:%3fe?31k2d:i44=2:9j150=83.:il4:6b9m5`?=::10e8>::18'5`g==?i0b<k6:368?l37<3:1(<kn:44`?k7b138>76g:0283>!7bi3?=o6`>e8812>=n=981<7*>e`862f=i9l31>:54i422>5<#9lk19;m4n0g:>7><3`?;<7>5$0gb>00d3g;n57<6;:k7bc<72-;nm7;9c:l2a<<5i21b8kk50;&2ad<2>j1e=h752c98m1`d290/=ho557a8j4c>2;i07d:ib;29 4cf2<<h7c?j9;0g?>o3nh0;6)?ja;75g>h6m009i65f4g;94?"6mh0>:n5a1d;96c=<a=l36=4+1dc913e<f8o26>>4;h6e3?6=,8oj688l;o3f=?5632c?j;4?:%3fe?31k2d:i44<2:9j0c3=83.:il4:6b9m5`?=;:10e9h;:18'5`g==?i0b<k6:268?l2a;3:1(<kn:44`?k7b139>76g:3183>!7bi3?=o6`>e8802>=n=;l1<7*>e`862f=i9l31?:54i40f>5<#9lk19;m4n0g:>6><3`?957>5$0gb>00d3g;n57=6;:k65`<72-;nm7;9c:l2a<<4i21b9<=50;&2ad<2>j1e=h753c98m06?290/=ho557a8j4c>2:i07d:id;29 4cf2<<h7c?j9;1g?>o3n;0;6)?ja;75g>h6m008i65f4g394?"6mh0>:n5a1d;97c=<a<lm6=44b5a5>5<6290;wE:l5:&2bf<3k?1d=h950;9~f475290:6=4?{I6`1>"6nj0:=?5`10394?=zj<>1<7mk:0;b>4`csA>h96T:5;35=<5m3h157=>:3f951<5938m6>>5a;13>67=9=0i644=1;0e>7c=:m0j654r$0d`>0d>3-;=;7?>0:&6b?3e?2.=97;m8:&2a7<6m?1d8h?50;9j25b=831b9n650;&2ad<2k01e=h750:9j1f1=83.:il4:c89m5`?=921b9n850;&2ad<2k01e=h752:9j1f3=83.:il4:c89m5`?=;21b9n:50;&2ad<2k01e=h754:9j1f5=83.:il4:c89m5`?==21b9n<50;&2ad<2k01e=h756:9j1f7=83.:il4:c89m5`?=?21b9n>50;&2ad<2k01e=h758:9j1g`=83.:il4:c89m5`?=121d9h>50;9j246=831d:<:50;9j1c5=83.:il4:f59m5`?=821b9k<50;&2ad<2n=1e=h751:9j1c7=83.:il4:f59m5`?=:21b9k>50;&2ad<2n=1e=h753:9j1``=83.:il4:f59m5`?=<21b9hk50;&2ad<2n=1e=h755:9j1`b=83.:il4:f59m5`?=>21b9hm50;&2ad<2n=1e=h757:9j1`d=83.:il4:f59m5`?=021b:=m50;9l1gb=831d9ok50;9j0`2=831d:=750;&2ad<18k1e=h750:9l25>=83.:il490c9m5`?=921d:=950;&2ad<18k1e=h752:9l250=83.:il490c9m5`?=;21d:=;50;&2ad<18k1e=h754:9l252=83.:il490c9m5`?==21d:==50;&2ad<18k1e=h756:9l254=83.:il490c9m5`?=?21d:=?50;&2ad<18k1e=h758:9l256=83.:il490c9m5`?=121b9i=50;&2ad<2l=1e=h750:9j1a4=83.:il4:d59m5`?=921b9i?50;&2ad<2l=1e=h752:9j1a6=83.:il4:d59m5`?=;21b9nh50;&2ad<2l=1e=h754:9j1fc=83.:il4:d59m5`?==21b9nj50;&2ad<2l=1e=h756:9j1fe=83.:il4:d59m5`?=?21b9nl50;&2ad<2l=1e=h758:9j1fg=83.:il4:d59m5`?=121b:??50;9l24`=83.:il49219m5`?=821d:<k50;&2ad<1:91e=h751:9l24b=83.:il49219m5`?=:21d:<m50;&2ad<1:91e=h753:9l24d=83.:il49219m5`?=<21d:<o50;&2ad<1:91e=h755:9l24?=83.:il49219m5`?=>21d:<650;&2ad<1:91e=h757:9l241=83.:il49219m5`?=021d9oo50;9l1g2=831b9h750;&2ad<2mh1e=h750:9j1`>=83.:il4:e`9m5`?=921b9h950;&2ad<2mh1e=h752:9j1`0=83.:il4:e`9m5`?=;21b9h;50;&2ad<2mh1e=h754:9j1`2=83.:il4:e`9m5`?==21b9h=50;&2ad<2mh1e=h756:9j1`4=83.:il4:e`9m5`?=?21b9h?50;&2ad<2mh1e=h758:9j240=831b9ol50;9j0`3=831b:?<50;9l25`=831d:<;50;9l245=831b:=k50;9l1=d=83.:il4:a`9m5`?=821d95o50;&2ad<2ih1e=h751:9l1=>=83.:il4:a`9m5`?=:21d95950;&2ad<2ih1e=h753:9l1=0=83.:il4:a`9m5`?=<21d95;50;&2ad<2ih1e=h755:9l1=2=83.:il4:a`9m5`?=>21d95=50;&2ad<2ih1e=h757:9l1=4=83.:il4:a`9m5`?=021d95?50;&2ad<2ih1e=h759:9l1=6=83.:il4:a`9m5`?=i21d9:h50;&2ad<2ih1e=h75b:9l12b=83.:il4:a`9m5`?=k21d9:m50;&2ad<2ih1e=h75d:9l12d=83.:il4:a`9m5`?=m21d9:o50;&2ad<2ih1e=h75f:9l12?=83.:il4:a`9m5`?=9910c897:18'5`g==hk0b<k6:038?j30?3:1(<kn:4cb?k7b13;976a:7783>!7bi3?jm6`>e8827>=h=>?1<7*>e`86ed=i9l31=954o457>5<#9lk19lo4n0g:>43<3f?2=7>5$0gb>0gf3g;n57?9;:m6=5<72-;nm7;na:l2a<<6?21d95h50;&2ad<2ih1e=h751998k0>b290/=ho55`c8j4c>28307b;7d;29 4cf2<kj7c?j9;3b?>i20j0;6)?ja;7be>h6m00:n65`59;94?"6mh0>ml5a1d;95f=<g<=n6=4+1dc91dg<f8o26<j4;n747?6=,8oj68on;o3f=?7b32e>;?4?:%3fe?3fi2d:i44>f:9j17b=83.:il4:6b9m5`?=821b9?m50;&2ad<2>j1e=h751:9j17d=83.:il4:6b9m5`?=:21b9?o50;&2ad<2>j1e=h753:9j17>=83.:il4:6b9m5`?=<21b9?950;&2ad<2>j1e=h755:9j170=83.:il4:6b9m5`?=>21b9?;50;&2ad<2>j1e=h757:9j172=83.:il4:6b9m5`?=021b9?=50;&2ad<2>j1e=h759:9j174=83.:il4:6b9m5`?=i21b9??50;&2ad<2>j1e=h75b:9j176=83.:il4:6b9m5`?=k21b9<h50;&2ad<2>j1e=h75d:9j14b=83.:il4:6b9m5`?=m21b9<m50;&2ad<2>j1e=h75f:9j14d=83.:il4:6b9m5`?=9910e8?n:18'5`g==?i0b<k6:038?l3613:1(<kn:44`?k7b13;976g:1983>!7bi3?=o6`>e8827>=n=8=1<7*>e`862f=i9l31=954i435>5<#9lk19;m4n0g:>43<3`?:97>5$0gb>00d3g;n57?9;:k651<72-;nm7;9c:l2a<<6?21b9<<50;&2ad<2>j1e=h751998m076290/=ho557a8j4c>28307d;>0;29 4cf2<<h7c?j9;3b?>o28o0;6)?ja;75g>h6m00:n65f51g94?"6mh0>:n5a1d;95f=<a<:o6=4+1dc913e<f8o26<j4;h73g?6=,8oj688l;o3f=?7b32c><o4?:%3fe?31k2d:i44>f:9j15g=83.:il4:6b9m5`?=:910e8>6:18'5`g==?i0b<k6:338?l37?3:1(<kn:44`?k7b138976g:0783>!7bi3?=o6`>e8817>=n=9?1<7*>e`862f=i9l31>954i427>5<#9lk19;m4n0g:>73<3`?;?7>5$0gb>00d3g;n57<9;:k647<72-;nm7;9c:l2a<<5?21b9=?50;&2ad<2>j1e=h752998m067290/=ho557a8j4c>2;307d:if;29 4cf2<<h7c?j9;0b?>o3nl0;6)?ja;75g>h6m009n65f4ga94?"6mh0>:n5a1d;96f=<a=li6=4+1dc913e<f8o26?j4;h6ee?6=,8oj688l;o3f=?4b32c?j44?:%3fe?31k2d:i44=f:9j0c>=83.:il4:6b9m5`?=;910e9h8:18'5`g==?i0b<k6:238?l2a>3:1(<kn:44`?k7b139976g;f483>!7bi3?=o6`>e8807>=n<o>1<7*>e`862f=i9l31?954i5d0>5<#9lk19;m4n0g:>63<3`?8<7>5$0gb>00d3g;n57=9;:k66c<72-;nm7;9c:l2a<<4?21b9?k50;&2ad<2>j1e=h753998m04>290/=ho557a8j4c>2:307d;>e;29 4cf2<<h7c?j9;1b?>o29:0;6)?ja;75g>h6m008n65f51:94?"6mh0>:n5a1d;97f=<a=lo6=4+1dc913e<f8o26>j4;h6e6?6=,8oj688l;o3f=?5b32c?j<4?:%3fe?31k2d:i44<f:9l0`4=831b8h=50;9j1cb=83.:il4:fd9m5`?=821b9km50;&2ad<2nl1e=h751:9j1cd=83.:il4:fd9m5`?=:21b9ko50;&2ad<2nl1e=h753:9j1c?=83.:il4:fd9m5`?=<21b9k650;&2ad<2nl1e=h755:9j1c1=83.:il4:fd9m5`?=>21b9k850;&2ad<2nl1e=h757:9j1c3=83.:il4:fd9m5`?=021d8hk50;&2ad<3mo1e=h750:9l0`b=83.:il4;eg9m5`?=921d8hm50;&2ad<3mo1e=h752:9l0`d=83.:il4;eg9m5`?=;21d8ho50;&2ad<3mo1e=h754:9l0`?=83.:il4;eg9m5`?==21d8h650;&2ad<3mo1e=h756:9l0`1=83.:il4;eg9m5`?=?21d8h850;&2ad<3mo1e=h758:9j1ac=83.:il4:dg9m5`?=821b9ij50;&2ad<2lo1e=h751:9j1ae=83.:il4:dg9m5`?=:21b9il50;&2ad<2lo1e=h753:9j1ag=83.:il4:dg9m5`?=<21b9i750;&2ad<2lo1e=h755:9j1a>=83.:il4:dg9m5`?=>21b9i950;&2ad<2lo1e=h757:9j1a0=83.:il4:dg9m5`?=021b9i;50;&2ad<2lo1e=h759:9l0c6=831b9kh50;9a0ag=83;1<7>t$0d`>4753A>o56F;c49l547=831vn9jm:182>5<7s-;mo7:l6:J7`<=O<j?0c<k8:188yg2e<3:1?7>50z&2bf<68>1C8i74H5a6?M353-;9<7?4i4494?=n>>0;66a>eb83>>{e<kn1<7=50;2x 4`d28:<7E:k9:J7g0=O=;1/=?>51:k62?6=3`<<6=44o0g`>5<<uk>i?7>53;294~"6nj0:<:5G4e;8L1e23A?97)?=0;38m00=831b::4?::m2af<722wi8ol50;794?6|,8lh6<>l;I6g=>N3k<1C9?5+13295>o2>3:17d;j:188m31=831b=hl50;9l5`e=831vn9ll:180>5<7s-;mo7??7:J7`<=O<j?0D8<4$003>4=n=?0;66g97;29?j7bk3:17pl;b383>0<729q/=km511a8L1b>3A>h96F:2:&265<63`?=6=44i4g94?=n>>0;66g>ec83>>i6mj0;66sm4cc94?2=83:p(<hl:02a?M2c12B?o85+13295>o2>3:17d88:188m4ce2900c<kl:188yg2e13:187>50z&2bf<68k1C8i74H5a6?!7583;0e8850;9j22<722c:io4?::m2af<722wi8n=50;694?6|,8lh6<>m;I6g=>N3k<1/=?>51:k62?6=3`<<6=44i0ga>5<<g8oh6=44}c6`6?6=<3:1<v*>fb824g=O<m30D9m:;%314?7<a<<1<75f6683>>o6mk0;66a>eb83>>{e;<81<7:50;2x 4`d28:i7E:k9:J7g0=#9;:1:6g:6;29?l002900e<km:188k4cd2900qo:?d;290?6=8r.:jn4>0c9K0a?<@=i>7)?=0;38m00=831b::4?::k2ag<722e:in4?::a05e=83>1<7>t$0d`>46e3A>o56F;c49'576=92c>:7>5;h44>5<<a8oi6=44o0g`>5<<uk98>7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:9:6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;::1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd4:o0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg55m3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f622290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a712=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`006<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c176?6=<3:1<v*>fb8231=O<m30D9m:;h43>5<<a?21<75f1g694?=h9l?1<75rb262>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm3da94?2=83:p(<hl:057?M2c12B?o85f6183>>o103:17d?i4;29?j7b=3:17pl<ec83>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo=ja;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn>k6:187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi?h650;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th8jk4?:583>5}#9oi1=::4H5f:?M2d=2c=<7>5;h4;>5<<a8l?6=44o0g6>5<<uk9mi7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:lo6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;oi1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd4nk0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg46n3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9=h4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm20f94?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f77d290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8:n7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=1`83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a64?=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;;36=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<>7;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1<3<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:1?1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?6;:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c0;7?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd50;0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>5?50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb3:3>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg40n3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9;h4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm2bg94?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f7ec290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8ho7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=cc83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a6fg=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;i26=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<l8;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1g2<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:j<1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?8m:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c05e?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5>00;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>;650;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb344>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg41>3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9:84?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm27694?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f704290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8io7>54;294~"6nj0:<55G4e;8L1e23A?97)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1fg<72=0;6=u+1ga955><@=n27E:l5:J66>"6:90:7d;9:188m35=831b::4?::m2af<722wi>oo50;694?6|,8lh6<>7;I6g=>N3k<1C9?5+13295>o2>3:17d8<:188m31=831d=hm50;9~f7d>290?6=4?{%3eg?7702B?h45G4b78L04<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<m8;290?6=8r.:jn4>099K0a?<@=i>7E;=;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5j>0;694?:1y'5ce=9920D9j6;I6`1>N2:2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm2c494?2=83:p(<hl:02;?M2c12B?o85G539'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;h>6=4;:183!7ak3;;46F;d89K0f3<@<80(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c0a0?6=<3:1<v*>fb824==O<m30D9m:;I71?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9944?:583>5}#9oi1==64H5f:?M2d=2B>>6*>2182?l312900e;=50;9j22<722e:in4?::a60>=83>1<7>t$0d`>46?3A>o56F;c49K17=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?;8:187>5<7s-;mo7??8:J7`<=O<j?0D8<4$003>4=n=?0;66g93;29?l002900c<kl:188yg42>3:187>50z&2bf<6811C8i74H5a6?M353-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=5483>1<729q/=km511:8L1b>3A>h96F:2:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:<>1<7:50;2x 4`d28:37E:k9:J7g0=O=;1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb370>5<3290;w)?ic;33<>N3l01C8n;4H408 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8>>7>54;294~"6nj0:<55G4e;8L1e23A?97)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`114<72=0;6=u+1ga955><@=n27E:l5:J66>"6:90:7d;9:188m35=831b::4?::m2af<722wi>8>50;694?6|,8lh6<>7;I6g=>N3k<1C9?5+13295>o2>3:17d8<:188m31=831d=hm50;9~f722290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8?87>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=4283>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a614=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;>:6=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<;0;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`17c<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e::o1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?=k:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c00g?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5ih0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>l750;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb3c;>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg4f?3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9m;4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm2`794?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f7g3290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8j?7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=a383>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a7=`=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm39g94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi?5m50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e;1h1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a7=b=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm39c94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi?5750;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e;1=1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a7=0=83?1<7>t$0d`>46d3A>o56F;c49'576=>2c>:7>5;h7f>5<<a?=1<75f1d`94?=h9li1<75rb2:;>5<2290;w)?ic;33=>N3l01C8n;4$003>4=n=?0;66g:e;29?l042900e;950;9l5`e=831vn>9n:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f61>29086=4?{%3eg?2c02B?h45G4b78m36=831b=;650;9l5`3=831vn>8i:187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi?:650;194?6|,8lh69j7;I6g=>N3k<1b:=4?::k22=<722e:i84?::a73b=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`032<72:0;6=u+1ga90a><@=n27E:l5:k54?6=3`;=47>5;n3f1?6=3th8:o4?:583>5}#9oi1=::4H5f:?M2d=2c=<7>5;h4;>5<<a8l?6=44o0g6>5<<uk9<:7>53;294~"6nj0?h55G4e;8L1e23`<;6=44i04;>5<<g8o>6=44}c15=?6=<3:1<v*>fb8231=O<m30D9m:;h43>5<<a?21<75f1g694?=h9l?1<75rb256>5<4290;w)?ic;6g<>N3l01C8n;4i7294?=n9?21<75`1d794?=zj:<<6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;>>1<7=50;2x 4`d2=n37E:k9:J7g0=n>90;66g>6983>>i6m<0;66sm37794?2=83:p(<hl:057?M2c12B?o85f6183>>o103:17d?i4;29?j7b=3:17pl<7283>6<729q/=km54e:8L1b>3A>h96g90;29?l7103:17b?j5;29?xd4>:0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg50:3:1?7>50z&2bf<3l11C8i74H5a6?l072900e<87:188k4c22900qo=91;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn>9>:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f63a290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a7d5=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm3`094?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi?l?50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e;h:1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a7<`=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm38g94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi?4j50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e;0i1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a7<d=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm38c94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi>k850;194?6|,8lh69j7;I6g=>N3k<1b:=4?::k22=<722e:i84?::a6c`=8391<7>t$0d`>1b?3A>o56F;c49j25<722c::54?::m2a0<722wi>hm50;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th9jh4?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`1ag<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c0e`?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk8nm7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj;lh6=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb3g:>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm2g`94?5=83:p(<hl:5f;?M2c12B?o85f6183>>o6>10;66a>e483>>{e:l21<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd5nh0;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl=e683>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo<i9;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg4b>3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f7`?29086=4?{%3eg?2c02B?h45G4b78m36=831b=;650;9l5`3=831vn?k::187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi>k950;194?6|,8lh69j7;I6g=>N3k<1b:=4?::k22=<722e:i84?::a6`2=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`1a6<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c116?6==3:1<v*>fb824f=O<m30D9m:;%314?0<a<<1<75f5d83>>o1?3:17d?jb;29?j7bk3:17pl<0g83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a741=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj:;=6=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo=>5;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`051<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e;891<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn>?=:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c125?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd4990;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi?=k50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb3f`>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg4c13:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9i=4?:583>5}#9oi1==l4H5f:?M2d=2.:>=49;h75>5<<a?=1<75f1d`94?=h9li1<75rb3fe>5<3290;w)?ic;33f>N3l01C8n;4$003>3=n=?0;66g97;29?l7bj3:17b?jc;29?xd4jl0;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl<be83>6<729q/=km54e:8L1b>3A>h96g90;29?l7103:17b?j5;29?xd4ij0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg5ek3:1?7>50z&2bf<3l11C8i74H5a6?l072900e<87:188k4c22900qo=nb;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn>lm:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f6gf290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a7gg=8391<7>t$0d`>1b?3A>o56F;c49j25<722c::54?::m2a0<722wi?l750;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th8n44?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`0e=<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c1a<?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk9j;7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:h<6=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb2c5>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm3c494?5=83:p(<hl:5f;?M2c12B?o85f6183>>o6>10;66a>e483>>{e;h?1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd4i=0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg5c83:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th8ok4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm3bg94?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f6ec290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk9ho7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl<cc83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a7fg=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj:i26=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo=l8;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`0a6<72=0;6=u+1ga955d<@=n27E:l5:&265<13`?=6=44i7594?=n9lh1<75`1da94?=zj:nj6=4::183!7ak3;;o6F;d89K0f3<,88;6<5f5783>>o2m3:17d88:188m4ce2900c<kl:188yg2303:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c673?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo:;6;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk>?97>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg23<3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c677?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo:;1;291?6=8r.:jn4>0b9K0a?<@=i>7)?=0;48m00=831b9h4?::k53?6=3`;nn7>5;n3fg?6=3th?8=4?:483>5}#9oi1==74H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6283>>o1?3:17b?jc;29?xd3<;0;684?:1y'5ce=9930D9j6;I6`1>"6:90:7d;9:188m0c=831b:>4?::k53?6=3f;no7>5;|`770<72:0;6=u+1ga90a><@=n27E:l5:k54?6=3`;=47>5;n3f1?6=3th??94?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`76g<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c607?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk>957>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj=996=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb504>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm42394?5=83:p(<hl:5f;?M2c12B?o85f6183>>o6>10;66a>e483>>{e<;?1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd3;90;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl;2283>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo:=f;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg2593:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f14b29086=4?{%3eg?2c02B?h45G4b78m36=831b=;650;9l5`3=831vn9?i:187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi8?j50;194?6|,8lh69j7;I6g=>N3k<1b:=4?::k22=<722e:i84?::a04b=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`711<72<0;6=u+1ga955?<@=n27E:l5:&265<63`?=6=44i4g94?=n>:0;66g97;29?j7bk3:17pl;5383>0<729q/=km511a8L1b>3A>h96*>2185?l312900e8k50;9j22<722c:io4?::m2af<722wi88=50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e<<?1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a000=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm44594?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi88650;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e<<31<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a00g=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm47a94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi8;l50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e<?k1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a03?=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm47:94?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi8;950;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e<?<1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a033=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm47694?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi85850;694?6|,8lh6<>n;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7594?=h9li1<75rb5:6>5<3290;w)?ic;33e>N3l01C8n;4$003>4=n=?0;66g:e;29?l002900c<kl:188yg2?;3:187>50z&2bf<68h1C8i74H5a6?!7583;0e8850;9j1`<722c=;7>5;n3fg?6=3th?4?4?:583>5}#9oi1==o4H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6683>>i6mj0;66sm49394?2=83:p(<hl:02b?M2c12B?o85+13295>o2>3:17d;j:188m31=831d=hm50;9~f1>7290?6=4?{%3eg?77i2B?h45G4b78 447281b9;4?::k6a?6=3`<<6=44o0g`>5<<uk><j7>54;294~"6nj0:<l5G4e;8L1e23-;9<7?4i4494?=n=l0;66g97;29?j7bk3:17pl;7d83>1<729q/=km511c8L1b>3A>h96*>2182?l312900e8k50;9j22<722e:in4?::a02b=83>1<7>t$0d`>46f3A>o56F;c49'576=92c>:7>5;h7f>5<<a?=1<75`1da94?=zj==h6=4;:183!7ak3;;m6F;d89K0f3<,88;6<5f5783>>o2m3:17d88:188k4cd2900qo:8b;290?6=8r.:jn4>0`9K0a?<@=i>7)?=0;38m00=831b9h4?::k53?6=3f;no7>5;|`73d<72=0;6=u+1ga955g<@=n27E:l5:&265<63`?=6=44i4g94?=n>>0;66a>eb83>>{e<>21<7:50;2x 4`d28:j7E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;950;9l5`e=831vn998:187>5<7s-;mo7??a:J7`<=O<j?0(<<?:09j13<722c>i7>5;h44>5<<g8oh6=44}c642?6=<3:1<v*>fb824d=O<m30D9m:;%314?7<a<<1<75f5d83>>o1?3:17b?jc;29?xd3?<0;694?:1y'5ce=99k0D9j6;I6`1>"6:90:7d;9:188m0c=831b::4?::m2af<722wi8::50;694?6|,8lh6<>n;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7594?=h9li1<75rb550>5<3290;w)?ic;33e>N3l01C8n;4$003>4=n=?0;66g:e;29?l002900c<kl:188yg20:3:187>50z&2bf<68h1C8i74H5a6?!7583;0e8850;9j1`<722c=;7>5;n3fg?6=3th?;<4?:583>5}#9oi1==o4H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6683>>i6mj0;66sm46294?2=83:p(<hl:02b?M2c12B?o85+13295>o2>3:17d;j:188m31=831d=hm50;9~f10a290?6=4?{%3eg?77i2B?h45G4b78 447281b9;4?::k6a?6=3`<<6=44o0g`>5<<uk>3o7>54;294~"6nj0:<l5G4e;8L1e23-;9<7?4i4494?=n=l0;66g97;29?j7bk3:17pl;8c83>1<729q/=km511c8L1b>3A>h96*>2182?l312900e8k50;9j22<722e:in4?::a0=g=83>1<7>t$0d`>46f3A>o56F;c49'576=92c>:7>5;h7f>5<<a?=1<75`1da94?=zj=226=4;:183!7ak3;;m6F;d89K0f3<,88;6<5f5783>>o2m3:17d88:188k4cd2900qo:78;290?6=8r.:jn4>0`9K0a?<@=i>7)?=0;38m00=831b9h4?::k53?6=3f;no7>5;|`7<2<72=0;6=u+1ga955g<@=n27E:l5:&265<63`?=6=44i4g94?=n>>0;66a>eb83>>{e<1>1<7:50;2x 4`d28:j7E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;950;9l5`e=831vn996:187>5<7s-;mo7??a:J7`<=O<j?0(<<?:09j13<722c>i7>5;h44>5<<g8oh6=44}c65a?6=<3:1<v*>fb824d=O<m30D9m:;%314?7<a<<1<75f5d83>>o1?3:17b?jc;29?xd3>m0;694?:1y'5ce=99k0D9j6;I6`1>"6:90:7d;9:188m0c=831b::4?::m2af<722wi8oh50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5169j542=831b=<;50;9l5`3=831vn9l9:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:058m4732900e<?::188k4c22900qo<78;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;03?l76<3:17d?>5;29?j7b=3:17pl=2083>6<729q/=km517f8L1b>3A>h96*>21814>o69=0;66g>1483>>i6m<0;66sm21f94?5=83:p(<hl:04g?M2c12B?o85+132965=n98>1<75f10794?=h9l?1<75rb32`>5<4290;w)?ic;35`>N3l01C8n;4$003>76<a8;?6=44i036>5<<g8o>6=44}c03f?6=;3:1<v*>fb822a=O<m30D9m:;%314?473`;:87>5;h321?6=3f;n97>5;|`14d<72:0;6=u+1ga953b<@=n27E:l5:&265<582c:=94?::k250<722e:i84?::a65?=8391<7>t$0d`>40c3A>o56F;c49'576=:91b=<:50;9j543=831d=h;50;9~f76?29086=4?{%3eg?71l2B?h45G4b78 4472;:0e<?;:188m4722900c<k::188yg47?3:1?7>50z&2bf<6>m1C8i74H5a6?!75838;7d?>4;29?l76=3:17b?j5;29?xd58?0;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:909<6g>1583>>o69<0;66a>e483>>{e:9?1<7=50;2x 4`d28<o7E:k9:J7g0=#9;:1>=5f10694?=n98?1<75`1d794?=zj;:?6=4<:183!7ak3;=h6F;d89K0f3<,88;6?>4i037>5<<a8;>6=44o0g6>5<<uk8;?7>53;294~"6nj0::i5G4e;8L1e23-;9<7<?;h320?6=3`;:97>5;n3f1?6=3th9<?4?:283>5}#9oi1=;j4H5f:?M2d=2.:>=4=0:k251<722c:=84?::m2a0<722wi>=?50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5219j542=831b=<;50;9l5`3=831vn?>?:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:328m4732900e<?::188k4c22900qo?if;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;03?l76<3:17d?>5;29?j7b=3:17pl>fd83>6<729q/=km517f8L1b>3A>h96*>21814>o69=0;66g>1483>>i6m<0;66sm1gf94?5=83:p(<hl:04g?M2c12B?o85+132965=n98>1<75f10794?=h9l?1<75rb3:b>5<3290;w)?ic;35b>N3l01C8n;4$003>7d<a8;?6=44i036>5<<a8;=6=44o0g6>5<<uk89?7>54;294~"6nj0::k5G4e;8L1e23-;9<7<m;h320?6=3`;:97>5;h322?6=3f;n97>5;|`1`g<72=0;6=u+1ga953`<@=n27E:l5:&265<5=2c:=94?::k250<722c:=;4?::m2a0<722wi>5m50;794?6|,8lh6<9>;I6g=>N3k<1/=?>5229j542=831b=<;50;9j540=831b=<950;9l5`3=831vn?<::186>5<7s-;mo7?81:J7`<=O<j?0(<<?:318m4732900e<?::188m4712900e<?8:188k4c22900qo<j2;291?6=8r.:jn4>709K0a?<@=i>7)?=0;7;?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl=dd83>0<729q/=km51638L1b>3A>h96*>21810>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm3d094?5=83:p(<hl:04g?M2c12B?o85+132916=n98>1<75f10794?=h9l?1<75rb3f;>5<3290;w)?ic;35b>N3l01C8n;4$003>40<a8;?6=44i036>5<<a8;=6=44o0g6>5<<uk83i7>53;294~"6nj0::i5G4e;8L1e23-;9<7<?;h320?6=3`;:97>5;n3f1?6=3th9>:4?:283>5}#9oi1=;j4H5f:?M2d=2.:>=4=0:k251<722c:=84?::m2a0<722wi>4>50;694?6|,8lh6<8i;I6g=>N3k<1/=?>52c9j542=831b=<;50;9j540=831d=h;50;9~f74>290?6=4?{%3eg?71n2B?h45G4b78 4472;h0e<?;:188m4722900e<?9:188k4c22900qo<62;291?6=8r.:jn4>709K0a?<@=i>7)?=0;00?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl=2c83>0<729q/=km51638L1b>3A>h96*>21817>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm33694?5=83:p(<hl:04g?M2c12B?o85+132963=n98>1<75f10794?=h9l?1<75rb52:>5<4290;w)?ic;35`>N3l01C8n;4$003>7?<a8;?6=44i036>5<<g8o>6=44}c1e2?6=;3:1<v*>fb822a=O<m30D9m:;%314?4>3`;:87>5;h321?6=3f;n97>5;|`00c<72<0;6=u+1ga9527<@=n27E:l5:&265<5i2c:=94?::k250<722c:=;4?::k252<722e:i84?::a76e=83?1<7>t$0d`>4163A>o56F;c49'576=:>1b=<:50;9j543=831b=<850;9j541=831d=h;50;9~f16?290>6=4?{%3eg?7092B?h45G4b78 4472;k0e<?;:188m4722900e<?9:188m4702900c<k::188yg5a=3:197>50z&2bf<6?81C8i74H5a6?!75838j7d?>4;29?l76=3:17d?>6;29?l76?3:17b?j5;29?xd4<l0;684?:1y'5ce=9>;0D9j6;I6`1>"6:909m6g>1583>>o69<0;66g>1783>>o69>0;66a>e483>>{e;:h1<7;50;2x 4`d28=:7E:k9:J7g0=#9;:1>:5f10694?=n98?1<75f10494?=n98=1<75`1d794?=zj=:<6=4::183!7ak3;<=6F;d89K0f3<,88;6?o4i037>5<<a8;>6=44i035>5<<a8;<6=44o0g6>5<<uk9m87>55;294~"6nj0:;<5G4e;8L1e23-;9<7<n;h320?6=3`;:97>5;h322?6=3`;:;7>5;n3f1?6=3th88i4?:483>5}#9oi1=:?4H5f:?M2d=2.:>=4=a:k251<722c:=84?::k253<722c:=:4?::m2a0<722wi?>o50;794?6|,8lh6<9>;I6g=>N3k<1/=?>5269j542=831b=<;50;9j540=831b=<950;9l5`3=831vn9>9:186>5<7s-;mo7?81:J7`<=O<j?0(<<?:3c8m4732900e<?::188m4712900e<?8:188k4c22900qo=i3;291?6=8r.:jn4>709K0a?<@=i>7)?=0;0b?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl<4b83>0<729q/=km51638L1b>3A>h96*>2181e>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm32;94?3=83:p(<hl:052?M2c12B?o85+132962=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb533>5<4290;w)?ic;35`>N3l01C8n;4$003>c=n98>1<75f10794?=h9l?1<75rb26a>5<3290;w)?ic;35b>N3l01C8n;4$003>4><a8;?6=44i036>5<<a8;=6=44o0g6>5<<uk9847>54;294~"6nj0::k5G4e;8L1e23-;9<7?7;h320?6=3`;:97>5;h322?6=3f;n97>5;|`740<72<0;6=u+1ga9527<@=n27E:l5:&265<5i2c:=94?::k250<722c:=;4?::k252<722e:i84?::a7c4=83?1<7>t$0d`>4163A>o56F;c49'576=:h1b=<:50;9j543=831b=<850;9j541=831d=h;50;9~f633290?6=4?{%3eg?71n2B?h45G4b78 44728:>7d?>4;29?l76=3:17d?>6;29?j7b=3:17pl;0g83>0<729q/=km51638L1b>3A>h96*>21824a=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb3f4>5<2290;w)?ic;345>N3l01C8n;4$003>43<a8;?6=44i036>5<<a8;=6=44i034>5<<g8o>6=44}c144?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk9=i7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c15g?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk9=m7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c15<?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk9=:7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c150?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk9=>7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c154?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk>9o7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c61e?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk>947>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c612?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk>987>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c616?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk>9<7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c62a?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk9<n7>52;294~"6nj0::n5G4e;8L1e23-;9<7h4i037>5<<g8o>6=44}c602?6=:3:1<v*>fb822f=O<m30D9m:;%314?`<a8;?6=44o0g6>5<<uk8ni7>53;294~"6nj0::i5G4e;8L1e23-;9<7<6;h320?6=3`;:97>5;n3f1?6=3th8mi4?:283>5}#9oi1=;j4H5f:?M2d=2.:>=4=9:k251<722c:=84?::m2a0<722wi>hh50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5289j542=831b=<;50;9l5`3=831vn>oj:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:3;8m4732900e<?::188k4c22900qo<i0;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;0:?l76<3:17d?>5;29?j7b=3:17pl<ag83>6<729q/=km517f8L1b>3A>h96*>2181=>o69=0;66g>1483>>i6m<0;66sm2g394?5=83:p(<hl:04g?M2c12B?o85+13296<=n98>1<75f10794?=h9l?1<75rb2`3>5<4290;w)?ic;35`>N3l01C8n;4$003>7?<a8;?6=44i036>5<<g8o>6=44}c137?6==3:1<v*>fb8234=O<m30D9m:;%314?3c3`;:87>5;h321?6=3`;::7>5;h323?6=3f;n97>5;|`1b7<72:0;6=u+1ga953b<@=n27E:l5:&265<512c:=94?::k250<722e:i84?::a7g7=8391<7>t$0d`>40c3A>o56F;c49'576=:01b=<:50;9j543=831d=h;50;9~f7`429086=4?{%3eg?71l2B?h45G4b78 4472;30e<?;:188m4722900c<k::188yg5e:3:1?7>50z&2bf<6>m1C8i74H5a6?!7583827d?>4;29?l76=3:17b?j5;29?xd5n=0;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:90956g>1583>>o69<0;66a>e483>>{e;k91<7=50;2x 4`d28<o7E:k9:J7g0=#9;:1>45f10694?=n98?1<75`1d794?=zj;l>6=4<:183!7ak3;=h6F;d89K0f3<,88;6?74i037>5<<a8;>6=44o0g6>5<<uk9i87>53;294~"6nj0::i5G4e;8L1e23-;9<7<6;h320?6=3`;:97>5;n3f1?6=3th9>k4?:483>5}#9oi1=:?4H5f:?M2d=2.:>=4=3:k251<722c:=84?::k253<722c:=:4?::m2a0<722wi>>?50;794?6|,8lh6<9>;I6g=>N3k<1/=?>52b9j542=831b=<;50;9j540=831b=<950;9l5`3=831vn?79:186>5<7s-;mo7?81:J7`<=O<j?0(<<?:318m4732900e<?::188m4712900e<?8:188k4c22900qo<68;291?6=8r.:jn4>709K0a?<@=i>7)?=0;0`?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl<dg83>0<729q/=km51638L1b>3A>h96*>21824`=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb2g3>5<2290;w)?ic;345>N3l01C8n;4$003>0=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb3gg>5<4290;w)?ic;35`>N3l01C8n;4$003>7?<a8;?6=44i036>5<<g8o>6=44}c1a1?6=;3:1<v*>fb822a=O<m30D9m:;%314?4>3`;:87>5;h321?6=3f;n97>5;|`0`a<72=0;6=u+1ga9523<@=n27E:l5:k251<722c:=84?::k2b1<722e:i84?::a7ac=83?1<7>t$0d`>4163A>o56F;c49'576=k2c:=94?::k250<722c:=;4?::k252<722e:i84?::a771=83?1<7>t$0d`>4163A>o56F;c49'576=99l0e<?;:188m4722900e<?9:188m4702900c<k::188yg55>3:197>50z&2bf<6?81C8i74H5a6?!7583?<7d?>4;29?l76=3:17d?>6;29?l76?3:17b?j5;29?xd3980;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:90m7d?>4;29?l76=3:17b?j5;29?xd39;0;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:90m7d?>4;29?l76=3:17b?j5;29?xd39:0;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:90m7d?>4;29?l76=3:17b?j5;29?xd4880;6?4?:1y'5ce=9?>0D9j6;I6`1>o69:0;66a>e483>>{e;;31<7<50;2x 4`d28<?7E:k9:J7g0=n9891<75`1d794?=zj=ko6=4l2;294~"6nj0:ii5G4e;8L1e23S?>6nuk:d824?762831=l4>b;3`>44=9:0:h7?j:|lg2?6<fo21<6*>6081?!71:380(<?7:29'54?=;2.:=l4<;%32f?5<,8;h6>5+10f97>"6n<0:<;5+1g:96>"6:8087)?=2;18 4442:1/=?:53:&260<43-;9:7=4$004>6=#9;21?6*>2880?!75i390(<<m:29'57e=;2.:>i4<;%31a?5<,88m6>5+12297>"6;8087)?<2;18 4542:1/=>:53:&270<43-;8:7=4$014>6=#9:21?6*>3880?!74i390(<=m:29'56e=;2.:?i4<;%30a?5<,89m6>5+15297>"6<8087)?;2;18 4242:1/=9:53:&200<43-;?:7=4$064>6=#9=21?6*>4880?!73i390(<:m:29'51e=;2.:8i4<;%37a?5<,8>m6>5+14297>"6=8087)?:2;18 4342:1/=8:53:&210<43-;>:7=4$074>6=#9<21?6*>5880?!72i390(<;m:29'50e=;2.:9i4<;%36a?5<,8?m6>5+17297>"6>:0:i95+1779550<,8<=6<>9;%3fa?7b<2.:ik4>e59m5c6=9;1e=k?5139'5c0=9l>0(<?j:29'54`=;2.?h>4;d39'0a2=<m80b9j::368j1b12;>0(<h6:39j1<<722c>m7>5;h42>5<<a?81<75f11194?=n9o81<75f1g194?=n99>1<75f1gc94?=n9oh1<75`5c83>>i2k3:17d=7:18'5`g=;>1e=h750:9j73<72-;nm7=8;o3f=?7<3`9>6=4+1dc972=i9l31>65f3583>!7bi39<7c?j9;18?l54290/=ho5369m5`?=<21b8<4?:%3fe?503g;n57;4;h63>5<#9lk1?:5a1d;92>=n;o0;6)?ja;14?k7b13=07d=j:18'5`g=;>1e=h758:9j7a<72-;nm7=8;o3f=??<3`9h6=4+1dc972=i9l31m65f3c83>!7bi39<7c?j9;`8?l5f290/=ho5369m5`?=k21b?44?:%3fe?503g;n57j4;h11>5<#9lk1?:5a1d;9a>=n<10;6)?ja;64?k7b13:07d:9:18'5`g=<>1e=h751:9j00<72-;nm7:8;o3f=?4<3`>?6=4+1dc902=i9l31?65f4283>!7bi3><7c?j9;68?l36290/=ho5469m5`?==21b9=4?:%3fe?203g;n5784;h6e>5<#9lk18:5a1d;93>=n<l0;6)?ja;64?k7b13207d:k:18'5`g=<>1e=h759:9j0f<72-;nm7:8;o3f=?g<3`>i6=4+1dc902=i9l31n65f4`83>!7bi3><7c?j9;a8?l2>290/=ho5469m5`?=l21b8?4?:%3fe?203g;n57k4;h:1>5<#9lk14<5a1d;94>=n090;6)?ja;:2?k7b13;07d9j:18'5`g=081e=h752:9j3a<72-;nm76>;o3f=?5<3`=h6=4+1dc9<4=i9l31865f7c83>!7bi32:7c?j9;78?l1f290/=ho5809m5`?=>21b;44?:%3fe?>63g;n5794;h5;>5<#9lk14<5a1d;9<>=n?>0;6)?ja;:2?k7b13307d99:18'5`g=081e=h75a:9j30<72-;nm76>;o3f=?d<3`=86=4+1dc9<4=i9l31o65f7383>!7bi32:7c?j9;f8?l16290/=ho5809m5`?=m21b;=4?:%3fe?>63g;n57h4;h4e>5<#9lk14<5a1d;955=<a?o1<7*>e`8;5>h6m00:=65f6e83>!7bi32:7c?j9;31?>o1k3:1(<kn:938j4c>28907d8m:18'5`g=081e=h751598m3g=83.:il471:l2a<<6=21b444?:%3fe?>63g;n57?9;:k;<?6=,8oj65?4n0g:>41<3`2<6=4+1dc9<4=i9l31=554i9494?"6mh03=6`>e882=>=n0<0;6)?ja;:2?k7b13;j76g74;29 4cf21;0b<k6:0`8?l>4290/=ho5809m5`?=9j10e:h50;&2ad<?92d:i44>d:9j31<72-;nm76>;o3f=?7b32c=57>5$0gb>=7<f8o26<h4;hc0>5<#9lk1m?5a1d;94>=ni80;6)?ja;c1?k7b13;07d7i:18'5`g=i;1e=h752:9j=`<72-;nm7o=;o3f=?5<3`3o6=4+1dc9e7=i9l31865f9b83>!7bi3k97c?j9;78?l?e290/=ho5a39m5`?=>21b5l4?:%3fe?g53g;n5794;h;:>5<#9lk1m?5a1d;9<>=n110;6)?ja;c1?k7b13307d78:18'5`g=i;1e=h75a:9j=3<72-;nm7o=;o3f=?d<3`3?6=4+1dc9e7=i9l31o65f9283>!7bi3k97c?j9;f8?l?5290/=ho5a39m5`?=m21b5<4?:%3fe?g53g;n57h4;h;3>5<#9lk1m?5a1d;955=<a1l1<7*>e`8b6>h6m00:=65f8d83>!7bi3k97c?j9;31?>o?l3:1(<kn:`08j4c>28907d6l:18'5`g=i;1e=h751598m=d=83.:il4n2:l2a<<6=21bml4?:%3fe?g53g;n57?9;:kb=?6=,8oj6l<4n0g:>41<3`k36=4+1dc9e7=i9l31=554i`594?"6mh0j>6`>e882=>=ni?0;6)?ja;c1?k7b13;j76gn5;29 4cf2h80b<k6:0`8?lg3290/=ho5a39m5`?=9j10el>50;&2ad<f:2d:i44>d:9j=0<72-;nm7o=;o3f=?7b32c3m7>5$0gb>d4<f8o26<h4;hce>5<#9lk1mh5a1d;94>=nim0;6)?ja;cf?k7b13;07dol:18'5`g=il1e=h752:9jeg<72-;nm7oj;o3f=?5<3`h?6=4+1dc9f6=i9l31<65fb383>!7bi3h87c?j9;38?ld6290/=ho5b29m5`?=:21bn=4?:%3fe?d43g;n57=4;h6`g?6=,8oj69mm;o3f=?6<3`>hm7>5$0gb>1ee3g;n57?4;h6`=?6=,8oj69mm;o3f=?4<3`>h47>5$0gb>1ee3g;n57=4;h6g5?6=,8oj69j?;o3f=?6<3`>hj7>5$0gb>1b73g;n57?4;h6`a?6=,8oj69j?;o3f=?4<3`>hh7>5$0gb>1b73g;n57=4;naf>5<#9lk1oi5a1d;94>=hkj0;6)?ja;ag?k7b13;07bmn:18'5`g=km1e=h752:9lg<<72-;nm7mk;o3f=?5<3fi36=4+1dc9ga=i9l31865`c683>!7bi3io7c?j9;78?je1290/=ho5ce9m5`?=>21do84?:%3fe?ec3g;n5794;na7>5<#9lk1oi5a1d;9<>=hk:0;6)?ja;ag?k7b13307bm=:18'5`g=km1e=h75a:9lg4<72-;nm7mk;o3f=?d<3fhm6=4+1dc9ga=i9l31o65`bd83>!7bi3io7c?j9;f8?jdc290/=ho5ce9m5`?=m21dnn4?:%3fe?ec3g;n57h4;n`a>5<#9lk1oi5a1d;955=<gkk1<7*>e`8``>h6m00:=65`b883>!7bi3io7c?j9;31?>ie03:1(<kn:bf8j4c>28907bl8:18'5`g=km1e=h751598kg0=83.:il4ld:l2a<<6=21dh84?:%3fe?ec3g;n57?9;:mg0?6=,8oj6nj4n0g:>41<3fn86=4+1dc9ga=i9l31=554oe094?"6mh0hh6`>e882=>=hl80;6)?ja;ag?k7b13;j76ak0;29 4cf2jn0b<k6:0`8?jea290/=ho5ce9m5`?=9j10cnl50;&2ad<dl2d:i44>d:9lg5<72-;nm7mk;o3f=?7b32ei97>5$0gb>fb<f8o26<h4;nd3>5<#9lk1ik5a1d;94>=hml0;6)?ja;ge?k7b13;07bkl:18'5`g=mo1e=h752:9lag<72-;nm7ki;o3f=?5<3foj6=4+1dc9ac=i9l31865`e883>!7bi3om7c?j9;78?jc?290/=ho5eg9m5`?=>21di:4?:%3fe?ca3g;n5794;ng5>5<#9lk1ik5a1d;9<>=hm<0;6)?ja;ge?k7b13307bk;:18'5`g=mo1e=h75a:9la6<72-;nm7ki;o3f=?d<3fo:6=4+1dc9ac=i9l31o65`e183>!7bi3om7c?j9;f8?jba290/=ho5eg9m5`?=m21dhh4?:%3fe?ca3g;n57h4;nfg>5<#9lk1ik5a1d;955=<gmi1<7*>e`8fb>h6m00:=65`dc83>!7bi3om7c?j9;31?>ici3:1(<kn:dd8j4c>28907bj6:18'5`g=mo1e=h751598ka>=83.:il4jf:l2a<<6=21dj:4?:%3fe?ca3g;n57?9;:me2?6=,8oj6hh4n0g:>41<3fl>6=4+1dc9ac=i9l31=554og694?"6mh0nj6`>e882=>=hn:0;6)?ja;ge?k7b13;j76ai2;29 4cf2ll0b<k6:0`8?j`6290/=ho5eg9m5`?=9j10chj50;&2ad<bn2d:i44>d:9la7<72-;nm7ki;o3f=?7b32eo;7>5$0gb>``<f8o26<h4;ndg>5<#9lk1jn5a1d;94>=hnk0;6)?ja;d`?k7b13;07bhn:18'5`g=nj1e=h752:9lb<<72-;nm7hl;o3f=?5<3f;;>7>5$0gb>4663g;n57>4;n334?6=,8oj6<>>;o3f=?7<3flm6=4+1dc9557<f8o26?54ogg94?"6mh0:<<5a1d;97>=zj=kn6=4l2;294~"6nj0:ii5G4e;8L1e23S?>6nuk:d824?762831=l4>b;3`>44=9:0:h7?j:|lg2?6<fo21<6*>6081?!71:380(<?7:29'54?=;2.:=l4<;%32f?5<,8;h6>5+10f97>"6n<0:<;5+1g:96>"6:8087)?=2;18 4442:1/=?:53:&260<43-;9:7=4$004>6=#9;21?6*>2880?!75i390(<<m:29'57e=;2.:>i4<;%31a?5<,88m6>5+12297>"6;8087)?<2;18 4542:1/=>:53:&270<43-;8:7=4$014>6=#9:21?6*>3880?!74i390(<=m:29'56e=;2.:?i4<;%30a?5<,89m6>5+15297>"6<8087)?;2;18 4242:1/=9:53:&200<43-;?:7=4$064>6=#9=21?6*>4880?!73i390(<:m:29'51e=;2.:8i4<;%37a?5<,8>m6>5+14297>"6=8087)?:2;18 4342:1/=8:53:&210<43-;>:7=4$074>6=#9<21?6*>5880?!72i390(<;m:29'50e=;2.:9i4<;%36a?5<,8?m6>5+17297>"6>:0:i95+1779550<,8<=6<>9;%3fa?7b<2.:ik4>e59m5c6=9;1e=k?5139'5c0=9l>0(<?j:29'54`=;2.?h>4;d39'0a2=<m80b9j::368j1b12;>0(<h6:39j1<<722c>m7>5;h42>5<<a?81<75f11194?=n9o81<75f1g194?=n99>1<75f1gc94?=n9oh1<75`5c83>>i2k3:17d=7:18'5`g=;>1e=h750:9j73<72-;nm7=8;o3f=?7<3`9>6=4+1dc972=i9l31>65f3583>!7bi39<7c?j9;18?l54290/=ho5369m5`?=<21b8<4?:%3fe?503g;n57;4;h63>5<#9lk1?:5a1d;92>=n;o0;6)?ja;14?k7b13=07d=j:18'5`g=;>1e=h758:9j7a<72-;nm7=8;o3f=??<3`9h6=4+1dc972=i9l31m65f3c83>!7bi39<7c?j9;`8?l5f290/=ho5369m5`?=k21b?44?:%3fe?503g;n57j4;h11>5<#9lk1?:5a1d;9a>=n<10;6)?ja;64?k7b13:07d:9:18'5`g=<>1e=h751:9j00<72-;nm7:8;o3f=?4<3`>?6=4+1dc902=i9l31?65f4283>!7bi3><7c?j9;68?l36290/=ho5469m5`?==21b9=4?:%3fe?203g;n5784;h6e>5<#9lk18:5a1d;93>=n<l0;6)?ja;64?k7b13207d:k:18'5`g=<>1e=h759:9j0f<72-;nm7:8;o3f=?g<3`>i6=4+1dc902=i9l31n65f4`83>!7bi3><7c?j9;a8?l2>290/=ho5469m5`?=l21b8?4?:%3fe?203g;n57k4;h:1>5<#9lk14<5a1d;94>=n090;6)?ja;:2?k7b13;07d9j:18'5`g=081e=h752:9j3a<72-;nm76>;o3f=?5<3`=h6=4+1dc9<4=i9l31865f7c83>!7bi32:7c?j9;78?l1f290/=ho5809m5`?=>21b;44?:%3fe?>63g;n5794;h5;>5<#9lk14<5a1d;9<>=n?>0;6)?ja;:2?k7b13307d99:18'5`g=081e=h75a:9j30<72-;nm76>;o3f=?d<3`=86=4+1dc9<4=i9l31o65f7383>!7bi32:7c?j9;f8?l16290/=ho5809m5`?=m21b;=4?:%3fe?>63g;n57h4;h4e>5<#9lk14<5a1d;955=<a?o1<7*>e`8;5>h6m00:=65f6e83>!7bi32:7c?j9;31?>o1k3:1(<kn:938j4c>28907d8m:18'5`g=081e=h751598m3g=83.:il471:l2a<<6=21b444?:%3fe?>63g;n57?9;:k;<?6=,8oj65?4n0g:>41<3`2<6=4+1dc9<4=i9l31=554i9494?"6mh03=6`>e882=>=n0<0;6)?ja;:2?k7b13;j76g74;29 4cf21;0b<k6:0`8?l>4290/=ho5809m5`?=9j10e:h50;&2ad<?92d:i44>d:9j31<72-;nm76>;o3f=?7b32c=57>5$0gb>=7<f8o26<h4;hc0>5<#9lk1m?5a1d;94>=ni80;6)?ja;c1?k7b13;07d7i:18'5`g=i;1e=h752:9j=`<72-;nm7o=;o3f=?5<3`3o6=4+1dc9e7=i9l31865f9b83>!7bi3k97c?j9;78?l?e290/=ho5a39m5`?=>21b5l4?:%3fe?g53g;n5794;h;:>5<#9lk1m?5a1d;9<>=n110;6)?ja;c1?k7b13307d78:18'5`g=i;1e=h75a:9j=3<72-;nm7o=;o3f=?d<3`3?6=4+1dc9e7=i9l31o65f9283>!7bi3k97c?j9;f8?l?5290/=ho5a39m5`?=m21b5<4?:%3fe?g53g;n57h4;h;3>5<#9lk1m?5a1d;955=<a1l1<7*>e`8b6>h6m00:=65f8d83>!7bi3k97c?j9;31?>o?l3:1(<kn:`08j4c>28907d6l:18'5`g=i;1e=h751598m=d=83.:il4n2:l2a<<6=21bml4?:%3fe?g53g;n57?9;:kb=?6=,8oj6l<4n0g:>41<3`k36=4+1dc9e7=i9l31=554i`594?"6mh0j>6`>e882=>=ni?0;6)?ja;c1?k7b13;j76gn5;29 4cf2h80b<k6:0`8?lg3290/=ho5a39m5`?=9j10el>50;&2ad<f:2d:i44>d:9j=0<72-;nm7o=;o3f=?7b32c3m7>5$0gb>d4<f8o26<h4;hce>5<#9lk1mh5a1d;94>=nim0;6)?ja;cf?k7b13;07dol:18'5`g=il1e=h752:9jeg<72-;nm7oj;o3f=?5<3`h?6=4+1dc9f6=i9l31<65fb383>!7bi3h87c?j9;38?ld6290/=ho5b29m5`?=:21bn=4?:%3fe?d43g;n57=4;h6`g?6=,8oj69mm;o3f=?6<3`>hm7>5$0gb>1ee3g;n57?4;h6`=?6=,8oj69mm;o3f=?4<3`>h47>5$0gb>1ee3g;n57=4;h6g5?6=,8oj69j?;o3f=?6<3`>hj7>5$0gb>1b73g;n57?4;h6`a?6=,8oj69j?;o3f=?4<3`>hh7>5$0gb>1b73g;n57=4;naf>5<#9lk1oi5a1d;94>=hkj0;6)?ja;ag?k7b13;07bmn:18'5`g=km1e=h752:9lg<<72-;nm7mk;o3f=?5<3fi36=4+1dc9ga=i9l31865`c683>!7bi3io7c?j9;78?je1290/=ho5ce9m5`?=>21do84?:%3fe?ec3g;n5794;na7>5<#9lk1oi5a1d;9<>=hk:0;6)?ja;ag?k7b13307bm=:18'5`g=km1e=h75a:9lg4<72-;nm7mk;o3f=?d<3fhm6=4+1dc9ga=i9l31o65`bd83>!7bi3io7c?j9;f8?jdc290/=ho5ce9m5`?=m21dnn4?:%3fe?ec3g;n57h4;n`a>5<#9lk1oi5a1d;955=<gkk1<7*>e`8``>h6m00:=65`b883>!7bi3io7c?j9;31?>ie03:1(<kn:bf8j4c>28907bl8:18'5`g=km1e=h751598kg0=83.:il4ld:l2a<<6=21dh84?:%3fe?ec3g;n57?9;:mg0?6=,8oj6nj4n0g:>41<3fn86=4+1dc9ga=i9l31=554oe094?"6mh0hh6`>e882=>=hl80;6)?ja;ag?k7b13;j76ak0;29 4cf2jn0b<k6:0`8?jea290/=ho5ce9m5`?=9j10cnl50;&2ad<dl2d:i44>d:9lg5<72-;nm7mk;o3f=?7b32ei97>5$0gb>fb<f8o26<h4;nd3>5<#9lk1ik5a1d;94>=hml0;6)?ja;ge?k7b13;07bkl:18'5`g=mo1e=h752:9lag<72-;nm7ki;o3f=?5<3foj6=4+1dc9ac=i9l31865`e883>!7bi3om7c?j9;78?jc?290/=ho5eg9m5`?=>21di:4?:%3fe?ca3g;n5794;ng5>5<#9lk1ik5a1d;9<>=hm<0;6)?ja;ge?k7b13307bk;:18'5`g=mo1e=h75a:9la6<72-;nm7ki;o3f=?d<3fo:6=4+1dc9ac=i9l31o65`e183>!7bi3om7c?j9;f8?jba290/=ho5eg9m5`?=m21dhh4?:%3fe?ca3g;n57h4;nfg>5<#9lk1ik5a1d;955=<gmi1<7*>e`8fb>h6m00:=65`dc83>!7bi3om7c?j9;31?>ici3:1(<kn:dd8j4c>28907bj6:18'5`g=mo1e=h751598ka>=83.:il4jf:l2a<<6=21dj:4?:%3fe?ca3g;n57?9;:me2?6=,8oj6hh4n0g:>41<3fl>6=4+1dc9ac=i9l31=554og694?"6mh0nj6`>e882=>=hn:0;6)?ja;ge?k7b13;j76ai2;29 4cf2ll0b<k6:0`8?j`6290/=ho5eg9m5`?=9j10chj50;&2ad<bn2d:i44>d:9la7<72-;nm7ki;o3f=?7b32eo;7>5$0gb>``<f8o26<h4;ndg>5<#9lk1jn5a1d;94>=hnk0;6)?ja;d`?k7b13;07bhn:18'5`g=nj1e=h752:9lb<<72-;nm7hl;o3f=?5<3f;;>7>5$0gb>4663g;n57>4;n334?6=,8oj6<>>;o3f=?7<3flm6=4+1dc9557<f8o26?54ogg94?"6mh0:<<5a1d;97>=zj:8>6=4;:183!7ak3;<<6F;d89K0f3<,88;695f10694?=n98?1<75f10494?=h9?31<75rb2f`>5<2290;w)?ic;346>N3l01C8n;4$003>7><a8;?6=44i036>5<<a8;=6=44i034>5<<g8<26=44}c01`?6=;3:1<v*>fb822`=O<m30D9m:;%314?473`;:87>5;h321?6=3f;=57>5;|`16`<72=0;6=u+1ga9526<@=n27E:l5:&265<5:2c:=94?::k250<722c:=;4?::m22<<722wi>4:50;194?6|,8lh6<8j;I6g=>N3k<1/=?>5219j542=831b=<;50;9l53?=831vn?7::187>5<7s-;mo7?80:J7`<=O<j?0(<<?:308m4732900e<?::188m4712900c<86:188yv07k3:1;vP90b9>6ad=98<01?k=:034?84cm3;:;63=d98251=::m=1=<:4=220>4733ty=<l4?:bgxZ1`73W>n>6P9149]245<V=o:7S8?f:\6fa=Y>8>0R9kj;_6f`>X3mj1U8hl4^5gb?[2b12T?i55Q4d58Z1c13W<:j6P91d9]24b<V?;h7S8>b:\55d=Y>830R;?7;_423>X1801U:=64^724?[07>2T=<85Q6168Z3643W<;>6P9009]256<5=nj6<?>;<6af?0034>i>788;<6ae?0034>i5788;<6`7?0034>h>788;<106?0?3498=787;<104?0?3499j787;<11a?0?349?9787;<170?0?349??787;<176?0?349?=787;<1fg?0?349nn787;<1fe?0?349n5787;<1f<?0?349mj787;<1ea?0?349mh787;<1eg?0?349mn787;<15b?0?349=h787;<15f?0?349=5787;<153?0?349=9787;<157?0?349==787;<16b?0?348n8787;<0f7?7a<278m94>f59>7`5=>>168?l5699>07?=>1168?95699>073=>1168?=5699>077=>1168<h5699>04b=>1168lj5589>0db==h168lj51g0891gc28l870:nd;3ee>;3im0:jo524`f97==:<hn1?n524`f97g=:<hn1?l524`f97<=:<hn1??524`f90==:<hn18o524`f90d=:<hn184524`f907=:<hn1m>524`f9e4=:<hn15k524`f9=`=:<hn15i524`f9=f=:<hn15o524`f9=d=:<hn154524`f9===:<hn15:524`f9=3=:<hn159524`f9=6=:<hn15?524`f9=4=:<hn15=524`f9<c=:<hn14h524`f9<a=:<hn14n524`f9<g=:<hn1ml524`f9e<=:<hn1m5524`f9e2=:<hn1m;524`f9e0=:<hn1m9524`f9e5=:<hn158524`f9<d=:<hn1n9524`f9f7=:<hn1n<524`f9f5=:<hn18i?4=5cg>1ea34>jh7:le:?7ea<3km168lk5589>0dc==h168lk51g0891gb28l870:ne;3ee>;3il0:jo524`g97==:<ho1?n524`g97g=:<ho1?l524`g97<=:<ho1??524`g90==:<ho18o524`g90d=:<ho184524`g907=:<ho14?524`g93==:<ho1:k524`g9<2=:<ho1m>524`g9e4=:<ho15k524`g9=`=:<ho15i524`g9=f=:<ho15o524`g9=d=:<ho154524`g9===:<ho15:524`g9=3=:<ho159524`g9=6=:<ho15?524`g9=4=:<ho15=524`g9<c=:<ho14h524`g9<a=:<ho14n524`g9<g=:<ho1ml524`g9e<=:<ho1m5524`g9e2=:<ho1m;524`g9e0=:<ho1m9524`g9e5=:<ho158524`g9<d=:<ho1mk524`g9ea=:<ho1mn524`g9eg=:<ho1n9524`g9f7=:<ho1n<524`g9f5=:<ho18i?4=5cf>1ea34>ji7:le:?7e`<3km1v;>j:180[07m27?no4>ec9>0g4=9lh0q~;m4;296~X2j=16>h>51da8yv0593:1:vP9209>046=98>019>i:037?82693;:863;138251=:<891=<:4}r7eb?6=?8qU9kh4=5`7>00<5=h86884=5`1>00<5=hj6884=5`:>00<5:?96884=3:5>00<5;2>6884=3:7>00<5;286884=3:1>00<5;2:6884=3:3>00<5;=m6884=35f>00<5;in6884=3ag>00<5;ih6884=3aa>00<5;ij6884=3a:>00<5;i36884=3a4>00<5;i=6884=3``>00<5;hi6884=3`b>00<5;h26884=3`;>00<5;h<6884=3`5>00<5;h>6884=3`7>00<5;>>6884=367>00<5;>86884=361>00<5;>:6884=363>00<5;9m6884=31f>00<5;9o6884=31`>00<5:2m6884=2:f>00<5:2h6884=2:a>00<5:2o6884=2:b>00<5:226884=2:4>00<5:2=6884=2:;>00<5:k86884=2c1>00<5:k:6884=2c3>00<5:3m6884=2;f>00<5:3o6884=2;`>00<5:3i6884=2;b>00<5:896884=22e>00<5:;<6884=235>00<5:;>6884=237>00<5:;86884=231>00<5:;:6884=233>00<5::n6884=3f`>00<5;n26884=3g3>00<5;nm6884=5:5>00<5=2>6884=5:0>00<5=296884=5:2>00<5=2;6884=55e>00<5==n6884=55g>00<5==h6884=55a>00<5==j6884=55;>00<5==<6884=555>00<5==>6884=557>00<5==86884=551>00<5==:6884=553>00<5=<m6884=5:`>00<5=2i6884=5:b>00<5=226884=5:;>00<5=2<6884=5:7>00<5==26884=54f>00<5=<o6884=5cg>34<5=kn6;<4}r7ae?6=:rT>nl5241f95`e<uz?ii7>52z\6f`=:;;81=hm4}r422?6==<qU:<84=5`g>00<5=hi6884=5``>00<5=i86884=5a1>00<5=:o6884=52`>00<5;;m6884=33f>00<5;;o6884=33`>00<5;;i6884=33b>00<5;;26884=33;>00<5;;<6884=34a>00<5;<j6884=34:>00<5;<36884=344>00<5;<=6884=346>00<5;<?6884=340>00<5;?26884=37;>00<5;?<6884=375>00<5;?>6884=377>00<5;?86884=371>00<5;?:6884=373>00<5;kj6884=3c:>00<5;k36884=3c4>00<5;k=6884=3c6>00<5;k?6884=3c0>00<5;k96884=2f3>00<5:im6884=2af>00<5:io6884=2a`>00<5:ii6884=2ab>00<5:i26884=2a;>00<5:o86884=2fb>00<5=>36884=564>00<5=>=6884=566>00<5=>?6884=560>00<5=>:6884=563>00<5=>96884=577>00<5=?96884=570>00<5=?>6884=575>00<5=?<6884=57;>00<5=?26884=57b>00<5=<h6884=54a>00<5=<j6884=54:>00<5=<36884=544>00<5=<=6884=546>00<5=<?6884=5cg>37<5=kn6;?4}r7f4?6=:rT>i=523ec95`e<uz?jn7>52z\6<g=:<1<1=hm4}r7b=?6=:rT>4l5249795`e<uz?j;7>52z\6<==:<191=hm4}r7b2?6=:rT>4:5249095`e<uz?j97>52z\6<3=:<1;1=hm4}r7b0?6=:rT>485249295`e<uz?j?7>52z\6<1=:<>l1=hm4}r7b6?6=:rT>4>5246g95`e<uz?j=7>52z\6<7=:<>n1=hm4}r7b4?6=:rT>4<5246a95`e<uz?2j7>52z\6<5=:<>h1=hm4}r7:a?6=:rT>;k5246c95`e<uz?2o7>52z\63a=:<>21=hm4}r7:f?6=:rT>;n5246595`e<uz?2m7>52z\63g=:<><1=hm4}r7:=?6=:rT>;l5246795`e<uz?247>52z\63<=:<>>1=hm4}r7:3?6=:rT>;55246195`e<uz?2:7>52z\632=:<>81=hm4}r7:1?6=:rT>;;5246395`e<uz?287>52z\630=:<>:1=hm4}r7:7?6=:rT>;95247d95`e<uz?i>7>52z\6=4=:<1i1=hm4}r7a5?6=:rT>5=5249`95`e<uz?i<7>52z\6<c=:<1k1=hm4}r7bb?6=:rT>4h5249;95`e<uz?ji7>52z\6<a=:<121=hm4}r7b`?6=:rT>4n5249595`e<uz?jo7>52z\6<<=:<1>1=hm4}r7b<?6=:rT>;h5246;95`e<uz?2h7>52z\636=:<?o1=hm4}r7:6?6=:rT>;?5247f95`e<uz?=h7>52z\66a=:<ho1:h5rs44a>5<5sW?9o63;ad85`>{t=?k1<7<t^40a?82fm3<h7p}:6883>7}Y=;k019oj:7`8yv31?3:1>vP:299>0dc=>h1v889:181[35?27?mh479:p133=838pR8<9;<6ba?>?3ty>:94?:3y]173<5=kn6584}r757?6=:rT>>9524`g9<0=z{<<96=4={_717>;3il0386s|57394?4|V<8970:ne;:0?xu2>90;6?uQ533891gb2>l0q~;:f;296~X2:9168lk5759~w03b2909wS;>f:?7e`<112wx98m50;0xZ07c34>jh7ol;|q61g<72;qU9<m4=5cg>3`<uz?>m7>52z\65g=:<hn1:h5rs47:>5<5sW?:m63;ae85`>{t=<21<7<t^43:?82fl3<h7p}:5683>7}Y=82019ok:7`8yv32>3:1>vP:169>0db=>h1v8;::181[36>27?mi479:p102=838pR8?:;<6b`?>?3ty>9>4?:3y]142<5=ko6ll4}r765?6=:rT>=?524`f9<2=z{<?;6=4={_725>;3im03:6s|55d94?4|V<;;70:nd;:6?xu2<l0;6?uQ51d891gc21>0q~;;d;296~X28l168lj5829~w02d2909wS;?d:?7ea<0n2wx99l50;0xZ06d34>jh79;;|q60d<72;qU9=l4=5cg>3?<uz??57>52z\64d=:<ho14=5rs46;>5<5sW?;563;ad84a>{t==<1<7<t^424?82fm3=o7p}:4483>7}Y=9<019oj:6a8yv33<3:1>vP:049>0dc=?k1v8:<:181[37<27?mh48a:p114=838pR8><;<6ba?1>3ty>8<4?:3y]154<5=kn6:94}r774?6=:rT><<524`g933=z{<9m6=4={_734>;3il0<96s|52g94?4|V=lm70:ne;50?xu2;m0;6?uQ4gg891gb2>80q~;<b;296~X3nj168lk5709~w05f2909wS:ib:?7e`<082wx9>750;0xZ1`f34>jh7oi;|q67=<72;qU8k74=5cg>=4<uz?8;7>52z\7b==:<hn14=5rs415>5<5sW>m;63;ae84a>{t=:?1<7<t^5d5?82fl3=o7p}:3583>7}Y<o?019ok:6a8yv34;3:1>vP;f59>0db=?k1v8==:181[2a;27?mi48a:p127=838pR8=?;<6b`?1>3ty>;=4?:3y]17`<5=ko6lj4}r75b?6=:rT>>h524`f93==z{<<n6=4={_71=>;3im0<;6s|57:94?4|V<;n70:nd;55?xu2=m0;6?uQ501891gc2>?0q~;:2;296~X281168lj5729~w0202909wS:id:?7ea<0:2wx9>m50;0xZ1`534>jh79>;|q674<72;qU8k?4=5cg>26<uz;<47>5ez?7`g<6m>16??k5619>717=>916?h65619>7cd=>916?:?5619>70`=>916>h=5619>7d2=>9168?j5619>04b=>916?ij5107891gc28:870:ne;337>{t<k91<7=t=5`7>31<5=h86<kl;<6a6?3b3ty?n94?:3y>0g2=9li019l9:037?xu3jj0;6>u24cf922=:<kh19h524ca95`e<uz>ih7>52z?7fa<6mj168oh51068yv2e:3:1?v3;b2853>;3j;0:in524c49543<uz>in7>53z?7fg<6mj168om5669>0g`=98?0q~:m5;297~;3jh0:io524c;95`d<5=h=6<k:;|q7f=<72;?p19ln:0g`?852:3;nn63<8g857>;40l0=?63<8b857>;40k0=?63<8e857>;40h0=?63<88857>;40>0=?63<8782ag=:;121:>523`1926=:;h81:>523`3926=:;h:1:>5238d926=:;0o1:>5238f926=:;0i1:>5238`926=:;0k1:>5233095`d<5::m6;=4=234>35<5:;=6;=4=236>35<5:;?6;=4=230>35<5:;96;=4=232>35<5:;;6;=4=22f>35<5;nh6;=4=3f:>35<5;o;6<km;<0gb?7bj2wx8o950;0582e13;no63=87857>;50<0=?63=85857>;50:0=?63=83857>;5080=?63=81857>;5?o0=?63=7d857>;5kl0=?63=ce857>;5kj0=?63=cc857>;5kh0=?63=c8857>;5k10=?63=c6857>;5k?0=?63=bb857>;5jk0=?63=b`857>;5j00=?63=b9857>;5j>0=?63=b7857>;5j<0=?63=b5857>;5<<0=?63=45857>;5<:0=?63=43857>;5<80=?63=41857>;5;o0=?63=3d857>;5;m0=?63=3b857>{t<ko1<7=t=5a0>4ce34>h>7?jb:?7fc<6m<1v9m>:181=~;3k:0:in5241f95`d<5=:h6<km;<1g4?04349hj78<;<1`a?04349hh78<;<1`g?04349hn78<;<1`e?04349h578<;<1`<?04349n?7?jb:?0`d<6mk168965629>011=>:168985629>013=>:1689:5629>015=>:1689?51d`891272?9019:=:71891332?9019;=:0ga?822;3<870::5;40?822>3<870::7;40?82203<870::9;40?822i3<870:9c;40?821j3<870:9a;40?82113<870:98;40?821?3<870:96;40?821=3<870:94;40?xu3k90;6?8t=5a1>4cd348:j78<;<02a?04348:h78<;<02g?04348:n78<;<02e?04348:578<;<02<?04348:;78<;<05f?04348=m78<;<05=?04348=478<;<053?04348=:78<;<051?04348=878<;<057?04348>578<;<06<?04348>;78<;<062?04348>978<;<060?04348>?78<;<066?04348>=78<;<064?04348jm78<;<0b=?04348j478<;<0b3?04348j:78<;<0b1?04348j878<;<0b7?04348j>78<;|q016<72;q6?8<5669>702=9l?0q~=:2;291~;4=;0:in522eg9542<5;n<6<?:;<137?76=278<<4>129~w16b2908w0:?d;44?827k3<<70:?f;3f1>{t<9i1<79t=52`>4cd349n>7?>5:?755<69<168=h51078917628;>70:>2;321>;39:0:=85rs20g>5<5s498>78?;<105?7b=2wx?>950;0x965528l?70=<c;3f1>{t;<:1<7<t=211>4c2349>87?>6:p77e=838p1>=>:728965728o>7p}<3783>7}:;:;1=k:4=21a>4c23ty8>o4?:3y>766=>916??h51d78yv54=3:1>v3<3182b1=:;:k1=h;4}r11e?6=:r78>k490:?06`<6m<1v>=;:181855n3;m863<3882a0=z{:986=4={<11a?7a<278?54>e49~w6272909w0=;5;43?853<3;n96s|35c94?4|5:>>6<h;;<17b?7b=2wx?8?50;0x962228o>70=:4;321>{t;:l1<7<t=267>36<5:>86<k:;|q00<<72;q6?9:51g68962b28o>7p}<3d83>7}:;=91:=5235095`3<uz9?47>52z?006<6n=16?9j51d78yv54l3:1>v3<43854>;4<80:i85rs264>5<5s49?>7?i4:?00f<6m<1v>:9:18185393;m863<4c82a0=z{:o<6=4={<1fg?07349nn7?j5:p7c7=838p1>kl:0d7?85a>3;n96s|41c94?4|5:oh6<k:;<63b?76?2wx?h850;0x96ce2?:01>kn:0g6?xu4n90;6?u23d`95c2<5:l>6<k:;|q0a0<72;q6?ho5619>7`?=9l?0q~=jf;296~;4mh0:j9523g695`3<uz9n87>52z?0a<<18278i54>e49~w6cb2909w0=j9;3e0>;4n:0:i85rs2gg>5<5s49n47?i4:?0b7<6m<1v>hn:18185an3<;70=ie;3f1>{t<9>1<7<t=2de>4`334>;57?j5:p05d=838p1>hi:0g6?827n3;::6s|3g;94?4|5:ln6;>4=2dg>4c23ty?<>4?:3y>7cc=9o>019>7:0g6?xu4n10;6?u23gf925=:;oi1=h;4}r636?6=:r78ji4>f59>051=9l?0q~=i7;296~;4nj0=<63<fc82a0=z{=::6=4={<1eg?7a<27?<;4>e49~w1672909w0=ib;3e0>;38<0:i85rs341>5<2s48:j788;<05f?7bk279><4>149>675=98>01?<::036?xu59?0;69u220d95`e<5=:26<?:;<1e2?76=278n84>149~w7472909w0<>e;44?84593;n96s|20794?2|5;;n6<kl;<63<?76=278j84>149>7g2=98?0q~<=2;296~;59m0=;63=2282a0=z{;;?6=4;{<02`?7bk27?<54>169>7c3=98=01>l<:036?xu5:=0;6:u220a922=::;?1=h;4=304>472348957?>6:?16g<69>16>?h51058975628;<7p}=1283>1}::8i1=hm4=524>472349m87?>5:?0f7<69<1v?<9:181846j3<<70<=7;3f1>{t:881<7:t=33a>4cd34>;;7?>7:?0b1<69>16?o?51078yv4503:1>v3=1`853>;5:00:i85rs332>5<3s48:m7?jc:?743<69<16?k=5107896d728;>7p}=2`83>7}::831::5223`95`3<uz8:<7>54z?15<<6mj168=85105896`428;<70=nf;321>{t:;i1<7<t=33;>31<5;8m6<k:;|q14c<72=q6><651da8916228;>70=i2;321>;4il0:=85rs313>5<5s48:;788;<005?7b=2wx>=k50;6x977028oh70:?5;323>;4n;0:=:523`f9543<uz8h97>55z?1<3<1?279oh4>eb9>6=>=98?01?6n:037?84?k3;:96s|26f94?2|5;2=6<kl;<17b?76=278?n4>149>6`b=98>0q~<77;296~;50<0=;63=8982a0=z{;=h6=4:{<0;1?7bk279in498:?00c<69>16?>m5106897`228;?7p}=8883>7}::1>1::5229c95`3<uz8<n7>55z?1<1<6mj16>hl5699>71c=98?01>=m:036?84a<3;:86s|29`94?1|5;286;94=3:`>4c23483i7?>5:?1=5<69?16>4<5105897?128;<70<68;323>{t:>k1<7;t=3:0>4cd348nm787;<17a?76?278?o4>159>6c5=98>0q~<7d;296~;50;0=;63=8d82a0=z{;=26=4:{<0;6?7bk279i4498:?00a<69<16?>o5107897`528;?7p}=8g83>7}::1;1::5228295`3<uz8<47>55z?1<4<6mj16>h65699>71b=98=01>=n:037?84a93;:86s|28394?4|5;2;6;94=3;1>4c23ty9;:4?:4y>6=6=9li01?k8:7:8962d28;>70=<9;321>;5n90:=95rs3;0>5<5s48<j788;<0:2?7b=2wx>:850;7x971a28oh70<j6;4;?853k3;:;63<388251=::ll1=<:4}r0:3?6=:r79;h497:?1==<6m<1v?9::186840m3;no63=e485<>;4<k0:=;5232:9540<5;on6<?;;|q1f6<72;q6>nk5669>6ge=9li0q~<m2;296~;5km0=;63=bc82af=z{;i?6=4;{<0``?7bk279454>159>6=g=98?01?6l:035?xu5j80;6?u22ba922=::kk1=hm4}r0`7?6=;r79on4>eb9>6=g=98<01?6l:034?xu5j90;6?u22b`922=::k31=hm4}r0`6?6=:r79oo4>eb9>6=e=98>0q~<nf;296~;5kh0=;63=b982af=z{;i:6=49{<0`e?7bk2794h4>159>6<6=98?01?7=:035?84>>3;:963=998250=z{;kn6=4={<0`=?00348i;7?jc:p6f6=83?p1?m6:0g`?84>83;:863=938251=::0<1=<:4=3;;>4733ty9mi4?:3y>6f>=>>16>o851da8yv4en3:18v3=c982af=::081=<;4=3;7>473348297?>4:p6de=838p1?m8:75897d228oh7p}=bd83>6}::j=1=hm4=3;7>472348297?>5:p6dd=838p1?m9:75897d328oh7p}=be83>7}::j<1=hm4=3;6>4713ty98k4?:3y>63d=>>16>8751da8yv43m3:1>v3=6`853>;5=10:in5rs342>5<3s48=m7?jc:?164<69=16>?=51078974228;=7p}=4e83>7}::?31::5224595`e<uz8=<7>53z?12<<6mj16>?=51048974228;<7p}=4b83>7}::?21::5224495`e<uz8>j7>52z?12=<6mj16>?;51068yv43j3:1>v3=66853>;5=<0:in5rs37f>5<1s48=;7?jc:?162<69=16>?751078974e28;=70<=f;321>;5;80:=85rs36b>5<5s48=:788;<060?7bk2wx>8j50;7x970128oh70<=9;320>;5:k0:=95223d9542<5;9:6<?;;|q10<<72;q6>;;5669>605=9li0q~<:c;290~;5><0:in5223`9543<5;8o6<?;;<01a?76<2wx>9650;0x97032?=01?;=:0g`?xu5=k0;6>u227695`e<5;8o6<?:;<01a?76=2wx>9950;0x97042?=01?;>:0g`?xu5=h0;6?u227195`e<5;8n6<?9;|q1e4<72;q6>om5669>6dg=9li0q~<n0;296~;5jk0=;63=a882af=z{;3m6=4={<0ae?00348j47?jc:p6<c=838p1?l6:75897g028oh7p}=9e83>7}::k21::522`495`e<uz82o7>52z?1f2<1?279m84>eb9~w7?e2909w0<m6;44?84f<3;no6s|28c94?4|5;h>6;94=3c0>4cd3ty9544?:3y>6g2=>>16>l<51da8yv44j3:1>v3=58853>;5<<0:in5rs31b>5<5s48>4788;<070?7bk2wx>>750;0x97302?=01?:<:0g`?xu5;10;6?u2244922=::=81=hm4}r003?6=:r7998497:?104<6mj1v?=9:181842<3<<70<;0;3fg>{t::?1<7<t=370>31<5;9m6<kl;|q171<72;q6>8<5669>66c=9li0q~<<3;296~;5=80=;63=3e82af=z{;996=4={<064?003488o7?jc:p610=83;p1?;?:0g`?xu4100;6:u2257922=:;h91=hm4=0dg>4733498o7?>7:?1aa<69<168lj5479>0dc=<?1v?8l:181843<3<<70?id;3f1>{t:?n1<7<t=360>31<58ln6<k:;|q12`<72;q6>9<5669>5c`=9l?0q~<9f;296~;5<80=;63=0182a0=z{;=;6=4={<074?00348;=7?j5:p627=838p1?=i:758976528o>7p}=7383>7}:::o1::5221195`3<uz8<?7>52z?17a<1?279<94>e49~w7132909w0<<c;44?847=3;n96s|47194?3|5;kj6;94=54`>4cd348;:7?>4:?7ea<4>27?mh4<6:p6f`=838p1?o6:758976128o>7p}=d183>7}::h21::5221595`3<uz8o=7>52z?1e2<1?279<54>e49~w7b52909w0<n6;44?84713;n96s|2e194?4|5;k>6;94=32b>4c23ty9h94?:3y>6d2=>>16>=l51d78yv4c=3:1>v3=a2853>;58j0:i85rs3f5>5<5s48j>788;<03`?7b=2wx8lh50;3;85?n3?n70=7e;7f?85?k3?n70=7b;7f?85?l3?n70=7a;7f?85?13?n70=77;7f?85?>3?n70=78;7f?85f;3?n70=n2;7f?85f93?n70=n0;7f?85>n3?n70=6e;7f?85>l3?n70=6c;7f?85>j3?n70=6a;7f?852<3;:863=d682a0=:<hn1==:4=5cf>4633ty89h4?:3y>7=`=>>16?:o51d78yv5?=3:18v3<8g82af=:;h91::5235d9542<5:=i6<?;;|q01a<72;q6?5k5669>72?=9l?0q~=74;290~;40l0:in523`0922=:;=l1=<84=253>4733ty89o4?:3y>7=e=>>16?:951d78yv5?:3:18v3<8b82af=:;h:1::5235g9540<5:<h6<?;;|q01d<72;q6?5l5669>720=9l?0q~=71;290~;40k0:in5238d922=:;=n1=<:4=24b>4733ty89n4?:3y>7=b=>>16?:651d78yv5?;3:18v3<8e82af=:;h;1::5235g9542<5:<n6<?;;|q01<<72;q6?5o5669>723=9l?0q~=70;290~;40h0:in5238g922=:;=n1=<84=24;>4733ty8954?:3y>7=?=>>16?::51d78yv50n3:18v3<8882af=:;0n1::5235a9542<5:<=6<?;;|q013<72;q6?595669>724=9l?0q~=8d;290~;40>0:in5238`922=:;=h1=<;4=241>4733ty8984?:3y>7=0=>>16?:?51d78yv50k3:18v3<8782af=:;0k1::5235`9542<5:<;6<?;;|q012<72;q6?565669>725=9l?0q~=8e;290~;4010:in5238a922=:;=i1=<84=247>4733ty8:k4?:3y>72g=>916?;h51d78yv50j3:1>v3<7`822==:;>h1=h;4}r15`?6=;r78;4490:?02c<18278:i4>e49~w6172908w0=89;35<>;4>o0:j95236295`3<uz9=n7>53z?03=<18278:i490:?02g<6m<1v>8j:18085003;=463<6e82b1=:;?o1=h;4}r15=?6=;r78;:490:?02g<18278:44>e49~w60d2908w0=87;35<>;4>k0:j95237a95`3<uz9=;7>53z?033<18278:4490:?022<6m<1v>8n:180850>3;=463<6882b1=:;?k1=h;4}r151?6=;r78;8490:?022<18278:84>e49~w60?2908w0=85;35<>;4>>0:j95237:95`3<uz9=?7>53z?031<18278:8490:?026<6m<1v>89:180850<3;=463<6482b1=:;?<1=h;4}r155?6=;r78;>490:?026<18278:<4>e49~w6032908w0=83;35<>;4>:0:j95237695`3<uz9>j7>53z?037<18278:<490:?01c<6m<1v>8=:180850:3;=463<6082b1=:;?81=h;4}r154?6=;r78;<4>699>70`=9o>01>8?:0g6?xu4110;6:u23`095`e<58ln6<?;;<3e`?76=278?n4>179>6c3=98?019ok:57891gb2=?0q~=67;293~;4i80:in521gd9542<58ln6<?:;<10f?76?279j94>149>0db=<=168lk5459~w6?1290<w0=n0;3fg>;5890:=9521gd9543<5:9i6<?9;<0e7?76=27?mi4;3:?7e`<3;2wx?4;50;5x96?a28oh70<?1;320>;5890:=85232c9541<5;l96<?:;<6b`?3634>ji7;>;|q0=1<72>q6?4k51da8976528;?70<?1;321>;4;h0:=;522g39543<5=ko68>4=5cf>06<uz92?7>57z?0=a<6mj16>==51068976528;>70=<9;323>;5n90:=8524`f90c=:<ho18k5rs2;1>5<0s492o7?jc:?141<69=16>==51078965>28;=70<jf;321>;3im0?i63;ad87a>{t;0;1<79t=2;a>4cd348;97?>4:?141<69<16?>65107897cb28;>70:nd;6g?82fm3>o7p}<9183>3}:;0k1=hm4=326>472349847?>4:?06<<69:168lj54b9>0dc=<j1v?kl:18184a>3<;70<jc;3f1>{t:ln1<7<t=3d5>40?348nh7?j5:p74>=838p1?h9:0g6?857n3<<7p}=ec83>6}::ol1:=522da925=::lh1=h;4}r0e1?6=;r79jk4>699>6`e=9o>01?h::0g6?xu4:80;6?u22gd95`3<5:;<6;94}r0fe?6=;r79jh490:?1ag<18279il4>e49~w7`32908w0<ie;35<>;5mk0:j9522g695`3<uz99<7>52z?1b`<6m<16?<85669~w7c>2908w0<id;43?84bi3<;70<j9;3f1>{t:o91<7=t=3dg>40?348nm7?i4:?1b6<6m<1v>?i:18184al3;n963<14853>{t:l21<7=t=3d`>36<5;o26;>4=3g;>4c23ty9j?4?:2y>6ce=9?201?k6:0d7?84a:3;n96s|30g94?4|5;lh6<k:;<120?003ty9i:4?:2y>6cd=>916>h65619>6`1=9l?0q~<i1;297~;5nk0::5522d:95c2<5;l:6<k:;|q05a<72;q6>kl51d7896742?=0q~<j6;297~;5nh0=<63=e6854>;5m?0:i85rs3d3>5<4s48mm7?98:?1a2<6n=16>k>51d78yv56k3:1>v3=f`82a0=:;881::5rs3g6>5<4s48m578?;<0f2?07348n97?j5:p6``=839p1?h6:04;?84b>3;m863=eg82a0=z{:;i6=4={<0e=?7b=278=<497:p6`2=839p1?h7:72897c22?:01?k;:0g6?xu5ml0;6>u22g:953><5;o>6<h;;<0fa?7b=2wx?<o50;0x97`?28o>70=>0;44?xu5m:0;6>u22g5925=::l>1:=522d195`3<uz9947>53z?1b2<6>116>h:51g68964>28o>7p}<1883>7}::o=1=h;4=22f>31<uz9;>7>52z?1a6<10278<>4>e49~w6672909w0==2;7f?85793;n96s|33194?4|5:896;94=205>4c23ty8<94?:3y>75`=9li01><::036?xu48m0;6?u230595`e<5:8>6<?;;|q04f<72;q6?<851da8964228;=7p}<0c83>7}:;8?1=hm4=207>4733ty8<l4?:3y>742=9li01><;:036?xu4800;6?u230195`e<5:8=6<?;;|q04=<72;q6?<<51da8964028;?7p}<0683>7}:;8;1=hm4=204>4703ty8<;4?:3y>746=9li01><8:036?xu48<0;6?u231g95`e<5:8<6<?9;|q1`a<72;q6>im5669>6ac=9l?0q~<kc;293~;5lj0:in522e`9543<5;o96<?9;<0ga?76>279h54>179>6a1=98=01>><:034?xu5lh0;6?u22e;922=::mh1=h;4}r0g=?6=?r79h44>eb9>6ad=98>01?k=:036?84cm3;:963=d98250=::m=1=<84=220>4713ty9i<4?:2y>6`6=>>16>ih5669>6`4=9l?0q~<kf;296~;5lo0:in522d09542<uz9jo7>52z?0f`<18278mn4>e49~w6d22909w0=me;35<>;4j<0:i85rs2f:>5<5s49ii7?j5:?0`5<1?2wx?ll50;1x96dc2?:01>ol:72896ge28o>7p}<b583>6}:;kn1=;64=2c`>4`3349i87?j5:p7a>=838p1>lk:0g6?85dn3<<7p};5183>0}:;hi1:55244;95`e<5=<i6;94=2d6>473349i87?>4:p7dg=839p1>ll:72896ge2?:01>on:0g6?xu4j:0;6>u23ca953><5:ki6<h;;<1a7?7b=2wx?i950;0x96dd28o>70=le;44?xu3<o0;68u23``92==:<<21=hm4=54b>31<5:l>6<?9;<1a7?76<2wx?l750;1x96de2?:01>on:72896g>28o>7p}<b383>6}:;kh1=;64=2cb>4`3349i>7?j5:p7a0=838p1>lm:0g6?85dl3<<7p};4d83>0}:;hk1:55244595`e<5=<26;94=2d7>473349i>7?>4:p7d>=839p1>ln:72896g>2?:01>o7:0g6?xu4j80;6>u23cc953><5:k26<h;;<1a5?7b=2wx?i;50;0x96df28o>70=lc;44?xu3<m0;68u23`;92==:<<<1=hm4=54;>31<5:l?6<?9;<1a5?76<2wx?l950;1x96d>2?:01>o7:72896g028o>7p}<b183>6}:;k31=;64=2c;>4`3349i<7?j5:p7a2=838p1>l6:0g6?85dj3<<7p};4b83>0}:;h21:55244795`e<5=<<6;94=2d0>473349i<7?>4:p7d0=839p1>l7:72896g02?:01>o9:0g6?xu4io0;6>u23c:953><5:k<6<h;;<1bb?7b=2wx?i=50;0x96d?28o>70=la;44?xu3<k0;68u23`592==:<<>1=hm4=545>31<5:l86<?9;<1bb?76<2wx?l;50;1x96d02?:01>o9:72896g228o>7p}<ad83>6}:;k=1=;64=2c5>4`3349ji7?j5:p7a4=838p1>l8:0g6?85d13<<7p};4`83>0}:;h<1:55244195`e<5=<>6;94=2d1>473349ji7?>4:p7d2=839p1>l9:72896g22?:01>o;:0g6?xu4im0;6>u23c4953><5:k>6<h;;<1b`?7b=2wx?i?50;0x96d128o>70=l8;44?xu3<00;68u23`792==:<<81=hm4=547>31<5:l96<?9;<1b`?76<2wx8<>50;0x96g32?2019?>:0g6?xu4k>0;6>u23e295`e<5:nm6<?;;<1ga?76?2wx?n850;1x96ea28oh70=kf;322>;4ll0:=85rs2a6>5<4s49hi7?jc:?0`c<69<16?ik51048yv5d<3:1>v3<ce82af=:;mo1=<:4}r1`7?6=:r78on4>eb9>7`6=98>0q~=l2;296~;4kk0:in523ea9542<uz9h=7>52z?0gd<6mj16?im51078yv5d83:1>v3<c882af=:;mi1=<84}r1ab?6=:r78o54>eb9>7ae=98=0q~=j3;290~;4m:0:in523d09542<5:nm6<?8;<1g`?7a<2wx?h?50;0x96bf2<o01>k=:0g6?xu4lk0;6?u23ec922=:;l:1=h;4}r625?6=9:q689655d9>011==l1689855d9>013==l1689:55d9>015==l1689?55d9>016==l1689<55d9>002==l1688<55d9>005==l1688;55d9>000==l1688955d9>00>==l1688755d9>00g==l168<<51d78yv26k3:1>v3;49853>;3;<0:i85rs51e>5<3s4>?47?jc:?71d<1?27?<44>159>060=98>0q~:>b;296~;3<>0=;63;3582a0=z{=9n6=4;{<673?7bk27?94497:?74=<69=168?m51068yv26i3:1>v3;47853>;3;:0:i85rs51g>5<3s4>?:7?jc:?71=<1?27?<54>179>07g=98>0q~:>9;296~;3<<0=;63;3382a0=z{=9h6=4;{<671?7bk27?9:497:?742<69=168?651068yv2603:1>v3;45853>;3;80:i85rs51a>5<3s4>?87?jc:?713<1?27?<:4>179>070=98>0q~:>7;296~;3<:0=;63;3182a0=z{=9j6=4;{<677?7bk27?98497:?743<69=168?:51068yv26=3:1>v3;40853>;3:l0:i85rs51;>5<3s4>?=7?jc:?716<1?27?<84>159>076=98>0q~:>4;296~;3<90=;63;2e82a0=z{=9<6=4;{<674?7bk27?9?497:?740<69?168<k51068yv26>3:1>v3;43853>;3:o0:i85rs51:>5<3s4>?>7?jc:?711<1?27?<;4>179>074=98>0q~:=b;296~;3;<0=<63;2c82a0=z{=9=6=4={<601?71027??;4>e49~w14>2908w0:<4;43?825j3<;70:=9;3f1>{t<;i1<7=t=517>40?34>9n7?i4:?76f<6m<1v9<8:180824;3<;70:=9;43?825?3;n96s|43c94?5|5=986<87;<61=?7a<27?>l4>e49~w1422908w0:<2;43?825?3<;70:=5;3f1>{t<;21<7=t=511>40?34>9;7?i4:?76=<6m<1v9<<:18082493<;70:=5;43?825;3;n96s|43494?5|5=9:6<87;<611?7a<27?>;4>e49~w1462908w0:<0;43?825;3<;70:=1;3f1>{t<;>1<7=t=513>40?34>9?7?i4:?761<6m<1v9?i:180825n3<;70:=1;43?826n3;n96s|43094?5|5=8m6<87;<615?7a<27?>?4>e49~w17c2908w0:=e;43?826n3<;70:>d;3f1>{t<;:1<7=t=50f>40?34>:j7?i4:?765<6m<1v9?j:180825l3;=463;1e82b1=:<8o1=h;4}r665?6=<r7?9l4>eb9>03e=>>16?k85106896d228;?7p};1383>d}:<?i19h5247`91`=:<?k19h5247;91`=:<?219h5247591`=:<?<19h5247791`=:<?>19h5240195`3<uz>=>7>55z?72g<6mj16>=951068976128;>70:nd;16?82fm39>7p};6083>0}:<?k1=hm4=32;>473348;;7?>5:?7ea<4<27?mh4<4:p036=83?p1986:0g`?84713;:863=098250=:<hn1?>524`g976=z{=?m6=4:{<65<?7bk279<l4>159>65?=98?019ok:53891gb2=;0q~::e;291~;3>>0:in5221`9542<5;:j6<?:;<6b`?2734>ji7:?;|q71a<72<q68;851da8976d28;?70<?b;321>;3im08j63;ad80b>{t<<i1<7;t=546>4cd348;h7?>4:?14f<69<168lj53d9>0dc=;l1v9;m:187821<3;no63=0e8250=:<hn1?i524`g97a=z{=h;6=4=1z?7<3<2m27?484:e:?7<6<2m27?4?4:e:?7<4<2m27?4=4:e:?73c<2m27?;h4:e:?73a<2m27?;n4:e:?73g<2m27?;l4:e:?73=<2m27?;:4:e:?733<2m27?;84:e:?731<2m27?;>4:e:?737<2m27?;<4:e:?735<2m27?:k4:e:?7<f<2m27?4o4:e:?7<d<2m27?444:e:?7<=<2m27?4:4:e:?7<1<2m27?;44:e:?72`<2m27?:i4:e:?1`=<6m<1v9o::18182?>3<<70:ne;f`?xu3i=0;6?u2497922=:<ho1ho5rs5c1>5<5s4>3?788;<6ba?bf3ty?m<4?:3y>0=4=>>168lk5d89~w1g72909w0:71;44?82fm3n37p};9g83>7}:<1:1::524`g9b2=z{=3n6=4={<64b?0034>ji7h9;|q7=a<72;q68:k5669>0dc=n=1v97l:181820l3<<70:ne;d0?xu31k0;6?u246a922=:<ho1j?5rs5;b>5<5s4><n788;<6ba?`63ty?544?:3y>02g=>>168lk5ee9~w1?02909w0:88;44?82fm3o97p};9783>7}:<>=1::524`g9`2=z{=3>6=4={<642?0034>jh7hi;|q7=1<72;q68:;5669>0db=lm1v97<:181820<3<<70:nd;f`?xu31;0;6?u2461922=:<hn1ho5rs5;2>5<5s4><>788;<6b`?bf3ty?5=4?:3y>027=>>168lj5d89~w1>a2909w0:80;44?82fl3n37p};8d83>7}:<?l1::524`f9b2=z{=kh6=4={<6;g?0034>jh7h9;|q7eg<72;q685l5669>0db=nl1v9on:18182?i3<<70:nd;d6?xu3i00;6?u249;922=:<hn1j95rs5c;>5<5s4>34788;<6b`?`43ty?m:4?:3y>0=1=>>168lj5f39~w1g12909w0:74;44?82fl3l:7p};a283>7}:<>31::524`f9aa=z{=336=4={<65a?0034>jh7k=;|q7<a<72;q68;j5669>0db=l>1v><;:181855<3;n963<278250=z{=h:6=46{<624?7b=27?mi4;cb9>0db=<jk019ok:5a:?82fl3>h463;ad87gf=:<ho18no4=5cf>1e>34>ji7:l8:p5ge=838p1?<i:035?845l3;=56s|1cg94?4|5;9:6<?9;<01a?7112wx=n>50;0x97?128;=70<64;35=>{t9j81<7<t=3;;>471348297?99:p5f3=838p1>ji:0g6?85b83;::6s|1cc94?4|5:o;6<?:;<1gg?7112wx=n:50;0x96c728;<70=kd;3f1>{t9j=1<7<t=2fg>473349oi7?j5:p5f?=838p1><8:0g6?855>3;:;6s|33794?4|5:8=6<?9;<111?7112wv88k:181[35l27>87;=d:&7g1<6l=1v88m:181[35k27>87;=c:&7g1<6lo1v88n:181[35j27>87;=b:&7g1<6m91v886:181[35i27>87;=a:&7g1<6m81v888:181[35027>87;=8:&7g1<6?01v889:181[35?27>87;=7:&7g1<6?h1v88::181[35>27>87;=6:&7g1<6?k1v88;:181[35=27>87;=5:&7g1<6?l1v88<:181[35<27>87;=4:&7g1<60;1v88=:181[35;27>87;=3:&7g1<60>1v88>:181[35:27>87;=2:&7g1<61;1v88?:181[35927>87;=1:&7g1<61m1v8;i:181[35827>87;=0:&7g1<6i11v8;j:181[36n27>87;>f:&7g1<6ik1v8;l:181[36l27>87;>d:&7g1<6ij1v8;m:181[36k27>87;>c:&7g1<6im1v8;n:181[36j27>87;>b:&7g1<6il1v8;6:181[36i27>87;>a:&7g1<6io1v8;7:181[36127>87;>9:&7g1<6j91v8;8:181[36027>87;>8:&7g1<6j81v8;9:181[36?27>87;>7:&7g1<6j;1v8;::181[36>27>87;>6:&7g1<6j:1v8;;:181[36=27>87;>5:&7g1<6j=1v8;<:181[36<27>87;>4:&7g1<6j<1v8;>:181[36:27>87;>2:&7g1<6j?1v8;?:181[36927>87;>1:&7g1<6j>1v8:i:181[36827>87;>0:&7g1<6j11v8:j:181[37n27>87;?f:&7g1<6j01v8:k:181[37m27>87;?e:&7g1<6jh1v8:l:181[37l27>87;?d:&7g1<6jk1v8:m:181[37k27>87;?c:&7g1<6jj1v8:n:181[37j27>87;?b:&7g1<6jm1v8:6:181[37i27>87;?a:&7g1<6jl1v8:7:181[37127>87;?9:&7g1<6jo1v8:9:181[37?27>87;?7:&7g1<6k91v8:::181[37>27>87;?6:&7g1<6k81v8:;:181[37=27>87;?5:&7g1<6k;1v8:<:181[37<27>87;?4:&7g1<6k:1v8:=:181[37;27>87;?3:&7g1<6k=1v8:>:181[37:27>87;?2:&7g1<6k<1v8:?:181[37927>87;?1:&7g1<6k?1v8=i:181[37827>87;?0:&7g1<6k>1v8=j:181[2an27>87:if:&7g1<6k11v8=k:181[2am27>87:ie:&7g1<6k01v8=m:181[2ak27>87:ic:&7g1<6kh1v8=n:181[2aj27>87:ib:&7g1<6kk1v8=6:181[2ai27>87:ia:&7g1<6kj1v8=7:181[2a127>87:i9:&7g1<6km1v8=8:181[2a027>87:i8:&7g1<6kl1v8=9:181[2a?27>87:i7:&7g1<6ko1v8=::181[2a>27>87:i6:&7g1<6l91v8=;:181[2a=27>87:i5:&7g1<6l81v8=<:181[2a<27>87:i4:&7g1<6l;1v8==:181[2a;27>87:i3:&7g1<6l:1v89>:181[34827>87;<0:&7g1<6l<1v89?:181[35n27>87;=f:&7g1<6l?1v88i:181[35m27>87;=e:&7g1<6l>1v88j:181[35127>87;=9:&7g1<6l11v887:181[36m27>87;>e:&7g1<6l01v8;k:181[36;27>87;>3:&7g1<6lh1v8;=:181[37027>87;?8:&7g1<6lk1v8:8:181[2al27>87:id:&7g1<6lj1v8=l:181[2a:27>87:i2:&7g1<6lm1v8=>:181[2a927>87:i1:&7g1<6ll1v8hi:181[3an27>87;if:&7g1<6?j1v;>l:181[07k27>878?c:&7g1<6?m1v;>j:181[07m27>878?e:&7g1<6?o1v;?9:181[06>27>878>6:&7g1<6091v;<>:181[05927>878=1:&7g1<6081v8om:181[3?j27>87;7b:&7g1<60:1v8o6:181[3?i27>87;7a:&7g1<60=1v8o8:181[3?027>87;78:&7g1<60<1v8o9:181[3??27>87;77:&7g1<60?1v8o::181[3?>27>87;76:&7g1<6011v8o;:181[3?=27>87;75:&7g1<6001v8o<:181[3?<27>87;74:&7g1<60h1v8o=:181[3?;27>87;73:&7g1<60k1v8o>:181[3?:27>87;72:&7g1<60j1v8o?:181[3?927>87;71:&7g1<60m1v87i:181[3?827>87;70:&7g1<60l1v87j:181[30n27>87;8f:&7g1<60o1v87l:181[30l27>87;8d:&7g1<6191v87m:181[30k27>87;8c:&7g1<6181v87n:181[30j27>87;8b:&7g1<61:1v876:181[30i27>87;8a:&7g1<61=1v877:181[30127>87;89:&7g1<61<1v878:181[30027>87;88:&7g1<61?1v879:181[30?27>87;87:&7g1<61>1v87::181[30>27>87;86:&7g1<6111v87;:181[30=27>87;85:&7g1<6101v87<:181[30<27>87;84:&7g1<61h1v8l=:181[3>927>87;61:&7g1<61k1v8l>:181[3>827>87;60:&7g1<61j1v8l?:181[3?n27>87;7f:&7g1<61l1v8oi:181[3?m27>87;7e:&7g1<61o1v8oj:181[3?l27>87;7d:&7g1<6i91v8ok:181[3?k27>87;7c:&7g1<6i81v8ol:181[3?127>87;79:&7g1<6i;1v8o7:181[30m27>87;8e:&7g1<6i:1v87k:181[30;27>87;83:&7g1<6i=1v87=:181[30:27>87;82:&7g1<6i<1v8l;:181[3e<27>87;m4:&7g1<6i?1v8ln:181[3ei27>87;ma:&7g1<6i>1v8lj:181[3em27>87;me:&7g1<6i01v8k?:181[3b827>87;j0:&7g1<6ih1vqcm7a;296~N3k<1vbn6m:181M2d=2weo5m50;0xL1e23tdh4i4?:3yK0f3<ugi3i7>52zJ7g0=zfj2m6=4={I6`1>{ik0:1<7<tH5a6?xhd180;6?uG4b78yke>:3:1>vF;c49~jf?42909wE:l5:mg<2=838pD9m:;|l`=0<72;qC8n;4}oa:2?6=:rB?o85rnb;4>5<5sA>h96sac8:94?4|@=i>7p`l9883>7}O<j?0qcm6a;296~N3k<1vbn7m:181M2d=2weo4m50;0xL1e23tdh5i4?:3yK0f3<ugi2i7>52zJ7g0=zfj3m6=4={I6`1>{ikh:1<7<tH5a6?xhdi80;6?uG4b78ykef:3:1>vF;c49~jfg42909wE:l5:mgd2=838pD9m:;|l`e0<72;qC8n;4}oab2?6=:rB?o85rnbc4>5<5sA>h96sac`:94?4|@=i>7p`la883>7}O<j?0qcmna;296~N3k<1vbnom:181M2d=2weolm50;0xL1e23tdhmi4?:3yK0f3<ugiji7>52zJ7g0=zfjkm6=4={I6`1>{ikk:1<7<tH5a6?xhdj80;6?uG4b78ykee:3:1>vF;c49~jfd42909wE:l5:mgg2=838pD9m:;|l`f0<72;qC8n;4}oaa2?6=:rB?o85rnb`4>5<5sA>h96sacc:94?4|@=i>7p`lb883>7}O<j?0qcmma;296~N3k<1vbnlm:181M2d=2weoom50;0xL1e23tdhni4?:3yK0f3<ugiii7>52zJ7g0=zfjhm6=4={I6`1>{ikj:1<7<tH5a6?xhdk80;6?uG4b78yked:3:1>vF;c49~jfe42909wE:l5:mgf2=838pD9m:;|l`g0<72;qC8n;4}oa`2?6=:rB?o85rnba4>5<5sA>h96sacb:94?4|@=i>7p`lc883>7}O<j?0qcmla;296~N3k<1vbnmm:181M2d=2weonm50;0xL1e23tdhoi4?:3yK0f3<ugihi7>52zJ7g0=zfjim6=4={I6`1>{ikm:1<7<tH5a6?xhdl80;6?uG4b78ykec:3:1>vF;c49~jfb42909wE:l5:mga2=838pD9m:;|l``0<72;qC8n;4}oag2?6=:rB?o85rnbf4>5<5sA>h96sace:94?4|@=i>7p`ld883>7}O<j?0qcmka;296~N3k<1vbnjm:181M2d=2weoim50;0xL1e23tdhhi4?:3yK0f3<ugioi7>52zJ7g0=zfjnm6=4={I6`1>{ikl:1<7<tH5a6?xhdm80;6?uG4b78ykeb:3:1>vF;c49~jfc42909wE:l5:mg`2=838pD9m:;|l`a0<72;qC8n;4}oaf2?6=:rB?o85rnbg4>5<5sA>h96sacd:94?4|@=i>7p`le883>7}O<j?0qcmja;296~N3k<1vbnkm:181M2d=2weohm50;0xL1e23tdhii4?:3yK0f3<ugini7>52zJ7g0=zfjom6=4={I6`1>{iko:1<7<tH5a6?xhdn80;6?uG4b78ykea:3:1>vF;c49~jd>b290:wE:l5:mec1=83;pD9m:;|lbb=<728q/=:=56008L1e23tdjj44?:0y'525=>880D9m:;|lbbd<728q/=:=56008L1e23tdjjo4?:0y'525=>880D9m:;|lbbf<728q/=:=56008L1e23tdjji4?:0y'525=>880D9m:;|lbb`<728qC8n;4}oceb?6=9rB?o85rnc23>5<6sA>h96sab1394?7|@=i>7p`m0383>4}O<j?0qcl?3;295~N3k<1vbo>;:182M2d=2wen=;50;3xL1e23tdi<;4?:0yK0f3<ugh;;7>51zJ7g0=zfk:36=4>{I6`1>{ij931<7?tH5a6?xhe8h0;6<uG4b78ykd7j3:1=vF;c49~jg6d290:wE:l5:mf5b=83;pD9m:;|la4`<728qC8n;4}o`3b?6=9rB?o85rnc33>5<6sA>h96sab0394?7|@=i>7p`m1383>4}O<j?0qcl>3;295~N3k<1vbo?;:182M2d=2wen<;50;3xL1e23tdi=;4?:0yK0f3<ugh:;7>51zJ7g0=zfk;36=4>{I6`1>{ij831<7?tH5a6?xhe9h0;6<uG4b78ykd6j3:1=vF;c49~jg7d290:wE:l5:mf4b=83;pD9m:;|la5`<728qC8n;4}o`2b?6=9rB?o85rnc03>5<6sA>h96sab3394?7|@=i>7p`m2383>4}O<j?0qcl=3;295~N3k<1vbo<;:182M2d=2wen?;50;3xL1e23tdi>;4?:0yK0f3<ugh9;7>51zJ7g0=zfk836=4>{I6`1>{ij;31<7?tH5a6?xhe:h0;6<uG4b78ykd5j3:1=vF;c49~jg4d290:wE:l5:mf7b=83;pD9m:;|la6`<728qC8n;4}o`1b?6=9rB?o85rnc13>5<6sA>h96sab2394?7|@=i>7p`m3383>4}O<j?0qcl<3;295~N3k<1vbo=;:182M2d=2wen>;50;3xL1e23tdi?;4?:0yK0f3<ugh8;7>51zJ7g0=zfk936=4>{I6`1>{ij:31<7?tH5a6?xhe;h0;6<uG4b78ykd4j3:1=vF;c49~jg5d290:wE:l5:mf6b=83;pD9m:;|la7`<728qC8n;4}o`0b?6=9rB?o85rnc63>5<6sA>h96sab5394?7|@=i>7p`m4383>4}O<j?0qcl;3;295~N3k<1vbo:;:182M2d=2wen9;50;3xL1e23tdi8;4?:0yK0f3<ugh?;7>51zJ7g0=zfk>36=4>{I6`1>{ij=31<7?tH5a6?xhe<h0;6<uG4b78ykd3j3:1=vF;c49~jg2d290:wE:l5:mf1b=83;pD9m:;|la0`<728qC8n;4}o`7b?6=9rB?o85rnc73>5<6sA>h96sab4394?7|@=i>7p`m5383>4}O<j?0qcl:3;295~N3k<1vbo;;:182!70;3<:>6F;c49~jg32290:w)?83;426>N3k<1vbo;9:182M2d=2wen8950;3x 4142?;97E:l5:mf0>=83;pD9m:;|la1<<728q/=:=56008L1e23tdi9l4?:0yK0f3<ugh>n7>51z&236<19;1C8n;4}o`6g?6=9rB?o85rnc7g>5<6s-;<?78>2:J7g0=zfk?n6=4>{I6`1>{ij<l1<7?t$050>3753A>h96sab7294?7|@=i>7p`m6083>4}#9>91:<<4H5a6?xhe>;0;6<uG4b78ykd1;3:1=v*>728557=O<j?0qcl94;295~N3k<1vbo8::182!70;3<:>6F;c49~jg01290:w)?83;426>N3k<1vbo88:182M2d=2wen;650;3x 4142?;97E:l5:mf3?=83;pD9m:;|la2d<728q/=:=56008L1e23tdi:o4?:0yK0f3<ugh=o7>51z&236<19;1C8n;4}o`5`?6=9rB?o85rnc4f>5<6s-;<?78>2:J7g0=zfk<m6=4>{I6`1>{ij>:1<7?t$050>3753A>h96sab6394?7|@=i>7p`m7383>4}#9>91:<<4H5a6?xhe?:0;6<uG4b78ykd0<3:1=v*>728557=O<j?0qcl85;295~N3k<1vbo99:182M2d=2wen:950;3xL1e23tdi;54?:0yK0f3<ugh<57>51zJ7g0=zfk=j6=4>{I6`1>{ij>h1<7?tH5a6?xhe?j0;6<uG4b78ykd0l3:1=vF;c49~jg1b290:wE:l5:mf2`=83;pD9m:;|la<5<728qC8n;4}o`;5?6=9rB?o85rnc:1>5<6sA>h96sab9194?7|@=i>7p`m8583>4}O<j?0qcl75;295~N3k<1vbo69:182M2d=2wen5950;3xL1e23tdi454?:0yK0f3<ugh357>51z&236<19;1C8n;4}o`;e?6=9rB?o85rnc:a>5<6sA>h96sab9a94?7|@=i>7p`m8e83>4}O<j?0qcl7e;295~N3k<1vbo6i:182M2d=2wen4>50;3xL1e23tdi5<4?:0yK0f3<ugh2>7>51zJ7g0=zfk386=4>{I6`1>{ij0>1<7?tH5a6?xhe1<0;6<uG4b78ykd>>3:1=vF;c49~jg?0290:wE:l5:mf<>=83;pD9m:;|la=<<728qC8n;4}o`:e?6=9rB?o85rnc;a>5<6sA>h96sab8a94?7|@=i>7p`m9e83>4}O<j?0qcl6e;295~N3k<1vbo7i:182M2d=2wenl>50;3xL1e23tdim<4?:0yK0f3<ughj>7>51zJ7g0=zfkk86=4>{I6`1>{ijh>1<7?tH5a6?xhei<0;6<uG4b78ykdf>3:1=vF;c49~jgg0290:wE:l5:mfd>=83;pD9m:;|lae<<728qC8n;4}o`be?6=9rB?o85rncca>5<6sA>h96sab`a94?7|@=i>7p`mae83>4}O<j?0qclne;295~N3k<1vbooi:182M2d=2weno>50;3xL1e23tdin<4?:0yK0f3<ughi>7>51zJ7g0=zfkh86=4>{I6`1>{ijk>1<7?tH5a6?xhej<0;6<uG4b78ykde>3:1=vF;c49~jgd0290:wE:l5:mfg>=83;pD9m:;|laf<<728qC8n;4}o`ae?6=9rB?o85rnc`a>5<6sA>h96sabca94?7|@=i>7p`mbe83>4}O<j?0qclme;295~N3k<1vboli:182M2d=2wenn>50;3xL1e23tdio<4?:0yK0f3<ughh>7>51zJ7g0=zfki86=4>{I6`1>{ijj>1<7?tH5a6?xhek<0;6<uG4b78ykdd>3:1=vF;c49~jge0290:wE:l5:mff>=83;pD9m:;|lag<<728qC8n;4}o``e?6=9rB?o85rncaa>5<6sA>h96sabba94?7|@=i>7p`mce83>4}O<j?0qclle;295~N3k<1vbomi:182M2d=2weni>50;3xL1e23tdih<4?:0yK0f3<ugho>7>51zJ7g0=zfkn86=4>{I6`1>{ijm>1<7?tH5a6?xhel<0;6<uG4b78ykdc>3:1=vF;c49~jgb0290:wE:l5:mfa>=83;pD9m:;|la`<<728qC8n;4}o`ge?6=9rB?o85rncfa>5<6sA>h96sabea94?7|@=i>7p`mde83>4}O<j?0qclke;295~N3k<1vboji:182M2d=2wenh>50;3xL1e23tdii<4?:0yK0f3<ughn>7>51zJ7g0=zfko86=4>{I6`1>{ijl>1<7?tH5a6?xhem<0;6<uG4b78ykdb>3:1=vF;c49~jgc0290:wE:l5:mf`>=83;pD9m:;|laa<<728qC8n;4}o`fe?6=9rB?o85rncga>5<6sA>h96sabda94?7|@=i>7p`mee83>4}O<j?0qclje;295~N3k<1vboki:182M2d=2wenk>50;3xL1e23tdij<4?:0yK0f3<ughm>7>51zJ7g0=zfkl86=4>{I6`1>{ijo>1<7?tH5a6?xhen<0;6<uG4b78ykda>3:1=vF;c49~jg`0290:wE:l5:mfc>=83;pD9m:;|lab<<728qC8n;4}o`ee?6=9rB?o85rncda>5<6sA>h96sabga94?7|@=i>7p`mfe83>4}O<j?0qclie;295~N3k<1vbohi:182M2d=2weo=>50;3xL1e23tdh<<4?:0yK0f3<ugi;>7>51zJ7g0=zfj:86=4>{I6`1>{ik9>1<7?tH5a6?xhd8<0;6<uG4b78yke7>3:1=vF;c49~jf60290:wE:l5:mg5>=83;pD9m:;|l`4<<728qC8n;4}oa3e?6=9rB?o85rnb2a>5<6sA>h96sac1a94?7|@=i>7p`l0e83>4}O<j?0qcm?e;295~N3k<1vbn>i:182M2d=2weo<>50;3xL1e23tdh=<4?:0yK0f3<ugi:>7>51zJ7g0=zfj;86=4>{I6`1>{ik8>1<7?tH5a6?xhd9<0;6<uG4b78yke6>3:1=vF;c49~jf70290:wE:l5:mg4>=83;pD9m:;|l`5<<728qC8n;4}oa2e?6=9rB?o85rnb3a>5<6sA>h96sac0a94?7|@=i>7p`l1e83>4}O<j?0qcm>e;295~N3k<1vbn?i:182M2d=2weo?>50;3xL1e23tdh><4?:0yK0f3<ugi9>7>51zJ7g0=zfj886=4>{I6`1>{ik;>1<7?tH5a6?xhd:<0;6<uG4b78yke5>3:1=vF;c49~jf40290:wE:l5:mg7>=83;pD9m:;|l`6<<728qC8n;4}oa1e?6=9rB?o85rnb0a>5<6sA>h96sac3a94?7|@=i>7p`l2e83>4}O<j?0qcm=e;295~N3k<1vbn<i:182M2d=2weo>>50;3xL1e23tdh?<4?:0yK0f3<ugi8>7>51zJ7g0=zfj986=4>{I6`1>{ik:>1<7?tH5a6?xhd;<0;6<uG4b78yke4>3:1=vF;c49~jf50290:wE:l5:mg6>=83;pD9m:;|l`7<<728qC8n;4}oa0e?6=9rB?o85rnb1a>5<6sA>h96sac2a94?7|@=i>7p`l3e83>4}O<j?0qcm<e;295~N3k<1vbn=i:182M2d=2weo9>50;3xL1e23tdh8<4?:0yK0f3<ugi?>7>51zJ7g0=zfj>86=4>{I6`1>{ik=>1<7?tH5a6?xhd<<0;6<uG4b78yke3>3:1=vF;c49~jf20290:wE:l5:mg1>=83;pD9m:;|l`0<<728qC8n;4}oa7e?6=9rB?o85rnb6a>5<6sA>h96sac5a94?7|@=i>7p`l4e83>4}O<j?0qcm;e;295~N3k<1vbn:i:182M2d=2weo8>50;3xL1e23tdh9<4?:0yK0f3<ugi>>7>51zJ7g0=zfj?86=4>{I6`1>{ik<>1<7?tH5a6?xhd=<0;6<uG4b78yke2>3:1=vF;c49~jf30290:wE:l5:mg0>=83;pD9m:;|l`1<<728qC8n;4}oa6e?6=9rB?o85rnb7a>5<6sA>h96sac4a94?7|@=i>7p`l5e83>4}O<j?0qcm:e;295~N3k<1vbn;i:182M2d=2weo;>50;3xL1e23tdh:<4?:0yK0f3<ugi=>7>51zJ7g0=zfj<86=4>{I6`1>{ik?>1<7?tH5a6?xhd><0;6<uG4b78yke1>3:1=vF;c49~jf00290:wE:l5:mg3>=83;pD9m:;|l`2<<728qC8n;4}oa5e?6=9rB?o85rnb4a>5<6sA>h96sac7a94?7|@=i>7p`l6e83>4}O<j?0qcm9e;295~N3k<1vbn8i:182M2d=2weo:>50;3xL1e23tdh;<4?:0yK0f3<ugi<>7>51zJ7g0=zfj=86=4>{I6`1>{ik>>1<7?tH5a6?xhd?<0;6<uG4b78yke0>3:1=vF;c49~jf10290:wE:l5:mg2>=83;pD9m:;|l`3<<728qC8n;4}oa4e?6=9rB?o85rnb5a>5<6sA>h96sac6a94?7|@=i>7p`l7e83>4}O<j?0qcm8e;295~N3k<1vbn9i:182M2d=2weo5>50;3xL1e23tdh4<4?:0yK0f3<ugi3>7>51zJ7g0=zfj286=4>{I6`1>{ik1>1<7?tH5a6?xhd0<0;6<uG4b78yke?>3:1=vF;c49~jf>0290:wE:l5:mg=>=83;pD9m:;|l`<<<728qC8n;4}|~DEE|mo:185>l0c7ayEFEs9wKL]ur@A
/trunk/npi_vga_v1_00_b/netlist/fifo_sp_64.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$4f040<,[o}e~g`n;"2*413&;$8,)?40493456712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123454<88;0=>5>.130?46=AGZ^X7OKDSC?=?699o1:<7GAPTV9twi`Wlg{xtQ{hsgpl9?=878=7<>5IORVP?vugnUna}zv_ujqavnXizyn~y26:1<12>772@D[YY4rne\ahvsqV~c~h}g_ogdeqc;13:5=n5>0;MVPUSS2{nThlzn_bmvjq:>294:>6?9:HLSQQ<CAH6:97>112922?IR\Y__6IAN<0794;7<:;19=<?42;KMTPR=L@ZJ0<4?>0086?IR\Y__6IA_A=394;2<;98;=?5<2;KMTPR=L@H7?<4?>01877<H]]Z^X7J@B=12>586<2996B[[PTV9`jss4:;1<3:432006>5?m29x=?>?ege065+392>979?>1:69MKVR\3NB\O2<:1<26>2=G\^[YY4KOQ@?7?6992<:>68;:HLSQQ<cag6=?7>12:4:0>0>1?>0:4O>2:4F5>1331>9=9578922?<2<19:2>6794:;52<75300BB][[:@FGVG:?294:h675IORVP?vugnUmyabPtipfwm:?29498675IORVP?vugnUmyabPtipfwmYf{zoyx1650?07?<<NFY__6}|`g^dvhiYs`{oxdR`jg`vf8=<7682057AZTQWW>agsiVidycz38;2=5g=>2F__\XZ5ws]geqgXkfex1650?68ED6502KOH_O30?:8EABUI5;546OKDSC?6;><IMNYM1=18:CG@WG;<720MIJ]A=7=<>GCL[K7:364AEFQE91902KOH_O38?c8EABUI531<364AEFQE9?902KOH_L30?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF919i2KOH_L38;2=<>GCL[H74374AR[MGZTBO81I?6LZ299AQVYNFOE:7N:4C9:;0>EF0H>0ONJL2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:<=CAH6:97>17:FJE9726?1OEL2>>79GMD:56?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF49427IG_A=394;1<L@ZJ0<08;EKSF969?2NB\O2>>69GMUD;:730HD^M<283:2=CAYH7?384DNC?4;1<LFK7==08;EMB8479?2NDM1?=>69GKD:6;7=0HBO315<:?AIF48?1<394DNC?50813MEJ0<09;EMB87813MEJ0>09;EMB81813MEJ0809;EMB83813MEJ0:09;EMB8=813MEJ0409;EMA85803MEI0<>17:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLTD:7601OC]O31;2=3>BHXH6:2:5KOQ@?4;1<LFZI0<08;EMSF94912ND\O2<:1<4?AIWJ595>6KL3:GME6=BFK80JI=4FEA7?CBDM:1MHH=4FER7?CBWM=1MJ?>;;GDFE0=Aieyn=6I<;FLG5>O53@:97D?=;H01?L5?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461<AGC_S=:8;HLJPZ62?2CEEYQ?669JJLRX8>=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^T<O94IOKW[5E03@DBXR>K7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561<AGC_S<:8;HLJPZ72?2CEEYQ>669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661<AGC_S?:8;HLJPZ42?2CEEYQ=669JJLRX:>=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR<K7:KMMQY5M>1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761<AGC_S>:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G48MKOSWH<0ECG[_C18MKP43@EI>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ513E__?RB;;MWW03=K]]>T@85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G;87C??3:L256=I9;>0B<<84:L26=5<F89?7C?<059M56433G;8?>5A1568J421<2D:8::4N06;0>H6<090B<;;;O3641=I9<;?7C?:259M50533G;>895A1477?K72>=1E=89;;O36<1=I9<387C?94:L2252<F8<:86@>6368J404<2D::9:4N0460>H6>?>0B<884:L22=2<F8<2?6@>759M52633G;<=95A1607?K70;=1E=::;;O3411=I9><?7C?8759M52>33G;<5>5A1968J4>6<2D:4?=4N0;0?K47;2D9=>5A2318J7543G8??6@=529M635<F;=87C<73:L1=6=I;990B>?<;O117>H4;:1E?9=4N270?K51;2D8;>5A3918J6?43G>;?6@;129M075<F=987C:;3:L716=I<?90B99<;O6;7>H31:1E9==4N430?K35;2D>?>5A5518J0343G?=?6@:729M1=5<F<397C8<;O437>H19:1E:?=4N710?K03;2D=9>5A6718J3143G<3?6@9939M37=I0;1E5k5AB^@VWUOIWYXD\^94NDVTKWM33GEEI<5@2:MH5>V33YKYXl5_IO]AQVOCPk1[ECQMURLBI@5<X[O:7\k4R@OA53YT91UX?;?4S018WLKXKFFGE^G@N^AKAFM13ZE^^NK8;RPUEIYF?2YYZLBPB59PWWG33ZYYN95[YQG06>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=>?_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1235ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678;UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<==PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0127[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567=VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<;Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?015\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq456?W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;<R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?00]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3454XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:8S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?4^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2340YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789<T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>8_Sgpqir6:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj929:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb181209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=5=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9>9:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf535>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR?=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_303?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\776<]9%l~k }f.e`|+ekcq%yhR~ats]amkY3:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV?9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS;<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P7328Q5)`zo$yj"ilx/aoo})ulVzexQmio];65=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ?5>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>?269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567888=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01313>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89::=?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2347403\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=<>279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567;;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?02012>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:?>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12304413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=;=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45629;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?070;?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<:=?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234345j2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>9_cfg470<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<:<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34516:91^<"i}f/pe+be&jf`t"|k_qlwvZad4949<6[?/fpe*w`(ojr%oaew/sf\tkruWni7=3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2=>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=1=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`818582_;#j|i.sd,cf~)keas#jPpovq[be;=78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6=2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo191219V4*aun'xm#jmw.bnh|*tcWyd~Ril<9<14>S7'nxm"h gbz-gim'{nT|cz}_fa?=;7a3\:$kh!rg-dg}(ddbr$~iQnup\cfY79o1^<"i}f/pe+be&jf`t"|k_qlwvZadW8;m7X> gsd-vc)`kq$h`fv re]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS>?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_43e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]55c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[27a3\:$kh!rg-dg}(ddbr$~iQnup\cfY?9o1^<"i}f/pe+be&jf`t"|k_qlwvZadW08=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4149:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=;=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]:6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=:=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=171299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V;946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[74?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P33:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U?>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y?:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^;11>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789:996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01311>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt7898996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01111>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789>996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01711>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789<996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01511>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt78929=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0=0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<0<15>S7'nxm"h gbz-gim'~xT|cz}_ckm878592_;#j|i.sd,cf~)keas#z|Ppovq[goi4:49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce090=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<4<15>S7'nxm"h gbz-gim'~xT|cz}_ckm838592_;#j|i.sd,cf~)keas#z|Ppovq[goi4>49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce050=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_103?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\576<]9%l~k }f.e`|+ekcq%|~R~ats]amkY5:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV99<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS9<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P5328Q5)`zo$yj"ilx/aoo})pzVzexQmio]565=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ1582_;#j|i.sd,cf~)keas#z|Ppovq[goiW18=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01213>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:;=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2344403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=?>279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567:;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?03312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:8>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12377413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=:=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq45639;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0404?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789?:>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12327><]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;?>269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567>;8i7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?014\fab7:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?7358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678>;9<6[?/fpe*w`(ojr%oaew/vp\tkruWni7<3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2>>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=0=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`868582_;#j|i.sd,cf~)keas#z|Ppovq[be;<78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6>2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo181219V4*aun'xm#jmw.bnh|*quWyd~Ril<6<14>S7'nxm"h gbz-gim'~xT|cz}_fa?<;7a3\:$kh!rg-dg}(ddbr${Qnup\cfY79o1^<"i}f/pe+be&jf`t"y}_qlwvZadW8;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS>?i;T2,cw`)zo%lou lljz,swYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_43e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]55c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[27a3\:$kh!rg-dg}(ddbr${Qnup\cfY?:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo595>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>7:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm793<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8385>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk191279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:?6;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX9;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP2378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX;;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP4378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX=;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP6378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX?;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP83;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86;2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:66;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>1:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2<>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86?2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:26;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>5:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<28>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8632?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q7249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4567:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>>249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4565:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=><249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4563:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>:249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4561:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>82`9V4*aun'xm#jb?.sf,cw7)zo}mx"\NM^PG[@HXN^L_=?74U1-dvc(un&mg<#|k/fp2*w`pn}%YM@Q]EFMVPZCI9:90Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi;11^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<#Fn0307>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c::<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io1;?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5%@d:=?64U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZ`e:>1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h0f?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}UbSb|?0121b>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~TeRa}012356`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8584n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\g|:66:l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Ze~4;48j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xkp682>h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vir090<f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2:>2d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<7<0b>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pcx>4:6`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8=84n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\g|:>6=;0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5;;29>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1<1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<2<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?0;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:26=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5<58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We0:0;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz38?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>::17<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv9776=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5;58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey0?0;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|33?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>7:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv939<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4?4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx7;3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc27>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=;=6c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*TFEVOF\YWPFC]jjs513\:$kh!rg-dh5(ul&mym~ hpd,q`uc(ZHGTI@^[Y^DA[lhq9VCEZR><9:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/`fiqvrblV}yS}`{r^pg[ugc4948m6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#ljeurvf`ZquWyd~R|k_qcg8586;91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;9949j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim6:2?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko0?0=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{mi2<>3d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<5<1b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>6:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8385n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]sea:06;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugc4149j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim622?k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS<<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhR??2d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP23g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_20f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^61a>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]66`=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\27c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[24b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z>5m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY>;11^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>311<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a67896:2>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238784?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2<>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674=48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>6:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;0;0<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:06:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<9<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789622?l4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVc8:6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#}|`g^gntqXaVif|Rbj01237==R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<?=<9:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/qplcZcjx}sTeRmbp^nf4567:=8856[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#}|`g^gntqXaVif|Rbj01236134l2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'yxdkRkbpu{\mZejxVfn<=>?257\WR6492_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'{nT|cz}_hlu[ugc4848j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#jPpovq[lhqWykoS<Qaou23457XAG\T<?74U1-dvc(un&mg<#|k/fpbw+tt|z%h<"mnrs{maq:76;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&ij~waeu>2:7?<]9%l~k }f.eo4+tc'nxj#||tr-`4*efz{seiy2=>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.abvwim}682?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><0<17>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4:56;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:0>0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>7:7d<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6W9Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]0[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x>_5]bja6789;956[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$ol|}yogw858512_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(khxyuck{<0<1=>S7'nxm"h gm2-va)`zhy%~~z|/b3,gdtuqgo0?0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n? c`pq}kcs4:49j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64949?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2>>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28785;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><5<1f>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4Y7Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t2[4Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0]1[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x>_2]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<Q;_`lg45679;:0Y=!hrg,qb*ak8'xo#j|ns/pppv)dgdz;>=5Z0.eqb+ta'nf;"j gscp*wus{&ida}?=9:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVc8<6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]efZoXg{:;<==>;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0000?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp7433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov761=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}?986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at707?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz7259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq?512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<1<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;97Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:56;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;=78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5=5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4149o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8=8Xz}8j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8585i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=3=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2=>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7?3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4=49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv939:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>5:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|37?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey050=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz535>i5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:>6Vx=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7<3?i;T2,cw`)zo%l`= }d.psjqt(kfex1?11g9V4*aun'xm#jb?.sf,vuhsz&idycz32?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=1=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?0;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9399o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;>7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5=5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~743?i;T2,cw`)zo%l`= }d.psjqt(kfex1711d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03f?P6(o{l%~k!hl1,q`*twf}x$ob{at^02a>S7'nxm"h gm2-va)uxg~y#naznu]05`=R8&myj#|i/fn3*wb(zyd~"m`uov\04c<]9%l~k }f.eo4+tc'{zex!lotlw[07b3\:$kh!rg-dh5(ul&x{by| cnwmpZ06m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY09l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX08o0Y=!hrg,qb*ak8'xo#~ats-`kphsW0897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=3=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:56;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><5<16>S7'nxm"h gm2-va)uxg~y#naznu]g5939:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?37?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28=85:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9535><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q?209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]264=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y5:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U8><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q;209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]664=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y1:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U<><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q7209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]:7c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?4;5a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}979;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;:79m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq595?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs783=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1;13g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw36?1e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=5=7c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?<;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:66=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5858=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We0>0;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz34?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>6:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp909<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4>4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~743:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy26>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=3=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq878382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;;7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6?29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1;1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<7<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?3;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:?6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz535>k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn7=3<i;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjh1<12g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|lj33?0e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd=6=6c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f?1;4a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`909:o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdb;?78m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvfl525>k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn753<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR?=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ=2d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP33g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_50f?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^71a>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]56`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\37c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[=4b3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z?4?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=2>>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674;48;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>0:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;090<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:26:=0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcWhno<=>?<7<03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a67896<2>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238=84?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=26>3c8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSd==;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?01100>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_lw{45649<937X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZoXe|r;<==>5^QT462<]9%l~k }f.eo4+qu'n}j#if/uqtc)wzfmTjxbc_h]nq}678:9;??5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVddx=>?1218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQaou23447502_;#j|i.sd,ci6){%l{l}!gqd-swva'~xT~~zPd00:?P6(o{l%~k!hl1,tv*apiz$|y} c0-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etev(p{}y$o<!laspzj`r;97827X> gsd-vc)`d9$|~"ixar,twqu(k8%hm|vndv?6;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)di{xrbhz33?0e?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW<S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?30?00?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7>3<<;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=1=66=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7;<78i7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=R>Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T=Road123444e3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q9V8Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7X;Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5Z2Xff~;<=>>289V4*aun'xm#jb?.vp,crgt&~y"m=/bcqv|hb|5:5>45Z0.eqb+ta'nf;"z| gvcp*rus{&i9#no}rxlfp979:01^<"i}f/pe+bj7&~x$kzo|.vqww*e5'jky~t`jt=0=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+fguzpdnx1=12g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>1229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?5;443\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9585>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?33?00?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5929:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';T<Road123444e3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9V;Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m=/w3\0Zhh|9:;<<<?;T2,cw`)zo%l`= xr.etev(p{}y$obc1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr16==R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUmnRg=5:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZo5k2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`RgPos23454c3\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQ`r123447c3\:$kh!rg-dh5(pz&xjaRy}_dl\m47a3\:$kh!rg-dh5(pz&xjaRy}_dl\m4Y69o1^<"i}f/pe+bj7&~x$~lcPws]fjZo6W;887X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw772<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr?:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;:78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?2;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw36?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{2`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6;2?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;978j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8785i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=1=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2;>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx793<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4?49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv919:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>;:7b<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|38?]qp4`<]9%l~k }f.eo4+qu'~zex!lotlw8586n2_;#j|i.sd,ci6){%||cz}/bmvjq:668l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4;4:j6[?/fpe*w`(oe:%{!xpovq+firf}682<h4U1-dvc(un&mg<#y}/vrmpw)dg|d090>f:W3+bta&{l$ka>!ws-ttkru'je~by2:>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<7<2b>S7'nxm"h gm2-sw)pxg~y#naznu>4:4`<]9%l~k }f.eo4+qu'~zex!lotlw8=86m2_;#j|i.sd,ci6){%||cz}/bmvjqY79l1^<"i}f/pe+bj7&~x${}`{r.alqkrX98o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW;;n7X> gsd-vc)`d9$|~"ynup,gjsi|V9:i6[?/fpe*w`(oe:%{!xpovq+firf}U?=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T9<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS;?j;T2,cw`)zo%l`= xr.usjqt(kfexR9>e:W3+bta&{l$ka>!ws-ttkru'je~byQ7239V4*aun'xm#jb?.vp,suhsz&idyczPd0>3:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;97897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=1=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;793<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><7<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8632??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T???4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P4338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\177<]9%l~k }f.eo4+qu'~zex!lotlw[a7X>;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T;??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P8308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?4;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54849>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1<1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>0:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;<7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn9080=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=4=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:06;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8743<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W;8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S><>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_502?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[0463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W?8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S:<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_935?P6(o{l%~k!bel-gkprXaV:::6[?/fpe*w`(elg$hb{{_h]252=R8&myj#|i/lgn+air|VcT==?8;T2,cw`)zo%fi`!kotv\mZ769>1^<"i}f/pe+hcj'me~xRgP1334?P6(o{l%~k!bel-gkprXaV;8=:5Z0.eqb+ta'dof#iazt^k\51703\:$kh!rg-nah)cg|~TeR?:169V4*aun'xm#`kb/emvpZoX9?;<7X> gsd-vc)jmd%ocxzPi^3452=R8&myj#|i/lgn+air|VcT=5?8;T2,cw`)zo%fi`!kotv\mZ7>9?1^<"i}f/pe+hcj'me~xRgP2058Q5)`zo$yj"cjm.flqqYnW;::;6[?/fpe*w`(elg$hb{{_h]1541<]9%l~k }f.ofi*bh}}UbS?<>7:W3+bta&{l$ahc dnww[lY5;8=0Y=!hrg,qb*kbe&ndyyQf_3623>S7'nxm"h mdo,`jssW`U99<94U1-dvc(un&gna"j`uu]j[706?2_;#j|i.sd,i`k(lfSdQ=7058Q5)`zo$yj"cjm.flqqYnW;2:;6[?/fpe*w`(elg$hb{{_h]1=40<]9%l~k }f.ofi*bh}}UbS>?8;T2,cw`)zo%fi`!kotv\mZ579>1^<"i}f/pe+hcj'me~xRgP3035?P6(o{l%~k!bel-gkprXaV>::6[?/fpe*w`(elg$hb{{_h]653=R8&myj#|i/lgn+air|VcT:<84U1-dvc(un&gna"j`uu]j[2713\:$kh!rg-nah)cg|~TeR6>6:W3+bta&{l$ahc dnww[lY>901^<"i}f/pe+hcj'me~xRcjm=2=5d=R8&myj#|i/lgn+air|Vgna1??>0c8Q5)`zo$yj"cjm.flqqYjmd6:=3?n;T2,cw`)zo%fi`!kotv\i`k;9;4:m6[?/fpe*w`(elg$hb{{_lgn84599h1^<"i}f/pe+hcj'me~xRcjm=37:4g<]9%l~k }f.ofi*bh}}Ufi`2>5?3b?P6(o{l%~k!bel-gkprXelg7=;0>a:W3+bta&{l$ahc dnww[hcj48=5=l5Z0.eqb+ta'dof#iazt^ofi97?68k0Y=!hrg,qb*kbe&ndyyQbel>2=;7>3\:$kh!rg-nah)cg|~Tahc31?3b?P6(o{l%~k!bel-gkprXelg7>=0>a:W3+bta&{l$ahc dnww[hcj4;;5=l5Z0.eqb+ta'dof#iazt^ofi94568k0Y=!hrg,qb*kbe&ndyyQbel>17;7f3\:$kh!rg-nah)cg|~Tahc325<2e>S7'nxm"h mdo,`jssWdof0?;11`9V4*aun'xm#`kb/emvpZkbe58=2<o4U1-dvc(un&gna"j`uu]nah:5?7;j7X> gsd-vc)jmd%ocxzPmdo?6=86i2_;#j|i.sd,i`k(lfS`kb<3;=5<=R8&myj#|i/lgn+air|Vgna1<11`9V4*aun'xm#`kb/emvpZkbe59;2<o4U1-dvc(un&gna"j`uu]nah:497;27X> gsd-vc)jmd%ocxzPmdo?7;7>3\:$kh!rg-nah)cg|~Tahc34?3:?P6(o{l%~k!bel-gkprXelg793?6;T2,cw`)zo%fi`!kotv\i`k;>7;27X> gsd-vc)jmd%ocxzPmdo?3;7>3\:$kh!rg-nah)cg|~Tahc38?3:?P6(o{l%~k!bel-gkprXelg7538j;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W=S!r`o-v*p5Wgebbdz!r`o,`u51p82%~lc9e:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?R.scn*w)q:Vddecg{.scn+av4>q;3"ob129V4*aun'xm#ob_sf\ak703\:$kh!rg-qehYumne~xRka129V4*aun'xm#ob_vp\ak7c3\:$kh!rg-qwqdkc&xoS}{_`qqab473\:$kh!rg-qwqdkc&xoS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#jPrrv\evtboVn9=n5Z0.eqb+ta'{ynae re]qwqYdgdh:h6[?/fpe*w`(zz~i`f!}d^pppZehek;:i6[?/fpe*w`(zz~i`f!}d^pppZtbo5;5=h5Z0.eqb+ta'{ynae re]qwqYumn692<j4U1-dvc(un&xxxobd/sf\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXzlmT><j4U1-dvc(un&xxxobd/vp\vvrXizxnk?>4U1-dvc(un&xxxobd/vp\vvrXizxnkRj>219V4*aun'xm#}{bmi,swYu{}Ujkh_e02g>S7'nxm"h rrvahn)pzVxxxRm`mc3g?P6(o{l%~k!}su`oo*quW{ySnabb03f?P6(o{l%~k!}su`oo*quW{ySkh<1<2a>S7'nxm"h rrvahn)pzVxxxR|jg=3=5a=R8&myj#|i/sqwfim({UyyQ}ef]35a=R8&myj#|i/sqwfim({UyyQ}ef]2e>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4WDC0?RCEj2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPB0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybccm4amolwqYbey~rn6ocmnqw[cskd?1imnezpe9aefmrxVgj~fk}3:aooa=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)e9geqgXkfex%:&d:fbpdYdg|d$8'k;ecweZeh}g~#:$j4d`vb[firf}"<%i5kauc\gjsi|!2"j6jnt`]`kphs410;2:5kbhvfvw0<l`d#<$84dhl+5,1<l`d#=='8;ekm,47.?2nbb%?=)69gmk.6; =0hd`'15+4?aoi 8?";6jfn)35-2=cag":;$94dhl+5=/03mce$<7&6:fjj-4.?2nbb%<?)69gmk.59 =0hd`'23+4?aoi ;9";6jfn)07-2=cag"99$94dhl+63/03mce$?9&7:fjj-4?!>1oec&=9(48`lh/; =0hd`'31+4?aoi :;";6jfn)11-2=cag"8?$94dhl+71/03mce$>;&7:fjj-51!>1oec&<7(58`lh/;1#<7iga(2;*2>bnf!>";6jfn)63-2=cag"?=$94dhl+07/03mce$9=&7:fjj-23!>1oec&;5(58`lh/<?#<7iga(55*3>bnf!>3%:5kio*7=,0<l`d#9$94dhl+15/03mce$8?&7:fjj-35!>1oec&:3(58`lh/==#<7iga(47*3>bnf!?=%:5kio*63,1<l`d#95'8;ekm,0?.>2nbb%8&7:fjj-07!>1oec&91(58`lh/>;#<7iga(71*2>bnf!=":6jfn):*2>bnf!3":6jfn=2=3>bnf5;;2:5kio>25;1<l`d7=?08;ekm8459?2nbb1?;>69gmk:6=7=0hd`317<4?aoi48=5;6jfn=3;:2=cag6:5384dhl?5;1<l`d7>=08;ekm8779?2nbb1<=>69gmk:5;7=0hd`325<4?aoi4;?5;6jfn=05:2=cag69;394dhl?6=803mce0?716:fjj949?2nbb1=?>69gmk:497=0hd`333<4?aoi4:95;6jfn=17:2=cag689394dhl?73803mce0>917:fjj95?6>1oec2<9?48`lh;;7=0hd`341<4?aoi4=;5;6jfn=61:2=cag6??394dhl?01803mce09;17:fjj9216>1oec2;7?58`lh;<14<7iga<5;=2>bnf5>5;6jfn=73:2=cag6>=394dhl?17803mce08=17:fjj9336>1oec2:5?58`lh;=?4<7iga<45=3>bnf5?32:5kio>6=;0<l`d79394dhl?25803mce0;?17:fjj905601oec293;2=3>bnf5<82;5kio>5:3=cag6<2;5kio>;:3=cag622:5kotv+4,1<lf$<'7;emvp-77!11ocxz'10+;?air|!;9%55kotv+56/?3me~x%?;)99gkpr/9<#37iazt)35-==cg|~#=:'7;emvp-7?!11ocxz'18+4?air|!8"46j`uu*14,><lf$??&8:flqq.5: 20hb{{(31*<>bh}}"98$64dnww,73.02ndyy&=6(:8`jss ;="46j`uu*1<,><lf$?7&7:flqq.4!11ocxz'31+;?air|!9:%:5kotv+0,1<lf$8'8;emvp-0.?2ndyy&8)69gkpr/0 =0hb{{(8+4?air|5:546j`uu>24;><lf0<?18:flqq:6:720hb{{<01=<>bh}}6:8364dnww843902ndyy2>6?:8`jss48=546j`uu>2<;><lf0<717:flqq:6611ocxz321<;?air|58:255kotv?678?3me~x1<<>99gkpr;:=437iazt=06:==cg|~7>;07;emvp940611ocxz329<;?air|5822:5kotv?6;><lf0>>1a:flqq:493:546j`uu>05;1<lf0>08;emvp929?2ndyy2:>69gkpr;>7=0hb{{<6<4?air|525;6j`uu>::==bl`l9bjj:;dosp|4a3ocmcRijndpbpjtX~=U8 -Vflhl{$FIUM)Mnbh|ntnp#55(6i2lbjbQ|p^476==aaoeT}Q94-djbjY`mgoymya}_w6\7)HHFL&ECCK<b59emciX{yU=8!hffn]dakcui}eyS{:P3-y`[mgtW`dSnbd=1.`[mgtWzxxx0>#c^pg[ctby4;'oRfns^pg[agsiVidycz20-a\`lhX`nd08;,b]kevYpzVnjxlQlotlw95*dWog`Rhcafq\vvrX~hf6=!mPilroahci|h~bccQ{yqg>6)eX}zoTi`ljdegg[wc`59&hSz|Pfsgr94*dW|ynSiazt^ppp86+kVehh|ilnu\hjq:8%iTdl}Powgqbiip59&hS}|jlncg[igsmgir1="l_emvpZqnl}b6??"l_lqdkkYqie7: nQ}d^fftqn:998? nQgar]vjacunee|1="l_icp[djjgz~Tjxbc=1.`[mgtW{y1<"l_ecweZeh}g~T{dj{h<;/gZnf{V}ySlmd=1.`[pubWlih1="l_bmnijhXkeehg0>#c^uq[delWee|1="l_sf\tkruW~coxe3>0-a\qvcXn~lSio{a^alqkr:8%iTdl}PamolwqYbey~r1="l_sf\`drfWje~byQxievk946+kVe}ihcov]okr;7$jU|~R~ats]tmaro50&hS}|`g^gntqX|pzn1<"l_vp\`drfWje~byQxievk9<*dWyxn`bok_sgdw87+kVndyyQ}su]uei;7$jUcm~Qkauc\gjsi|4:'oR~}of]eqijX|axneQaefcwaZpfd49?5!mPws]gauro5<;9 nQrne\ahvsqV~c~h}g_ogdeqcX~hf6==?#c^rqkbYbey~rSyf}erj\evubz}U}ma3>01.`[mgtWkgei3?,b]svjaXn|fgSyf}erj\evubz}U}ma3<51.`khvhfldScobe<djbjYtxV<? nQyamkg[iip59&hS}|fm^djbjYsqyo69<<w73.`[uthoVl~`aQ{yqg>5)eXelgd~tQ{yqg>5){5<2lbjbQ|p^47[coagVmnbh|ntnp\r1Y4WqyS<:4ftno3>oi|Vigg55agb`vmib?3f|n~kb`w`9svjaXmdzu<:4psmd[`kw|pUdk|h)2*51=wzfmTi`~{y^vkv`uo 8#:86~}of]fiur~W}byi~f'2(37?uthoVof|ywPtipfwm.4!8>0|ah_dosp|Ys`{oxd%:&159svjaXmdzuRzgrdqk,0/6<2zycjQjmqvz[qnumzb#:$?;;qplcZcjx}sTxe|jsi*4-42<x{elShctx]wlwct`!2"=95rne\ahvsqV~c~h}g(8+22>vugnUna}zv_ujqavn;13:5=o5rne\ahvsqV~c~h}g_`qpawr/8 ;i7}|`g^gntqX|axneQnsrgqp-7.9k1{~biPelrw}ZrozlycSl}|esv+6,7e3yxdkRkbpu{\pmtb{aUj~k}t)1*5g=wzfmTi`~{y^vkv`uoWhyxiz'4(3a?uthoVof|ywPtipfwmYf{zoyx%;&1c9svjaXmdzuRzgrdqk[dutm{~#:$?m;qplcZcjx}sTxe|jsi]bwvcu|!="=o5rne\ahvsqV~c~h}g_`qpawr/0 ;i7}|`g^gntqX|axneQnsrgqp-?.9m1{~biPelrw}ZrozlycSl}|esv?=?699k1{~biPelrw}ZrozlycSckhaug+4,7e3yxdkRkbpu{\pmtb{aUeijo{e)3*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnki%=&1c9svjaXmdzuRzgrdqk[kc`i}o#8$?m;qplcZcjx}sTxe|jsi]mabgsm!?"=o5rne\ahvsqV~c~h}g_ogdeqc/> ;i7}|`g^gntqX|axneQaefcwa-1.9k1{~biPelrw}ZrozlycSckhaug+<,7e3yxdkRkbpu{\pmtb{aUeijo{e);*5a=wzfmTi`~{y^vkv`uoWgolmyk39;2==>vugnUmyab>3:rqkbYa}efTxe|jsi*3-45<x{elSk{cl^vkv`uo 8#:?6~}of]eqijX|axne&=)018twi`Wog`Rzgrdqk,6/6;2zycjQiumn\pmtb{a"?%<=4psmd[cskdV~c~h}g(4+27>vugnUmyabPtipfwm.1!890|ah_gwohZrozlyc$:'>3:rqkbYa}efTxe|jsi*;-43<x{elSk{cl^vkv`uo410;2<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0c8twi`Wog`Rzgrdqk[dutm{~#8$?n;qplcZ`rdeUdk|h^cpw`ts <#:m6~}of]eqijX|axneQnsrgqp-0.9h1{~biPftno[qnumzbTm~}jru*4-4g<x{elSk{cl^vkv`uoWhyxiz'8(3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[kc`i}o#<$?n;qplcZ`rdeUdk|h^lfcdrb 8#:m6~}of]eqijX|axneQaefcwa-4.9h1{~biPftno[qnumzbTbhintd*0-4g<x{elSk{cl^vkv`uoWgolmyk'4(3b?uthoVl~`aQ{hsgplZhboh~n$8'>a:rqkbYa}efTxe|jsi]mabgsm!<"=l5rne\bpjkW}byi~fPndebp`.0!8k0|ah_gwohZrozlycSckhaug+<,7d3yxdkRhzlm]wlwct`Vdnklzj<983:3=ulVigg<>4re]geqgXkfex%>&119q`Zbf|hUhcx`{(0+24>tcWmkmRm`uov+6,773{nThlzn_bmvjq.4!8:0~iQkauc\gjsi|!>"==5}d^fbpdYdg|d$8'>0:pg[agsiVidycz'6(33?wbXlh~jSnaznu*4-46<zmUomyoPcnwmp->.991yhRjnt`]`kphs 0#:<6|k_ecweZeh}g~7<3?=;sf\`drfWje~by26:1<6?wbXmg<0~iQ}su18vvr03zhbxh|}4:qqwq713}g{#Rmh/gkekZuwW?>%bja;;upva<=rfmoyjaax5:tbhlb13~xTmne9;vp\gim682}ySio{a^alqkr/8 ;;7z|Pd`vb[firf}":%<>4ws]geqgXkfex%<&119tvZbf|hUhcx`{(2+24>quWmkmRm`uov+0,773~xThlzn_bmvjq.2!8:0{Qkauc\gjsi|!<"==5xr^fbpdYdg|d$:'>0:uq[agsiVidycz'8(31?rtXlh~jSnaznu>;>5823~xTic84ws]qwq{GHyo>96NOx4a2>C<328qX9:4:6284>455>>836>??d2ym0=`=92d?5=4;;%6;`?2??2wX984:6284>455>>836>??d29P566==?i1<7?<2751<?568m90_8;557a94?74:?=947=>0e78`001290:6<u\568626<02899::<7:233`6=q\8h?6=4>:082b=}T=>0>:>48:011224?2:;;h>5+4939567<^=2n6?uz12c95>s6;k0;7p*>ab82=>d2>?0;6;753;4:M20n2P?m7<t1782b?{#9k319;84$5:`>0023f?2<7>5;h7ag?6=3f?=i7>5;h7g5?6=3f?=m7>5;n750?6=3`?h:7>5;h7aa?6=3f?8n7>5$0cf>03f3g;jh7>4;n70e?6=,8kn68;n;o3b`?7<3f?847>5$0cf>03f3g;jh7<4;n703?6=,8kn68;n;o3b`?5<3f?8:7>5$0cf>03f3g;jh7:4;n701?6=,8kn68;n;o3b`?3<3f?887>5$0cf>03f3g;jh784;n707?6=,8kn68;n;o3b`?1<3f?8>7>5$0cf>03f3g;jh764;n705?6=,8kn68;n;o3b`??<3f?8<7>5$0cf>03f3g;jh7o4;n71b?6=,8kn68;n;o3b`?d<3f?9h7>5$0cf>03f3g;jh7m4;n71g?6=,8kn68;n;o3b`?b<3f?9n7>5$0cf>03f3g;jh7k4;n71e?6=,8kn68;n;o3b`?`<3f?957>5$0cf>03f3g;jh7??;:m66=<72-;ji7;:a:l2ea<6921d9?950;&2e`<2=h1e=lj51398k041290/=lk554c8j4gc28907b;=5;29 4gb2<?j7c?nd;37?>i2:=0;6)?ne;76e>h6im0:965`55394?"6il0>9l5a1`f953=<g<>;6=4+1`g910g<f8ko6<94;n70b?6=,8kn68;n;o3b`?7?32e>?h4?:%3ba?32i2d:mi4>9:9l16b=83.:mh4:5`9m5db=9h10c8=l:18'5dc==<k0b<ok:0`8?j3413:1(<oj:47b?k7fl3;h76a:2d83>!7fm3?>m6`>ae82`>=h=;91<7*>ad861d=i9hn1=h54o401>5<#9ho198o4n0cg>4`<3`>oh7>5$0cf>07d3g;jh7>4;h6gg?6=,8kn68?l;o3b`?7<3`>on7>5$0cf>07d3g;jh7<4;h6ge?6=,8kn68?l;o3b`?5<3`>o47>5$0cf>07d3g;jh7:4;h6g3?6=,8kn68?l;o3b`?3<3`>o:7>5$0cf>07d3g;jh784;h6g1?6=,8kn68?l;o3b`?1<3`>o87>5$0cf>07d3g;jh764;h6g7?6=,8kn68?l;o3b`??<3`>o>7>5$0cf>07d3g;jh7o4;h6g5?6=,8kn68?l;o3b`?d<3`>o<7>5$0cf>07d3g;jh7m4;h6`b?6=,8kn68?l;o3b`?b<3`>hh7>5$0cf>07d3g;jh7k4;h6`g?6=,8kn68?l;o3b`?`<3`>hn7>5$0cf>07d3g;jh7??;:k7gd<72-;ji7;>c:l2ea<6921b8n750;&2e`<29j1e=lj51398m1e?290/=lk550a8j4gc28907d:l7;29 4gb2<;h7c?nd;37?>o3k?0;6)?ne;72g>h6im0:965f4b794?"6il0>=n5a1`f953=<a=i?6=4+1`g914e<f8ko6<94;h6`6?6=,8kn68?l;o3b`?7?32c?o<4?:%3ba?36k2d:mi4>9:9j0f6=83.:mh4:1b9m5db=9h10e9li:18'5dc==8i0b<ok:0`8?l2em3:1(<oj:43`?k7fl3;h76g;be83>!7fm3?:o6`>ae82`>=n<ki1<7*>ad865f=i9hn1=h54i5`a>5<#9ho19<m4n0cg>4`<3`>im7>5$0cf>07d3g;jh7<?;:k7f<<72-;ji7;>c:l2ea<5921b8o950;&2e`<29j1e=lj52398m1d1290/=lk550a8j4gc2;907d:m5;29 4gb2<;h7c?nd;07?>o3j=0;6)?ne;72g>h6im09965f4c194?"6il0>=n5a1`f963=<a=h96=4+1`g914e<f8ko6?94;h6a5?6=,8kn68?l;o3b`?4?32c?n=4?:%3ba?36k2d:mi4=9:9j0d`=83.:mh4:1b9m5db=:h10e9oj:18'5dc==8i0b<ok:3`8?l2fk3:1(<oj:43`?k7fl38h76g;ac83>!7fm3?:o6`>ae81`>=n<hk1<7*>ad865f=i9hn1>h54i5c:>5<#9ho19<m4n0cg>7`<3`>j47>5$0cf>07d3g;jh7=?;:k7e2<72-;ji7;>c:l2ea<4921b8l850;&2e`<29j1e=lj53398m1g2290/=lk550a8j4gc2:907d:n4;29 4gb2<;h7c?nd;17?>o3i:0;6)?ne;72g>h6im08965f4d294?"6il0>=n5a1`f973=<a=nm6=4+1`g914e<f8ko6>94;h6ga?6=,8kn68?l;o3b`?5?32c?h44?:%3ba?36k2d:mi4<9:9j0fc=83.:mh4:1b9m5db=;h10e9m<:18'5dc==8i0b<ok:2`8?l2e03:1(<oj:43`?k7fl39h76g;ae83>!7fm3?:o6`>ae80`>=n<h81<7*>ad865f=i9hn1?h54i5c2>5<#9ho19<m4n0cg>6`<3`?jj7>5;c6;4?6=93:1<vF;7g9'5g?=<1:0c<om:188ygbe290:6=4?{I64b>"6j00on6aka;29?xd313:1oi4>9482b=}O<>l0V9o517y:>7b=k3k1?=4=c;35>4`=:l09j7l52g804?712j0j6<h52d81`?4d2k026p*>b8862<=#9;n1h45+518622=#=?0>:55+1`495dg<g=3:6=44i4`g>5<<a<=36=4+1`g912?<f8ko6=54i454>5<#9ho19:74n0cg>4=<a<==6=4+1`g912?<f8ko6?54i456>5<#9ho19:74n0cg>6=<a<=?6=4+1`g912?<f8ko6954i450>5<#9ho19:74n0cg>0=<a<=96=4+1`g912?<f8ko6;54i452>5<#9ho19:74n0cg>2=<a<=;6=4+1`g912?<f8ko6554i44e>5<#9ho19:74n0cg><=<g<3;6=44i4a3>5<<g<i?6=44i4c0>5<#9ho19l:4n0cg>5=<a<k96=4+1`g91d2<f8ko6<54i4c2>5<#9ho19l:4n0cg>7=<a<k;6=4+1`g91d2<f8ko6>54i4;e>5<#9ho19l:4n0cg>1=<a<3n6=4+1`g91d2<f8ko6854i4;g>5<#9ho19l:4n0cg>3=<a<3h6=4+1`g91d2<f8ko6:54i4;a>5<#9ho19l:4n0cg>==<a<hh6=44o44g>5<<g<<n6=44i5;7>5<<g<h26=4+1`g91gd<f8ko6=54o4`;>5<#9ho19ol4n0cg>4=<g<h<6=4+1`g91gd<f8ko6?54o4`5>5<#9ho19ol4n0cg>6=<g<h>6=4+1`g91gd<f8ko6954o4`7>5<#9ho19ol4n0cg>0=<g<h86=4+1`g91gd<f8ko6;54o4`1>5<#9ho19ol4n0cg>2=<g<h:6=4+1`g91gd<f8ko6554o4`3>5<#9ho19ol4n0cg><=<a<286=4+1`g91=2<f8ko6=54i4:1>5<#9ho195:4n0cg>4=<a<2:6=4+1`g91=2<f8ko6?54i4:3>5<#9ho195:4n0cg>6=<a<=m6=4+1`g91=2<f8ko6954i45f>5<#9ho195:4n0cg>0=<a<=o6=4+1`g91=2<f8ko6;54i45`>5<#9ho195:4n0cg>2=<a<=i6=4+1`g91=2<f8ko6554i45b>5<#9ho195:4n0cg><=<a<n:6=44o4ae>5<#9ho19i>4n0cg>5=<g<in6=4+1`g91a6<f8ko6<54o4ag>5<#9ho19i>4n0cg>7=<g<ih6=4+1`g91a6<f8ko6>54o4aa>5<#9ho19i>4n0cg>1=<g<ij6=4+1`g91a6<f8ko6854o4a:>5<#9ho19i>4n0cg>3=<g<i36=4+1`g91a6<f8ko6:54o4a4>5<#9ho19i>4n0cg>==<g<<j6=44o447>5<<a<326=4+1`g91<g<f8ko6=54i4;;>5<#9ho194o4n0cg>4=<a<3<6=4+1`g91<g<f8ko6?54i4;5>5<#9ho194o4n0cg>6=<a<3>6=4+1`g91<g<f8ko6954i4;7>5<#9ho194o4n0cg>0=<a<386=4+1`g91<g<f8ko6;54i4;1>5<#9ho194o4n0cg>2=<a<3:6=4+1`g91<g<f8ko6554i4a5>5<<a<<i6=44i5;6>5<<a<n96=44o4`e>5<<g<i>6=44o4a0>5<<a<hn6=44o41a>5<#9ho198o4n0cg>5=<g<9j6=4+1`g910g<f8ko6<54o41;>5<#9ho198o4n0cg>7=<g<9<6=4+1`g910g<f8ko6>54o415>5<#9ho198o4n0cg>1=<g<9>6=4+1`g910g<f8ko6854o417>5<#9ho198o4n0cg>3=<g<986=4+1`g910g<f8ko6:54o411>5<#9ho198o4n0cg>==<g<9:6=4+1`g910g<f8ko6454o413>5<#9ho198o4n0cg>d=<g<8m6=4+1`g910g<f8ko6o54o40g>5<#9ho198o4n0cg>f=<g<8h6=4+1`g910g<f8ko6i54o40a>5<#9ho198o4n0cg>`=<g<8j6=4+1`g910g<f8ko6k54o40:>5<#9ho198o4n0cg>46<3f?947>5$0cf>03f3g;jh7?>;:m662<72-;ji7;:a:l2ea<6:21d9?850;&2e`<2=h1e=lj51298k042290/=lk554c8j4gc28>07b;=4;29 4gb2<?j7c?nd;36?>i2<80;6)?ne;76e>h6im0::65`55294?"6il0>9l5a1`f952=<g<9m6=4+1`g910g<f8ko6<64;n70a?6=,8kn68;n;o3b`?7>32e>?i4?:%3ba?32i2d:mi4>a:9l16e=83.:mh4:5`9m5db=9k10c8=6:18'5dc==<k0b<ok:0a8?j35m3:1(<oj:47b?k7fl3;o76a:2283>!7fm3?>m6`>ae82a>=h=;81<7*>ad861d=i9hn1=k54i5fg>5<#9ho19<m4n0cg>5=<a=nh6=4+1`g914e<f8ko6<54i5fa>5<#9ho19<m4n0cg>7=<a=nj6=4+1`g914e<f8ko6>54i5f;>5<#9ho19<m4n0cg>1=<a=n<6=4+1`g914e<f8ko6854i5f5>5<#9ho19<m4n0cg>3=<a=n>6=4+1`g914e<f8ko6:54i5f7>5<#9ho19<m4n0cg>==<a=n86=4+1`g914e<f8ko6454i5f1>5<#9ho19<m4n0cg>d=<a=n:6=4+1`g914e<f8ko6o54i5f3>5<#9ho19<m4n0cg>f=<a=im6=4+1`g914e<f8ko6i54i5ag>5<#9ho19<m4n0cg>`=<a=ih6=4+1`g914e<f8ko6k54i5aa>5<#9ho19<m4n0cg>46<3`>hm7>5$0cf>07d3g;jh7?>;:k7g<<72-;ji7;>c:l2ea<6:21b8n650;&2e`<29j1e=lj51298m1e0290/=lk550a8j4gc28>07d:l6;29 4gb2<;h7c?nd;36?>o3k<0;6)?ne;72g>h6im0::65f4b694?"6il0>=n5a1`f952=<a=i96=4+1`g914e<f8ko6<64;h6`5?6=,8kn68?l;o3b`?7>32c?o=4?:%3ba?36k2d:mi4>a:9j0g`=83.:mh4:1b9m5db=9k10e9lj:18'5dc==8i0b<ok:0a8?l2el3:1(<oj:43`?k7fl3;o76g;bb83>!7fm3?:o6`>ae82a>=n<kh1<7*>ad865f=i9hn1=k54i5`b>5<#9ho19<m4n0cg>76<3`>i57>5$0cf>07d3g;jh7<>;:k7f2<72-;ji7;>c:l2ea<5:21b8o850;&2e`<29j1e=lj52298m1d2290/=lk550a8j4gc2;>07d:m4;29 4gb2<;h7c?nd;06?>o3j:0;6)?ne;72g>h6im09:65f4c094?"6il0>=n5a1`f962=<a=h:6=4+1`g914e<f8ko6?64;h6a4?6=,8kn68?l;o3b`?4>32c?mk4?:%3ba?36k2d:mi4=a:9j0dc=83.:mh4:1b9m5db=:k10e9ol:18'5dc==8i0b<ok:3a8?l2fj3:1(<oj:43`?k7fl38o76g;a`83>!7fm3?:o6`>ae81a>=n<h31<7*>ad865f=i9hn1>k54i5c;>5<#9ho19<m4n0cg>66<3`>j;7>5$0cf>07d3g;jh7=>;:k7e3<72-;ji7;>c:l2ea<4:21b8l;50;&2e`<29j1e=lj53298m1g3290/=lk550a8j4gc2:>07d:n3;29 4gb2<;h7c?nd;16?>o3m90;6)?ne;72g>h6im08:65f4ed94?"6il0>=n5a1`f972=<a=nn6=4+1`g914e<f8ko6>64;h6g=?6=,8kn68?l;o3b`?5>32c?oh4?:%3ba?36k2d:mi4<a:9j0f5=83.:mh4:1b9m5db=;k10e9l7:18'5dc==8i0b<ok:2a8?l2fl3:1(<oj:43`?k7fl39o76g;a383>!7fm3?:o6`>ae80a>=n<h;1<7*>ad865f=i9hn1?k54o5;1>5<<a=386=44i4cg>5<#9ho19lk4n0cg>5=<a<kh6=4+1`g91dc<f8ko6<54i4ca>5<#9ho19lk4n0cg>7=<a<kj6=4+1`g91dc<f8ko6>54i4c:>5<#9ho19lk4n0cg>1=<a<k36=4+1`g91dc<f8ko6854i4c4>5<#9ho19lk4n0cg>3=<a<k=6=4+1`g91dc<f8ko6:54i4c6>5<#9ho19lk4n0cg>==<g=3n6=4+1`g90<`<f8ko6=54o5;g>5<#9ho184h4n0cg>4=<g=3h6=4+1`g90<`<f8ko6?54o5;a>5<#9ho184h4n0cg>6=<g=3j6=4+1`g90<`<f8ko6954o5;:>5<#9ho184h4n0cg>0=<g=336=4+1`g90<`<f8ko6;54o5;4>5<#9ho184h4n0cg>2=<g=3=6=4+1`g90<`<f8ko6554i4:f>5<#9ho195h4n0cg>5=<a<2o6=4+1`g91=`<f8ko6<54i4:`>5<#9ho195h4n0cg>7=<a<2i6=4+1`g91=`<f8ko6>54i4:b>5<#9ho195h4n0cg>1=<a<226=4+1`g91=`<f8ko6854i4:;>5<#9ho195h4n0cg>3=<a<2<6=4+1`g91=`<f8ko6:54i4:5>5<#9ho195h4n0cg>==<a<2>6=4+1`g91=`<f8ko6454o5c3>5<<a<km6=44b5:b>5<6290;w)?m9;fa?M2?12B?;k5`d`83>>{e<1h1<7?50;2x 4d>2=2;7E:79:J73c=h9hh1<75rb54f>5<4290;w)?m9;f2?M2?12B?;k5G469'a<<63`>i6=44i4:94?=h9k:1<75rb554>5<4290;w)?m9;f2?M2?12B?;k5G469'a<<63`>i6=44i4:94?=h9k:1<75rb54g>5<4290;w)?m9;f2?M2?12B?;k5G469'a<<63`>i6=44i4:94?=h9k:1<75rb556>5<2290;w)?m9;f5?M2?12B?;k5G469'a<<63`>i6=44i5d94?=n=10;66g>ag83>>i6j90;66sm46494?5=83:p(<l6:e38L1>>3A><j6F;7:&f=?7<a=h1<75f5983>>i6j90;66sm47a94?3=83:p(<l6:e48L1>>3A><j6F;7:&f=?7<a=h1<75f4g83>>o203:17d?nf;29?j7e83:17pl;7583>1<729q/=o75d49K0=?<@==m7)k6:09j0g<722c>47>5;h3bb?6=3f;i<7>5;|`736<72=0;6=u+1c;9`0=O<130D99i;%g:>4=n<k0;66g:8;29?l7fn3:17b?m0;29?xd3?m0;694?:1y'5g?=l<1C8574H55e?!c>281b8o4?::k6<?6=3`;jj7>5;n3a4?6=3th?;n4?:583>5}#9k31h85G49;8L11a3-o26<5f4c83>>o203:17d?nf;29?j7e83:17pl<0g83>1<729q/=o75d49K0=?<@==m7)k6:79j0g<722c>47>5;h3bb?6=3f;i<7>5;|`0g=<72=0;6=u+1c;9`0=O<130D99i;%g:>4=n<k0;66g:8;29?l7fn3:17b?m0;29?xd4k>0;694?:1y'5g?=l<1C8574H55e?!c>281b8o4?::k6<?6=3`;jj7>5;n3a4?6=3th9ik4?:583>5}#9k31=>74H5::?M20n2c>=7>5;h7:>5<<a8h96=44o0c:>5<<uk8ni7>54;294~"6j00:?45G49;8L11a3`?:6=44i4;94?=n9k81<75`1`;94?=zj;oo6=4;:183!7e13;856F;889K02`<a<;1<75f5883>>o6j;0;66a>a883>>{e:li1<7:50;2x 4d>28927E:79:J73c=n=80;66g:9;29?l7e:3:17b?n9;29?xd5mk0;694?:1y'5g?=9:30D966;I64b>o293:17d;6:188m4d52900c<o6:188yg57:3:187>50z&2f<<6;01C8574H55e?l362900e8750;9j5g4=831d=l750;9~f666290?6=4?{%3a=?7412B?445G46d8m07=831b944?::k2f7<722e:m44?::a756=83>1<7>t$0`:>45>3A>356F;7g9j14<722c>57>5;h3a6?6=3f;j57>5;|`1bc<72=0;6=u+1c;956?<@=227E:8f:k65?6=3`?26=44i0`1>5<<g8k26=44}c0ea?6=<3:1<v*>b8827<=O<130D99i;h72>5<<a<31<75f1c094?=h9h31<75rb2c4>5<3290;w)?m9;30=>N3001C8:h4i4394?=n=00;66g>b383>>i6i00;66sm3`494?2=83:p(<l6:01:?M2?12B?;k5f5083>>o213:17d?m2;29?j7f13:17pl<a483>1<729q/=o7512;8L1>>3A><j6g:1;29?l3>2900e<l=:188k4g>2900qo=n4;290?6=8r.:n44>389K0=?<@==m7d;>:188m0?=831b=o<50;9l5d?=831vn>o<:187>5<7s-;i57?<9:J7<<=O<>l0e8?50;9j1<<722c:n?4?::m2e<<722wi?oo50;694?6|,8h26<=6;I6;=>N3?o1b9<4?::k6=?6=3`;i>7>5;n3b=?6=3th8n44?:583>5}#9k31=>74H5::?M20n2c>=7>5;h7:>5<<a8h96=44o0c:>5<<uk9i47>54;294~"6j00:?45G49;8L11a3`?:6=44i4;94?=n9k81<75`1`;94?=zj:h<6=4;:183!7e13;856F;889K02`<a<;1<75f5883>>o6j;0;66a>a883>>{e;k<1<7:50;2x 4d>28927E:79:J73c=n=80;66g:9;29?l7e:3:17b?n9;29?xd6lj0;694?:1y'5g?=l;1C8574H55e?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk;on7>54;294~"6j00o>6F;889K02`<,l31=6g;b;29?l332900e8650;9l5g6=831vn<jn:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm1e;94?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`2`=<72=0;6=u+1c;9`7=O<130D99i;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg7c?3:187>50z&2f<<c:2B?445G46d8 `?=92c?n7>5;h77>5<<a<21<75`1c294?=zj8n=6=4;:183!7e13n97E:79:J73c=#m00:7d:m:188m02=831b954?::m2f5<722wi=i;50;694?6|,8h26i<4H5::?M20n2.n57?4i5`94?=n==0;66g:8;29?j7e83:17pl>d583>1<729q/=o75d39K0=?<@==m7)k6:09j0g<722c>87>5;h7;>5<<g8h;6=44}c077?6=<3:1<v*>b88g6>N3001C8:h4$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f725290?6=4?{%3a=?b53A>356F;7g9'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:=;1<7:50;2x 4d>2m80D966;I64b>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th98=4?:583>5}#9k31h?5G49;8L11a3-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<<f;290?6=8r.:n44k2:J7<<=O<>l0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb31f>5<3290;w)?m9;f1?M2?12B?;k5+e882?l2e2900e8:50;9j1=<722e:n=4?::a66b=83>1<7>t$0`:>a4<@=227E:8f:&f=?7<a=h1<75f5583>>o203:17b?m0;29?xd5;j0;694?:1y'5g?=l;1C8574H55e?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk88n7>54;294~"6j00o>6F;889K02`<,l31=6g;b;29?l332900e8650;9l5g6=831vn?6m:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm29c94?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`1<<<72=0;6=u+1c;9`7=O<130D99i;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg4?03:187>50z&2f<<c:2B?445G46d8 `?=92c?n7>5;h77>5<<a<21<75`1c294?=zj;2<6=4;:183!7e13n97E:79:J73c=#m00:7d:m:188m02=831b954?::m2f5<722wi>5850;694?6|,8h26i<4H5::?M20n2.n57?4i5`94?=n==0;66g:8;29?j7e83:17pl=8483>1<729q/=o75d39K0=?<@==m7)k6:09j0g<722c>87>5;h7;>5<<g8h;6=44}c0;0?6=<3:1<v*>b88g6>N3001C8:h4$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f7>4290?6=4?{%3a=?b53A>356F;7g9'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:;21<7:50;2x 4d>2m80D966;I64b>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th9>:4?:583>5}#9k31h?5G49;8L11a3-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<=6;290?6=8r.:n44k2:J7<<=O<>l0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb306>5<3290;w)?m9;f1?M2?12B?;k5+e882?l2e2900e8:50;9j1=<722e:n=4?::a672=83>1<7>t$0`:>a4<@=227E:8f:&f=?7<a=h1<75f5583>>o203:17b?m0;29?xd5::0;694?:1y'5g?=l;1C8574H55e?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk89>7>54;294~"6j00o>6F;889K02`<,l31=6g;b;29?l332900e8650;9l5g6=831vn?<>:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm23294?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`13<<72=0;6=u+1c;9`7=O<130D99i;I64?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk8<47>54;294~"6j00o>6F;889K02`<@==0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb354>5<3290;w)?m9;f1?M2?12B?;k5G469'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:><1<7:50;2x 4d>2m80D966;I64b>N3?2.n57?4i5`94?=n==0;66g:8;29?j7e83:17pl=7483>1<729q/=o75d39K0=?<@==m7E:8;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg40<3:187>50z&2f<<c:2B?445G46d8L11<,l31=6g;b;29?l332900e8650;9l5g6=831vn?9<:187>5<7s-;i57j=;I6;=>N3?o1C8:5+e882?l2e2900e8:50;9j1=<722e:n=4?::a624=83>1<7>t$0`:>a4<@=227E:8f:J73>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th9;<4?:583>5}#9k31h?5G49;8L11a3A><7)k6:09j0g<722c>87>5;h7;>5<<g8h;6=44}c022?6=<3:1<v*>b88g6>N3001C8:h4H558 `?=92c?n7>5;h77>5<<a<21<75`1c294?=zj;;>6=4;:183!7e13n97E:79:J73c=O<>1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm20694?2=83:p(<l6:e08L1>>3A><j6F;7:&f=?7<a=h1<75f5583>>o203:17b?m0;29?xd59:0;694?:1y'5g?=l;1C8574H55e?M203-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<>2;290?6=8r.:n44k2:J7<<=O<>l0D994$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f776290?6=4?{%3a=?b53A>356F;7g9K02=#m00:7d:m:188m02=831b954?::m2f5<722wi><>50;694?6|,8h26i<4H5::?M20n2B?;6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`14c<72=0;6=u+1c;9`7=O<130D99i;I64?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk8;i7>54;294~"6j00o>6F;889K02`<@==0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb32g>5<3290;w)?m9;f1?M2?12B?;k5G469'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:981<7:50;2x 4d>2m80D966;I64b>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th9<<4?:583>5}#9k31h?5G49;8L11a3-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<?0;290?6=8r.:n44k2:J7<<=O<>l0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb0de>5<3290;w)?m9;f1?M2?12B?;k5+e882?l2e2900e8:50;9j1=<722e:n=4?::a5cc=83>1<7>t$0`:>a4<@=227E:8f:&f=?7<a=h1<75f5583>>o203:17b?m0;29?xd6nm0;694?:1y'5g?=l;1C8574H55e?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk;mo7>54;294~"6j00o>6F;889K02`<,l31=6g;b;29?l332900e8650;9l5g6=831vn<hm:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm1gc94?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`2b<<72=0;6=u+1c;9`7=O<130D99i;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg41?3:187>50z&2f<<c:2B?445G46d8 `?=92c?n7>5;h77>5<<a<21<75`1c294?=zj;<=6=4;:183!7e13n97E:79:J73c=#m00:7d:m:188m02=831b954?::m2f5<722wi>;;50;694?6|,8h26i<4H5::?M20n2.n57?4i5`94?=n==0;66g:8;29?j7e83:17pl=6583>1<729q/=o75d39K0=?<@==m7)k6:09j0g<722c>87>5;h7;>5<<g8h;6=44}c057?6=<3:1<v*>b88g6>N3001C8:h4$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f705290?6=4?{%3a=?b53A>356F;7g9'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:?;1<7:50;2x 4d>2m80D966;I64b>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th9:=4?:583>5}#9k31h?5G49;8L11a3-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<:f;290?6=8r.:n44k2:J7<<=O<>l0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb26`>5<2290;w)?m9;f0?M2?12B?;k5+e882?l2e2900e9h50;9j11<722c>47>5;n3a4?6=3th88o4?:483>5}#9k31h>5G49;8L11a3-o26<5f4c83>>o3n3:17d;;:188m0>=831d=o>50;9~f62>290>6=4?{%3a=?b43A>356F;7g9'a<<63`>i6=44i5d94?=n==0;66g:8;29?j7e83:17pl<4983>0<729q/=o75d29K0=?<@==m7)k6:09j0g<722c?j7>5;h77>5<<a<21<75`1c294?=zj:>j6=4::183!7e13n87E:79:J73c=#m00:7d:m:188m1`=831b994?::k6<?6=3f;i<7>5;|`002<72<0;6=u+1c;9`6=O<130D99i;%g:>4=n<k0;66g;f;29?l332900e8650;9l5g6=831vn>:9:186>5<7s-;i57j<;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5583>>o203:17b?m0;29?xd4<=0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb260>5<2290;w)?m9;f5?M2?12B?;k5+e885?l2e2900e9h50;9j1=<722c:mk4?::m2f5<722wi?9;50;794?6|,8h26i=4H5::?M20n2.n57?4i5`94?=n<o0;66g:4;29?l3?2900c<l?:188yg54?3:1?7>50z&2f<<3011C8574H55e?l362900e<<j:188k4g>2900qo=<6;297?6=8r.:n44;899K0=?<@==m7d;>:188m44b2900c<o6:188yg55k3:187>50z&2f<<6;01C8574H55e?l362900e8750;9j5g4=831d=l750;9~f65229086=4?{%3a=?2?02B?445G46d8m07=831b=?k50;9l5d?=831vn><n:187>5<7s-;i57?<9:J7<<=O<>l0e8?50;9j1<<722c:n?4?::m2e<<722wi?>:50;194?6|,8h26967;I6;=>N3?o1b9<4?::k26`<722e:m44?::a77>=83>1<7>t$0`:>45>3A>356F;7g9j14<722c>57>5;h3a6?6=3f;j57>5;|`076<72:0;6=u+1c;90=><@=227E:8f:k65?6=3`;9i7>5;n3b=?6=3th8>;4?:583>5}#9k31=>74H5::?M20n2c>=7>5;h7:>5<<a8h96=44o0c:>5<<uk98>7>53;294~"6j00?455G49;8L11a3`?:6=44i00f>5<<g8k26=44}c110?6=<3:1<v*>b8827<=O<130D99i;h72>5<<a<31<75f1c094?=h9h31<75rb212>5<4290;w)?m9;6;<>N3001C8:h4i4394?=n9;o1<75`1`;94?=zj:896=4;:183!7e13;856F;889K02`<a<;1<75f5883>>o6j;0;66a>a883>>{e;::1<7=50;2x 4d>2=237E:79:J73c=n=80;66g>2d83>>i6i00;66sm33294?2=83:p(<l6:01:?M2?12B?;k5f5083>>o213:17d?m2;29?j7f13:17pl<2g83>6<729q/=o7549:8L1>>3A><j6g:1;29?l75m3:17b?n9;29?xd49l0;694?:1y'5g?=9:30D966;I64b>o293:17d;6:188m4d52900c<o6:188yg55m3:1?7>50z&2f<<3011C8574H55e?l362900e<<j:188k4g>2900qo=>c;290?6=8r.:n44>389K0=?<@==m7d;>:188m0?=831b=o<50;9l5d?=831vn>8?:186>5<7s-;i57j<;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5583>>o203:17b?m0;29?xd4=o0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb27f>5<2290;w)?m9;f0?M2?12B?;k5+e882?l2e2900e9h50;9j11<722c>47>5;n3a4?6=3th89i4?:483>5}#9k31h>5G49;8L11a3-o26<5f4c83>>o3n3:17d;;:188m0>=831d=o>50;9~f63d290>6=4?{%3a=?b43A>356F;7g9'a<<63`>i6=44i5d94?=n==0;66g:8;29?j7e83:17pl<5c83>0<729q/=o75d29K0=?<@==m7)k6:09j0g<722c?j7>5;h77>5<<a<21<75`1c294?=zj:?j6=4::183!7e13n87E:79:J73c=#m00:7d:m:188m1`=831b994?::k6<?6=3f;i<7>5;|`01<<72<0;6=u+1c;9`6=O<130D99i;%g:>4=n<k0;66g;f;29?l332900e8650;9l5g6=831vn>;7:186>5<7s-;i57j<;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5583>>o203:17b?m0;29?xd4=>0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb3`0>5<4290;w)?m9;6;<>N3001C8:h4i4394?=n9;o1<75`1`;94?=zj;hh6=4<:183!7e13>346F;889K02`<a<;1<75f13g94?=h9h31<75rb3c:>5<3290;w)?m9;30=>N3001C8:h4i4394?=n=00;66g>b383>>i6i00;66sm2c`94?5=83:p(<l6:5:;?M2?12B?;k5f5083>>o6:l0;66a>a883>>{e:h21<7:50;2x 4d>28927E:79:J73c=n=80;66g:9;29?l7e:3:17b?n9;29?xd5jh0;6>4?:1y'5g?=<120D966;I64b>o293:17d?=e;29?j7f13:17pl=a683>1<729q/=o7512;8L1>>3A><j6g:1;29?l3>2900e<l=:188k4g>2900qo<m9;297?6=8r.:n44;899K0=?<@==m7d;>:188m44b2900c<o6:188yg4f>3:187>50z&2f<<6;01C8574H55e?l362900e8750;9j5g4=831d=l750;9~f7d?29086=4?{%3a=?2?02B?445G46d8m07=831b=?k50;9l5d?=831vn?o::187>5<7s-;i57?<9:J7<<=O<>l0e8?50;9j1<<722c:n?4?::m2e<<722wi>o950;194?6|,8h26967;I6;=>N3?o1b9<4?::k26`<722e:m44?::a6d2=83>1<7>t$0`:>45>3A>356F;7g9j14<722c>57>5;h3a6?6=3f;j57>5;|`1f3<72:0;6=u+1c;90=><@=227E:8f:k65?6=3`;9i7>5;n3b=?6=3th9m>4?:583>5}#9k31=>74H5::?M20n2c>=7>5;h7:>5<<a8h96=44o0c:>5<<uk8i97>53;294~"6j00?455G49;8L11a3`?:6=44i00f>5<<g8k26=44}c0b6?6=<3:1<v*>b8827<=O<130D99i;h72>5<<a<31<75f1c094?=h9h31<75rb3`7>5<4290;w)?m9;6;<>N3001C8:h4i4394?=n9;o1<75`1`;94?=zj;k:6=4;:183!7e13;856F;889K02`<a<;1<75f5883>>o6j;0;66a>a883>>{e:h:1<7:50;2x 4d>28927E:79:J73c=n=80;66g:9;29?l7e:3:17b?n9;29?xd5lo0;684?:1y'5g?=l?1C8574H55e?!c>2?1b8o4?::k7b?6=3`?36=44i0ce>5<<g8h;6=44}c0`g?6=<3:1<v*>b88g6>N3001C8:h4$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f7b3290?6=4?{%3a=?b53A>356F;7g9'a<<63`>i6=44i4694?=n=10;66a>b183>>{e:m91<7:50;2x 4d>2m80D966;I64b>"b13;0e9l50;9j11<722c>47>5;n3a4?6=3th9h?4?:583>5}#9k31h?5G49;8L11a3-o26<5f4c83>>o2<3:17d;7:188k4d72900qo<k1;290?6=8r.:n44k2:J7<<=O<>l0(h751:k7f?6=3`??6=44i4:94?=h9k:1<75rb3f3>5<3290;w)?m9;f1?M2?12B?;k5+e882?l2e2900e8:50;9j1=<722e:n=4?::a6f`=83>1<7>t$0`:>a4<@=227E:8f:&f=?7<a=h1<75f5583>>o203:17b?m0;29?xd5kl0;694?:1y'5g?=l;1C8574H55e?!c>281b8o4?::k60?6=3`?36=44o0`3>5<<uk8hh7>54;294~"6j00o>6F;889K02`<,l31=6g;b;29?l332900e8650;9l5g6=831vn?mm:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm28;94?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`1=3<72=0;6=u+1c;9`7=O<130D99i;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg4>l3:187>50z&2f<<c=2B?445G46d8 `?=>2c?n7>5;h7;>5<<a8km6=44o0`3>5<<uk82o7>54;294~"6j00o96F;889K02`<,l31:6g;b;29?l3?2900e<oi:188k4d72900qo=8b;297?6=8r.:n44;899K0=?<@==m7d;>:188m44b2900c<o6:188yg50i3:1?7>50z&2f<<3011C8574H55e?l362900e<<j:188k4g>2900qo=99;290?6=8r.:n44>389K0=?<@==m7d;>:188m0?=831b=o<50;9l5d?=831vn>96:180>5<7s-;i57:78:J7<<=O<>l0e8?50;9j57c=831d=l750;9~f60?290?6=4?{%3a=?7412B?445G46d8m07=831b944?::k2f7<722e:m44?::a72>=8391<7>t$0`:>1>?3A>356F;7g9j14<722c:>h4?::m2e<<722wi?;950;694?6|,8h26<=6;I6;=>N3?o1b9<4?::k6=?6=3`;i>7>5;n3b=?6=3th8;:4?:283>5}#9k318564H5::?M20n2c>=7>5;h31a?6=3f;j57>5;|`023<72=0;6=u+1c;956?<@=227E:8f:k65?6=3`?26=44i0`1>5<<g8k26=44}c142?6=;3:1<v*>b887<==O<130D99i;h72>5<<a88n6=44o0c:>5<<uk9=97>54;294~"6j00:?45G49;8L11a3`?:6=44i4;94?=n9k81<75`1`;94?=zj:=>6=4<:183!7e13>346F;889K02`<a<;1<75f13g94?=h9h31<75rb247>5<3290;w)?m9;30=>N3001C8:h4i4394?=n=00;66g>b383>>i6i00;66sm36694?5=83:p(<l6:5:;?M2?12B?;k5f5083>>o6:l0;66a>a883>>{e;?91<7:50;2x 4d>28927E:79:J73c=n=80;66g:9;29?l7e:3:17b?n9;29?xd4?:0;6>4?:1y'5g?=<120D966;I64b>o293:17d?=e;29?j7f13:17pl<6383>1<729q/=o7512;8L1>>3A><j6g:1;29?l3>2900e<l=:188k4g>2900qo=91;290?6=8r.:n44>389K0=?<@==m7d;>:188m0?=831b=o<50;9l5d?=831vn>6k:187>5<7s-;i57j=;I6;=>N3?o1/i44>;h6a>5<<a<>1<75f5983>>i6j90;66sm39a94?2=83:p(<l6:e08L1>>3A><j6*j9;38m1d=831b994?::k6<?6=3f;i<7>5;|`0<g<72=0;6=u+1c;9`7=O<130D99i;%g:>4=n<k0;66g:4;29?l3?2900c<l?:188yg5?i3:187>50z&2f<<c:2B?445G46d8 `?=92c?n7>5;h77>5<<a<21<75`1c294?=zj:226=4;:183!7e13n97E:79:J73c=#m00:7d:m:188m02=831b954?::m2f5<722wi?5650;694?6|,8h26i<4H5::?M20n2.n57?4i5`94?=n==0;66g:8;29?j7e83:17pl<8683>1<729q/=o75d39K0=?<@==m7)k6:09j0g<722c>87>5;h7;>5<<g8h;6=44}c1;2?6=<3:1<v*>b88g6>N3001C8:h4$d;95>o3j3:17d;;:188m0>=831d=o>50;9~f6>2290?6=4?{%3a=?b53A>356F;7g9'a<<63`>i6=44i4694?=n=10;66a>b183>>{e;0o1<7:50;2x 4d>2m?0D966;I64b>"b13<0e9l50;9j1=<722c:mk4?::m2f5<722wi?4950;794?6|,8h26i84H5::?M20n2.n57?4i5`94?=n<o0;66g:8;29?l7fn3:17b?m0;29?xd38;0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb522>5<2290;w)?m9;f0?M2?12B?;k5+e882?l2e2900e9h50;9j11<722c>47>5;n3a4?6=3th?<=4?:483>5}#9k31h>5G49;8L11a3-o26<5f4c83>>o3n3:17d;;:188m0>=831d=o>50;9~f6`a290>6=4?{%3a=?b43A>356F;7g9'a<<63`>i6=44i5d94?=n==0;66g:8;29?j7e83:17pl<fd83>0<729q/=o75d29K0=?<@==m7)k6:09j0g<722c?j7>5;h77>5<<a<21<75`1c294?=zj:lo6=4::183!7e13n87E:79:J73c=#m00:7d:m:188m1`=831b994?::k6<?6=3f;i<7>5;|`0bg<72<0;6=u+1c;9`3=O<130D99i;%g:>3=n<k0;66g;f;29?l3?2900e<oi:188k4d72900qo=ia;291?6=8r.:n44k3:J7<<=O<>l0(h751:k7f?6=3`>m6=44i4694?=n=10;66a>b183>>{e;oi1<7;50;2x 4d>2m90D966;I64b>"b13;0e9l50;9j0c<722c>87>5;h7;>5<<g8h;6=44}c1fb?6=;3:1<v*>b887<==O<130D99i;h72>5<<a88n6=44o0c:>5<<uk9ni7>53;294~"6j00?455G49;8L11a3`?:6=44i00f>5<<g8k26=44}c1f1?6=<3:1<v*>b8827<=O<130D99i;h72>5<<a<31<75f1c094?=h9h31<75rb2gg>5<4290;w)?m9;6;<>N3001C8:h4i4394?=n9;o1<75`1`;94?=zj:o86=4;:183!7e13;856F;889K02`<a<;1<75f5883>>o6j;0;66a>a883>>{e;li1<7=50;2x 4d>2=237E:79:J73c=n=80;66g>2d83>>i6i00;66sm3d394?2=83:p(<l6:01:?M2?12B?;k5f5083>>o213:17d?m2;29?j7f13:17pl<ec83>6<729q/=o7549:8L1>>3A><j6g:1;29?l75m3:17b?n9;29?xd4lo0;694?:1y'5g?=9:30D966;I64b>o293:17d;6:188m4d52900c<o6:188yg5bi3:1?7>50z&2f<<3011C8574H55e?l362900e<<j:188k4g>2900qo=kd;290?6=8r.:n44>389K0=?<@==m7d;>:188m0?=831b=o<50;9l5d?=831vn>k6:180>5<7s-;i57:78:J7<<=O<>l0e8?50;9j57c=831d=l750;9~f6be290?6=4?{%3a=?7412B?445G46d8m07=831b944?::k2f7<722e:m44?::a7`>=8391<7>t$0`:>1>?3A>356F;7g9j14<722c:>h4?::m2e<<722wi?i750;694?6|,8h26<=6;I6;=>N3?o1b9<4?::k6=?6=3`;i>7>5;n3b=?6=3th8i:4?:283>5}#9k318564H5::?M20n2c>=7>5;h31a?6=3f;j57>5;|`0`2<72=0;6=u+1c;956?<@=227E:8f:k65?6=3`?26=44i0`1>5<<g8k26=44}c63a?6==3:1<v*>b88g7>N3001C8:h4$d;95>o3j3:17d:i:188m02=831b954?::m2f5<722wi8=m50;794?6|,8h26i84H5::?M20n2.n5784i5`94?=n<o0;66g:8;29?l7fn3:17b?m0;29?xd38m0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb52e>5<2290;w)?m9;f0?M2?12B?;k5+e882?l2e2900e9h50;9j11<722c>47>5;n3a4?6=3th?==4?:483>5}#9k31h>5G49;8L11a3-o26<5f4c83>>o3n3:17d;;:188m0>=831d=o>50;9~f176290>6=4?{%3a=?b43A>356F;7g9'a<<63`>i6=44i5d94?=n==0;66g:8;29?j7e83:17pl;1383>0<729q/=o75d29K0=?<@==m7)k6:09j0g<722c?j7>5;h77>5<<a<21<75`1c294?=zj=;86=4::183!7e13n87E:79:J73c=#m00:7d:m:188m1`=831b994?::k6<?6=3f;i<7>5;|`751<72<0;6=u+1c;9`6=O<130D99i;%g:>4=n<k0;66g;f;29?l332900e8650;9l5g6=831vn9<9:186>5<7s-;i57j<;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5583>>o203:17b?m0;29?xd3:<0;684?:1y'5g?=l:1C8574H55e?!c>281b8o4?::k7b?6=3`??6=44i4:94?=h9k:1<75rb507>5<2290;w)?m9;f0?M2?12B?;k5+e882?l2e2900e9h50;9j11<722c>47>5;n3a4?6=3th?>>4?:483>5}#9k31h>5G49;8L11a3-o26<5f4c83>>o3n3:17d;;:188m0>=831d=o>50;9~f145290>6=4?{%3a=?b43A>356F;7g9'a<<63`>i6=44i5d94?=n==0;66g:8;29?j7e83:17pl;2083>0<729q/=o75d29K0=?<@==m7)k6:09j0g<722c?j7>5;h77>5<<a<21<75`1c294?=zj=8;6=4::183!7e13n87E:79:J73c=#m00:7d:m:188m1`=831b994?::k6<?6=3f;i<7>5;|`75c<72<0;6=u+1c;9`6=O<130D99i;%g:>4=n<k0;66g;f;29?l332900e8650;9l5g6=831vn9?j:186>5<7s-;i57j<;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5583>>o203:17b?m0;29?xd3<90;694?:1y'5g?=l=1C8574H55e?!c>281b8o4?::k7b?6=3`?36=44o0`3>5<<uk>8j7>54;294~"6j00o86F;889K02`<,l31=6g;b;29?l2a2900e8650;9l5g6=831vn9=k:187>5<7s-;i57j;;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5983>>i6j90;66sm42a94?2=83:p(<l6:e68L1>>3A><j6*j9;38m1d=831b8k4?::k6<?6=3f;i<7>5;|`77g<72=0;6=u+1c;9`1=O<130D99i;%g:>4=n<k0;66g;f;29?l3?2900c<l?:188yg24i3:187>50z&2f<<c<2B?445G46d8 `?=92c?n7>5;h6e>5<<a<21<75`1c294?=zj=926=4;:183!7e13n?7E:79:J73c=#m00:7d:m:188m1`=831b954?::m2f5<722wi8>650;694?6|,8h26i:4H5::?M20n2.n57?4i5`94?=n<o0;66g:8;29?j7e83:17pl;3683>1<729q/=o75d59K0=?<@==m7)k6:09j0g<722c?j7>5;h7;>5<<g8h;6=44}c602?6=<3:1<v*>b88g0>N3001C8:h4$d;95>o3j3:17d:i:188m0>=831d=o>50;9~f152290?6=4?{%3a=?b33A>356F;7g9'a<<63`>i6=44i5d94?=n=10;66a>b183>>{e<:>1<7:50;2x 4d>2m>0D966;I64b>"b13;0e9l50;9j0c<722c>47>5;n3a4?6=3th???4?:583>5}#9k31h95G49;8L11a3-o26<5f4c83>>o3n3:17d;7:188k4d72900qo:<1;290?6=8r.:n44k4:J7<<=O<>l0(h751:k7f?6=3`>m6=44i4:94?=h9k:1<75rb513>5<3290;w)?m9;f7?M2?12B?;k5+e882?l2e2900e9h50;9j1=<722e:n=4?::a07`=83>1<7>t$0`:>a2<@=227E:8f:&f=?7<a=h1<75f4g83>>o203:17b?m0;29?xd3:l0;694?:1y'5g?=l=1C8574H55e?!c>281b8o4?::k7b?6=3`?36=44o0`3>5<<uk>9h7>54;294~"6j00o86F;889K02`<,l31=6g;b;29?l2a2900e8650;9l5g6=831vn9<l:187>5<7s-;i57j;;I6;=>N3?o1/i44>;h6a>5<<a=l1<75f5983>>i6j90;66sm43`94?2=83:p(<l6:e68L1>>3A><j6*j9;38m1d=831b8k4?::k6<?6=3f;i<7>5;|`76d<72=0;6=u+1c;9`1=O<130D99i;%g:>4=n<k0;66g;f;29?l3?2900c<l?:188yg2513:187>50z&2f<<c<2B?445G46d8 `?=92c?n7>5;h6e>5<<a<21<75`1c294?=zj=>=6=4;:183!7e13n?7E:79:J73c=#m00:7d:m:188m1`=831b954?::m2f5<722wi89;50;694?6|,8h26i:4H5::?M20n2.n57?4i5`94?=n<o0;66g:8;29?j7e83:17pl;4583>1<729q/=o75d59K0=?<@==m7)k6:09j0g<722c?j7>5;h7;>5<<g8h;6=44}c677?6=<3:1<v*>b88g0>N3001C8:h4$d;95>o3j3:17d:i:188m0>=831d=o>50;9~f125290?6=4?{%3a=?b33A>356F;7g9'a<<63`>i6=44i5d94?=n=10;66a>b183>>{e<=;1<7:50;2x 4d>2m>0D966;I64b>"b13;0e9l50;9j0c<722c>47>5;n3a4?6=3th??h4?:583>5}#9k31h95G49;8L11a3-o26<5f4c83>>o3n3:17d;7:188k4d72900qo:<3;290?6=8r.:n44k4:J7<<=O<>l0(h751:k7f?6=3`>m6=44i4:94?=h9k:1<75rb50;>5<3290;w)?m9;f7?M2?12B?;k5+e882?l2e2900e9h50;9j1=<722e:n=4?::a071=83>1<7>t$0`:>a2<@=227E:8f:&f=?7<a=h1<75f4g83>>o203:17b?m0;29?xd3?00;6>4?:1y'5g?=9:90D966;I64b>"b13;j7djk:188mac=831d=l750;9~f11729086=4?{%3a=?74;2B?445G46d8 `?=9h1bhi4?::kga?6=3f;j57>5;|`100<72:0;6=u+1c;9565<@=227E:8f:&f=?7b3`no6=44ieg94?=h9h31<75rb0ff>5<4290;w)?m9;307>N3001C8:h4$d;95`=nlm0;66gke;29?j7f13:17pl>c`83>6<729q/=o751218L1>>3A><j6*j9;3f?lbc2900eik50;9l5d?=831vn<m6:180>5<7s-;i57?<3:J7<<=O<>l0(h751d9j`a<722coi7>5;n3b=?6=3th:o54?:283>5}#9k31=>=4H5::?M20n2.n57?j;hfg>5<<amo1<75`1`;94?=zj8i<6=4<:183!7e13;8?6F;889K02`<,l31=h5fde83>>ocm3:17b?n9;29?xd6k?0;6>4?:1y'5g?=9:90D966;I64b>"b13;n7djk:188mac=831d=l750;9~f4e229086=4?{%3a=?74;2B?445G46d8 `?=9l1bhi4?::kga?6=3f;j57>5;|`2g1<72:0;6=u+1c;9565<@=227E:8f:&f=?7b3`no6=44ieg94?=h9h31<75rb0a0>5<4290;w)?m9;307>N3001C8:h4$d;95`=nlm0;66gke;29?j7f13:17pl>c383>6<729q/=o751218L1>>3A><j6*j9;3f?lbc2900eik50;9l5d?=831vn<m>:180>5<7s-;i57?<3:J7<<=O<>l0(h751d9j`a<722coi7>5;n3b=?6=3th:o=4?:283>5}#9k31=>=4H5::?M20n2.n57?j;hfg>5<<amo1<75`1`;94?=zj8hm6=4<:183!7e13;8?6F;889K02`<,l31=h5fde83>>ocm3:17b?n9;29?xd6jl0;6>4?:1y'5g?=9:90D966;I64b>"b13;n7djk:188mac=831d=l750;9~f4dc29086=4?{%3a=?74;2B?445G46d8 `?=9l1bhi4?::kga?6=3f;j57>5;|`2ff<72:0;6=u+1c;9565<@=227E:8f:&f=?7b3`no6=44ieg94?=h9h31<75rb0`a>5<4290;w)?m9;307>N3001C8:h4$d;95`=nlm0;66gke;29?j7f13:17pl>b`83>6<729q/=o751218L1>>3A><j6*j9;3f?lbc2900eik50;9l5d?=831vn?:8:187>5<7s-;i57?<4:J7<<=O<>l0(h75289j`a<722coi7>5;hfe>5<<g8k26=44}c3f4?6=<3:1<v*>b88271=O<130D99i;%g:>7?<amn1<75fdd83>>ocn3:17b?n9;29?xd5110;694?:1y'5g?=9:>0D966;I64b>"b13887djk:188mac=831bhk4?::m2e<<722wi>9750;794?6|,8h26<=9;I6;=>N3?o1/i44=1:kg`?6=3`nn6=44ied94?=nm90;66a>a883>>{e9l81<7;50;2x 4d>289=7E:79:J73c=#m009=6gkd;29?lbb2900eih50;9ja5<722e:m44?::a6<`=83?1<7>t$0`:>4513A>356F;7g9'a<<3l2coh7>5;hff>5<<aml1<75fe183>>i6i00;66sm28`94?3=83:p(<l6:015?M2?12B?;k5+e8816>ocl3:17djj:188ma`=831bi=4?::m2e<<722wi?4j50;194?6|,8h26<=<;I6;=>N3?o1/i44;8:kg`?6=3`nn6=44o0c:>5<<uk8297>54;294~"6j00:?95G49;8L11a3-o26<64ief94?=nll0;66gkf;29?j7f13:17pl=4c83>6<729q/=o751218L1>>3A><j6*j9;3f?lbc2900eik50;9l5d?=831vn<k;:180>5<7s-;i57?<3:J7<<=O<>l0(h751d9j`a<722coi7>5;n3b=?6=3th98i4?:583>5}#9k31=>:4H5::?M20n2.n57<6;hfg>5<<amo1<75fdg83>>i6i00;66sm1d494?2=83:p(<l6:017?M2?12B?;k5+e881=>ocl3:17djj:188ma`=831d=l750;9~f72a290>6=4?{%3a=?74>2B?445G46d8 `?=:81bhi4?::kga?6=3`nm6=44id294?=h9h31<75rb0g;>5<2290;w)?m9;302>N3001C8:h4$d;964=nlm0;66gke;29?lba2900eh>50;9l5d?=831vn?k>:180>5<7s-;i57?<3:J7<<=O<>l0(h75259j`a<722coi7>5;n3b=?6=3th8o94?:283>5}#9k31=>=4H5::?M20n2.n57<8;hfg>5<<amo1<75`1`;94?=zj:h:6=4<:183!7e13;8?6F;889K02`<,l31>:5fde83>>ocm3:17b?n9;29?xd48j0;684?:1y'5g?=9:<0D966;I64b>"b13837djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`1b<<72<0;6=u+1c;9560<@=227E:8f:&f=?423`no6=44ieg94?=nlo0;66gj0;29?j7f13:17pl<c283>0<729q/=o751248L1>>3A><j6*j9;0;?lbc2900eik50;9j`c<722cn<7>5;n3b=?6=3th8n=4?:483>5}#9k31=>84H5::?M20n2.n57<7;hfg>5<<amo1<75fdg83>>ob83:17b?n9;29?xd48k0;684?:1y'5g?=9:<0D966;I64b>"b13837djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`1b=<72<0;6=u+1c;9560<@=227E:8f:&f=?423`no6=44ieg94?=nlo0;66gj0;29?j7f13:17pl<c383>0<729q/=o751248L1>>3A><j6*j9;0;?lbc2900eik50;9j`c<722cn<7>5;n3b=?6=3th8mk4?:483>5}#9k31=>84H5::?M20n2.n57<7;hfg>5<<amo1<75fdg83>>ob83:17b?n9;29?xd48h0;684?:1y'5g?=9:<0D966;I64b>"b13837djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`1b2<72<0;6=u+1c;9560<@=227E:8f:&f=?423`no6=44ieg94?=nlo0;66gj0;29?j7f13:17pl<c083>0<729q/=o751248L1>>3A><j6*j9;0;?lbc2900eik50;9j`c<722cn<7>5;n3b=?6=3th8mh4?:483>5}#9k31=>84H5::?M20n2.n57<7;hfg>5<<amo1<75fdg83>>ob83:17b?n9;29?xd4800;684?:1y'5g?=9:<0D966;I64b>"b13837djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`1b3<72<0;6=u+1c;9560<@=227E:8f:&f=?423`no6=44ieg94?=nlo0;66gj0;29?j7f13:17pl<1083>1<729q/=o751268L1>>3A><j6*j9;af?lbc2900eik50;9j`c<722e:m44?::a75>=83>1<7>t$0`:>4533A>356F;7g9'a<<6j2coh7>5;hff>5<<aml1<75`1`;94?=zj;l>6=4;:183!7e13;886F;889K02`<,l31==5fde83>>ocm3:17dji:188k4g>2900qo=la;291?6=8r.:n44>379K0=?<@==m7)k6:e58mab=831bhh4?::kgb?6=3`o;6=44o0c:>5<<uk9h<7>55;294~"6j00:?;5G49;8L11a3-o26?64ief94?=nll0;66gkf;29?lc72900c<o6:188yg5fl3:197>50z&2f<<6;?1C8574H55e?!c>2;20eij50;9j``<722coj7>5;hg3>5<<g8k26=44}c066?6=;3:1<v*>b88276=O<130D99i;%g:>71<amn1<75fdd83>>i6i00;66sm1d`94?5=83:p(<l6:010?M2?12B?;k5+e8813>ocl3:17djj:188k4g>2900qo<:5;290?6=8r.:n44>359K0=?<@==m7)k6:328mab=831bhh4?::kgb?6=3f;j57>5;|`2a`<72=0;6=u+1c;9562<@=227E:8f:&f=?473`no6=44ieg94?=nlo0;66a>a883>>{e:<;1<7;50;2x 4d>289=7E:79:J73c=#m009m6gkd;29?lbb2900eih50;9ja5<722e:m44?::a5`g=83?1<7>t$0`:>4513A>356F;7g9'a<<5i2coh7>5;hff>5<<aml1<75fe183>>i6i00;66sm3b`94?5=83:p(<l6:010?M2?12B?;k5+e88e?lbc2900eik50;9l5d?=831vn?7;:186>5<7s-;i57?<6:J7<<=O<>l0(h75169j`a<722coi7>5;hfe>5<<al:1<75`1`;94?=zj:8o6=4=:183!7e13;8>6F;889K02`<,l31j6gkd;29?j7f13:17pl<2c83>7<729q/=o751208L1>>3A><j6*j9;d8mab=831d=l750;9~f64>29096=4?{%3a=?74:2B?445G46d8 `?=n2coh7>5;n3b=?6=3th8>:4?:383>5}#9k31=><4H5::?M20n2.n57h4ief94?=h9h31<75rb206>5<5290;w)?m9;306>N3001C8:h4$d;9b>ocl3:17b?n9;29?xd4::0;6?4?:1y'5g?=9:80D966;I64b>"b13l0eij50;9l5d?=831vn><>:181>5<7s-;i57?<2:J7<<=O<>l0(h75f:kg`?6=3f;j57>5;|`05c<72;0;6=u+1c;9564<@=227E:8f:&f=?`<amn1<75`1`;94?=zj:;o6=4=:183!7e13;8>6F;889K02`<,l31j6gkd;29?j7f13:17pl<e783>7<729q/=o751208L1>>3A><j6*j9;d8mab=831d=l750;9~f6c329096=4?{%3a=?74:2B?445G46d8 `?=n2coh7>5;n3b=?6=3th8i?4?:383>5}#9k31=><4H5::?M20n2.n57h4ief94?=h9h31<75rb2g3>5<5290;w)?m9;306>N3001C8:h4$d;9b>ocl3:17b?n9;29?xd4ll0;6?4?:1y'5g?=9:80D966;I64b>"b13l0eij50;9l5d?=831vn>jl:181>5<7s-;i57?<2:J7<<=O<>l0(h75f:kg`?6=3f;j57>5;|`0`d<72;0;6=u+1c;9564<@=227E:8f:&f=?`<amn1<75`1`;94?=zj:n36=4=:183!7e13;8>6F;889K02`<,l31j6gkd;29?j7f13:17pl<3983>7<729q/=o751208L1>>3A><j6*j9;d8mab=831d=l750;9~f6`729096=4?{%3a=?74:2B?445G46d8 `?=n2coh7>5;n3b=?6=3th9o=4?:483>5}#9k31=>84H5::?M20n2.n57:j;hfg>5<<amo1<75fdg83>>ob83:17b?n9;29?xd5ik0;6>4?:1y'5g?=9:90D966;I64b>"b138<7djk:188mac=831d=l750;9~f60f29086=4?{%3a=?74;2B?445G46d8 `?=:>1bhi4?::kga?6=3f;j57>5;|`1ef<72:0;6=u+1c;9565<@=227E:8f:&f=?403`no6=44ieg94?=h9h31<75rb24a>5<4290;w)?m9;307>N3001C8:h4$d;962=nlm0;66gke;29?j7f13:17pl=ae83>6<729q/=o751218L1>>3A><j6*j9;04?lbc2900eik50;9l5d?=831vn>8l:180>5<7s-;i57?<3:J7<<=O<>l0(h75269j`a<722coi7>5;n3b=?6=3th9mh4?:283>5}#9k31=>=4H5::?M20n2.n57<8;hfg>5<<amo1<75`1`;94?=zj:<o6=4<:183!7e13;8?6F;889K02`<,l31>:5fde83>>ocm3:17b?n9;29?xd5io0;6>4?:1y'5g?=9:90D966;I64b>"b138<7djk:188mac=831d=l750;9~f60b29086=4?{%3a=?74;2B?445G46d8 `?=:>1bhi4?::kga?6=3f;j57>5;|`1f5<72:0;6=u+1c;9565<@=227E:8f:&f=?403`no6=44ieg94?=h9h31<75rb24e>5<4290;w)?m9;307>N3001C8:h4$d;962=nlm0;66gke;29?j7f13:17pl=b083>6<729q/=o751218L1>>3A><j6*j9;04?lbc2900eik50;9l5d?=831vn>9?:180>5<7s-;i57?<3:J7<<=O<>l0(h75269j`a<722coi7>5;n3b=?6=3th9n?4?:283>5}#9k31=>=4H5::?M20n2.n57<8;hfg>5<<amo1<75`1`;94?=zj:=:6=4<:183!7e13;8?6F;889K02`<,l31>:5fde83>>ocm3:17b?n9;29?xd41k0;684?:1y'5g?=9:<0D966;I64b>"b13;87djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`0=d<72<0;6=u+1c;9560<@=227E:8f:&f=?413`no6=44ieg94?=nlo0;66gj0;29?j7f13:17pl=a`83>6<729q/=o751218L1>>3A><j6*j9;04?lbc2900eik50;9l5d?=831vn>9=:180>5<7s-;i57?<3:J7<<=O<>l0(h75269j`a<722coi7>5;n3b=?6=3th9i94?:483>5}#9k31=>84H5::?M20n2.n57j7;hfg>5<<amo1<75fdg83>>ob83:17b?n9;29?xd5m:0;684?:1y'5g?=9:<0D966;I64b>"b139:7djk:188mac=831bhk4?::kf4?6=3f;j57>5;|`0gf<72:0;6=u+1c;9565<@=227E:8f:&f=?`<amn1<75fdd83>>i6i00;66sm3bf94?5=83:p(<l6:010?M2?12B?;k5+e88e?lbc2900eik50;9l5d?=831vn?lj:181>5<7s-;i57?=c:J7<<=O<>l0eim50;9l5d?=831vn?k9:181>5<7s-;i57?=c:J7<<=O<>l0eim50;9l5d?=831vn988:18;3?6=8r.:n44>b09K0=?<@==m7W:n:`y;>7d=l3;?6<?51b8f>44=9<0:h7s+13:90>"6:00?7)k>:59'a7<33-o8695+e587?!c22=1/i;4;;%3a1?4<,8h=6>5+e`87?!ce2=1/in4;;%gg>1=#ml0?7)ki:59'b5<33-l:695+f387?!`42=1/j94;;%d6>1=#n?0?7)h8:59'b=<33-l2695+f`87?!`e2=1/jn4;;%dg>1=#nl0?7)hi:59'556=<2.:<<4;;%336?2<,8:8695+11690>"68<0?7)??6;68 4602=1/==654:&24<<33-;;m7:4$02a>1=#99i186*>0e87?!77m3>0(<>i:59'546=<2.:=<4;;%326?2<,8;8695+10690>"69<0?7)?>6;68 4702=1/=<654:&25<<33-;:m7:4$03a>1=#98i186*>1e87?!76m3>0(<?i:59'576=<2.:><4;;%316?2<,888695+13690>"6:<0?7)?=6;68 4402=1/85854968 `1=<2.:n>4>a99'57g=:2.:>o4<;%6;1?2?<2.n47:4i4094?=n=:0;66glf;29?lb72900e<l8:188m4d?2900e96=:188m1>42900e>m50;&2e`<4j2d:mi4?;:k0e?6=,8kn6>l4n0cg>4=<a:31<7*>ad80f>h6im0976g<8;29 4gb2:h0b<ok:298m61=83.:mh4<b:l2ea<332c8:7>5$0cf>6d<f8ko6854i2794?"6il08n6`>ae85?>o4<3:1(<oj:2`8j4gc2>10e>=50;&2e`<4j2d:mi47;:k06?6=,8kn6>l4n0cg><=<a=<1<7*>ad871>h6im0;76g;4;29 4gb2=?0b<ok:098m15=83.:mh4;5:l2ea<532c?>7>5$0cf>13<f8ko6>54i5394?"6il0?96`>ae87?>o383:1(<oj:578j4gc2<10e>h50;&2e`<3=2d:mi49;:k0a?6=,8kn69;4n0cg>2=<a:n1<7*>ad871>h6im0376g91;29 4gb2?:0b<ok:198m0`=83.:mh490:l2ea<632c>i7>5$0cf>36<f8ko6?54i4f94?"6il0=<6`>ae80?>o2k3:1(<oj:728j4gc2=10e8l50;&2e`<182d:mi4:;:k5e?6=,8kn6;>4n0cg>3=<a?31<7*>ad854>h6im0<76g98;29 4gb2?:0b<ok:998m31=83.:mh490:l2ea<>32c=:7>5$0cf>36<f8ko6l54i7794?"6il0=<6`>ae8a?>o1<3:1(<oj:728j4gc2j10e;=50;&2e`<182d:mi4k;:k56?6=,8kn6;>4n0cg>`=<a<k1<7*>ad854>h6im0m76g74;29 4gb2190b<ok:198m=4=83.:mh473:l2ea<632c3<7>5$0cf>=5<f8ko6?54i6d94?"6il03?6`>ae80?>o0m3:1(<oj:918j4gc2=10e:j50;&2e`<?;2d:mi4:;:k4g?6=,8kn65=4n0cg>3=<a>h1<7*>ad8;7>h6im0<76g8a;29 4gb2190b<ok:998m2?=83.:mh473:l2ea<>32c<47>5$0cf>=5<f8ko6l54i6594?"6il03?6`>ae8a?>o0=3:1(<oj:918j4gc2j10e::50;&2e`<?;2d:mi4k;:k47?6=,8kn65=4n0cg>`=<a>81<7*>ad8;7>h6im0m76g81;29 4gb2190b<ok:028?l17290/=lk5829m5db=9810e;h50;&2e`<?;2d:mi4>2:9j2`<72-;ji76<;o3b`?7432c=h7>5$0cf>=5<f8ko6<:4;h4`>5<#9ho14>5a1`f950=<a1h1<7*>ad8;7>h6im0::65f8`83>!7fm3287c?nd;34?>o?13:1(<oj:918j4gc28207d67:18'5dc=0:1e=lj51898m=1=83.:mh473:l2ea<6i21b4;4?:%3ba?>43g;jh7?m;:k;1?6=,8kn65=4n0cg>4e<3`2:6=4+1`g9<6=i9hn1=i54i6494?"6il03?6`>ae82a>=n>k0;6)?ne;:0?k7fl3;m76g7e;29 4gb21n0b<ok:198m=e=83.:mh47d:l2ea<632c2?7>5$0cf><4<f8ko6=54i8394?"6il02>6`>ae82?>o>83:1(<oj:808j4gc2;10e5h50;&2e`<>:2d:mi4<;:m:f?6=,8kn64o4n0cg>5=<g031<7*>ad8:e>h6im0:76a68;29 4gb20k0b<ok:398k<1=83.:mh46a:l2ea<432e2:7>5$0cf><g<f8ko6954o8794?"6il02m6`>ae86?>if<3:1(<oj:8c8j4gc2?10cl=50;&2e`<>i2d:mi48;:mb6?6=,8kn64o4n0cg>==<gh;1<7*>ad8:e>h6im0276an0;29 4gb20k0b<ok:`98k<`=83.:mh46a:l2ea<e32e2i7>5$0cf><g<f8ko6n54o8f94?"6il02m6`>ae8g?>i>k3:1(<oj:8c8j4gc2l10c4:50;&2e`<>i2d:mi4i;:m`<?6=,8kn6n94n0cg>5=<gj<1<7*>ad8`3>h6im0:76ame;29 4gb2kn0b<ok:198kge=83.:mh4md:l2ea<632eim7>5$0cf>gb<f8ko6?54oc;94?"6il0ih6`>ae80?>ie03:1(<oj:cf8j4gc2=10co950;&2e`<el2d:mi4:;:ma2?6=,8kn6oj4n0cg>3=<gk?1<7*>ad8a`>h6im0<76am4;29 4gb2kn0b<ok:998kg5=83.:mh4md:l2ea<>32ei>7>5$0cf>gb<f8ko6l54oc394?"6il0ih6`>ae8a?>ifn3:1(<oj:cf8j4gc2j10clk50;&2e`<el2d:mi4k;:mb`?6=,8kn6oj4n0cg>`=<ghi1<7*>ad8a`>h6im0m76anb;29 4gb2kn0b<ok:028?jgf290/=lk5be9m5db=9810cl750;&2e`<el2d:mi4>2:9le=<72-;ji7lk;o3b`?7432ej;7>5$0cf>gb<f8ko6<:4;nc5>5<#9ho1ni5a1`f950=<gj?1<7*>ad8a`>h6im0::65`c583>!7fm3ho7c?nd;34?>id;3:1(<oj:cf8j4gc28207bm=:18'5dc=jm1e=lj51898kf7=83.:mh4md:l2ea<6i21do=4?:%3ba?dc3g;jh7?m;:mab?6=,8kn6oj4n0cg>4e<3fhi6=4+1`g9fa=i9hn1=i54oc294?"6il0ih6`>ae82a>=hi<0;6)?ne;`g?k7fl3;m76ald;29 4gb2ji0b<ok:198kfd=83.:mh4lc:l2ea<632ehm7>5$0cf>fe<f8ko6?54ob;94?"6il0ho6`>ae80?>{e<?21<768:183!7e13;i=6F;889K02`<R=k1mv652c8g>42=980:o7k513821?7c2t.:>54;;%31=?2<,l;186*j2;68 `5=<2.n87:4$d790>"b>3>0(<l::39'5g0=;2.nm7:4$d`90>"bk3>0(hj54:&fa?2<,ll186*i0;68 c7=<2.m>7:4$g190>"a<3>0(k;54:&e2?2<,o=186*i8;68 c?=<2.mm7:4$g`90>"ak3>0(kj54:&ea?2<,ol186*>0187?!7793>0(<>=:59'555=<2.:<94;;%331?2<,8:=695+11590>"6810?7)??9;68 46f2=1/==l54:&24f<33-;;h7:4$02f>1=#99l186*>1187?!7693>0(<?=:59'545=<2.:=94;;%321?2<,8;=695+10590>"6910?7)?>9;68 47f2=1/=<l54:&25f<33-;:h7:4$03f>1=#98l186*>2187?!7593>0(<<=:59'575=<2.:>94;;%311?2<,88=695+13590>"30?0?495+e687?!7e;3;j46*>2`81?!75j390(96::5:7?!c?2=1b9?4?::k67?6=3`im6=44ie294?=n9k=1<75f1c:94?=n<181<75f49194?=n;j0;6)?ne;1a?k7fl3:07d=n:18'5dc=;k1e=lj51:9j7<<72-;ji7=m;o3b`?4<3`936=4+1`g97g=i9hn1?65f3683>!7fm39i7c?nd;68?l51290/=lk53c9m5db==21b?84?:%3ba?5e3g;jh784;h17>5<#9ho1?o5a1`f93>=n;:0;6)?ne;1a?k7fl3207d==:18'5dc=;k1e=lj59:9j03<72-;ji7::;o3b`?6<3`>?6=4+1`g900=i9hn1=65f4283>!7fm3>>7c?nd;08?l25290/=lk5449m5db=;21b8<4?:%3ba?223g;jh7:4;h63>5<#9ho1885a1`f91>=n;o0;6)?ne;66?k7fl3<07d=j:18'5dc=<<1e=lj57:9j7a<72-;ji7::;o3b`?><3`<:6=4+1`g925=i9hn1<65f5g83>!7fm3<;7c?nd;38?l3b290/=lk5619m5db=:21b9i4?:%3ba?073g;jh7=4;h7`>5<#9ho1:=5a1`f90>=n=k0;6)?ne;43?k7fl3?07d8n:18'5dc=>91e=lj56:9j2<<72-;ji78?;o3b`?1<3`<36=4+1`g925=i9hn1465f6683>!7fm3<;7c?nd;;8?l01290/=lk5619m5db=i21b:84?:%3ba?073g;jh7l4;h47>5<#9ho1:=5a1`f9g>=n>:0;6)?ne;43?k7fl3n07d8=:18'5dc=>91e=lj5e:9j1d<72-;ji78?;o3b`?`<3`2?6=4+1`g9<6=i9hn1<65f8383>!7fm3287c?nd;38?l>7290/=lk5829m5db=:21b;k4?:%3ba?>43g;jh7=4;h5f>5<#9ho14>5a1`f90>=n?m0;6)?ne;:0?k7fl3?07d9l:18'5dc=0:1e=lj56:9j3g<72-;ji76<;o3b`?1<3`=j6=4+1`g9<6=i9hn1465f7883>!7fm3287c?nd;;8?l1?290/=lk5829m5db=i21b;:4?:%3ba?>43g;jh7l4;h56>5<#9ho14>5a1`f9g>=n?=0;6)?ne;:0?k7fl3n07d9<:18'5dc=0:1e=lj5e:9j37<72-;ji76<;o3b`?`<3`=:6=4+1`g9<6=i9hn1==54i6294?"6il03?6`>ae825>=n>o0;6)?ne;:0?k7fl3;976g9e;29 4gb2190b<ok:018?l0c290/=lk5829m5db=9=10e;m50;&2e`<?;2d:mi4>5:9j<g<72-;ji76<;o3b`?7132c3m7>5$0cf>=5<f8ko6<94;h::>5<#9ho14>5a1`f95==<a121<7*>ad8;7>h6im0:565f8683>!7fm3287c?nd;3b?>o?>3:1(<oj:918j4gc28h07d6::18'5dc=0:1e=lj51b98m=7=83.:mh473:l2ea<6l21b;;4?:%3ba?>43g;jh7?j;:k5f?6=,8kn65=4n0cg>4`<3`2n6=4+1`g9<a=i9hn1<65f8b83>!7fm32o7c?nd;38?l?4290/=lk5939m5db=821b5<4?:%3ba??53g;jh7?4;h;3>5<#9ho15?5a1`f96>=n0o0;6)?ne;;1?k7fl3907b7m:18'5dc=1h1e=lj50:9l=<<72-;ji77n;o3b`?7<3f336=4+1`g9=d=i9hn1>65`9683>!7fm33j7c?nd;18?j?1290/=lk59`9m5db=<21d584?:%3ba??f3g;jh7;4;nc7>5<#9ho15l5a1`f92>=hi:0;6)?ne;;b?k7fl3=07bo=:18'5dc=1h1e=lj58:9le4<72-;ji77n;o3b`??<3fk;6=4+1`g9=d=i9hn1m65`9g83>!7fm33j7c?nd;`8?j?b290/=lk59`9m5db=k21d5i4?:%3ba??f3g;jh7j4;n;`>5<#9ho15l5a1`f9a>=h1=0;6)?ne;;b?k7fl3l07bm7:18'5dc=k>1e=lj50:9lg3<72-;ji7m8;o3b`?7<3fhn6=4+1`g9fa=i9hn1<65`bb83>!7fm3ho7c?nd;38?jdf290/=lk5be9m5db=:21dn44?:%3ba?dc3g;jh7=4;n`;>5<#9ho1ni5a1`f90>=hj>0;6)?ne;`g?k7fl3?07bl9:18'5dc=jm1e=lj56:9lf0<72-;ji7lk;o3b`?1<3fh?6=4+1`g9fa=i9hn1465`b283>!7fm3ho7c?nd;;8?jd5290/=lk5be9m5db=i21dn<4?:%3ba?dc3g;jh7l4;nce>5<#9ho1ni5a1`f9g>=hil0;6)?ne;`g?k7fl3n07bok:18'5dc=jm1e=lj5e:9lef<72-;ji7lk;o3b`?`<3fki6=4+1`g9fa=i9hn1==54o`c94?"6il0ih6`>ae825>=hi00;6)?ne;`g?k7fl3;976an8;29 4gb2kn0b<ok:018?jg0290/=lk5be9m5db=9=10cl850;&2e`<el2d:mi4>5:9lg0<72-;ji7lk;o3b`?7132eh87>5$0cf>gb<f8ko6<94;na0>5<#9ho1ni5a1`f95==<gj81<7*>ad8a`>h6im0:565`c083>!7fm3ho7c?nd;3b?>id83:1(<oj:cf8j4gc28h07bli:18'5dc=jm1e=lj51b98kgd=83.:mh4md:l2ea<6l21dn=4?:%3ba?dc3g;jh7?j;:mb1?6=,8kn6oj4n0cg>4`<3fio6=4+1`g9gf=i9hn1<65`cc83>!7fm3ih7c?nd;38?jef290/=lk5cb9m5db=:21do44?:%3ba?ed3g;jh7=4;|`1a7<72=0;6=u+1c;9563<@=227E:8f:&f=?3<amn1<75fdd83>>ocn3:17b?=f;29?xd4100;684?:1y'5g?=9:=0D966;I64b>"b13>h7djk:188mac=831bhk4?::kf4?6=3f;9j7>5;|q6ff<72>qU9om4=3;;>a`<5;3m6h>4=3;a>`6<5;3>6ij4=3;7>ab<5;i;6ij4}r7ae?6=0?qU8l>4^5;1?[3d=2T>o>5Q4838Z0da3W?=h6P:c59]0<c<V=3o7S:6c:\7=g=Y<0k0R976;_6:<>X31>1U8484^4ae?[3dm2T>oi5Q5ba8Z0ee3W?hm6P:c89]1f><V<i<7S;m9:\6f==Y=k=0R8l9;_7a1>X2j=1U9o=4^4`1?[3e92T>n=5249c9`d=:<>?1955247a91==:<>>1955246191==:<>n1955246a91==::ll194522dg91<=::ln194522da91<=::lh1945231091<=:;9;1945231291<=::ol194522gg91<=:;h=194523`491<=:;h?194523`691<=:;h9194523cc91<=:;k3194523c:91<=:;k=194523c491<=:;;i1945233c91<=:;;21945233491<=:;;>1945233091<=:;;:1945230g91<=:;8i194522`391<=::h:1=o<4=242>4d53492i7;7;<1f1?3>349n?7;6;<1f5?3>349oj7;6;<1g`?3>349on7;6;<1g=?3>349o;7;6;<653?7e?27?::4>b99>031=<1801988:73891002<l01988:4g891002<n01988:4a891002<h01988:7c891002?301988:7:891002?=01988:74891002??01988:76891002?901988:70891002<k01988:9g8910021i01987:0`4?82103;i463;6987<7=:<?21:<5247:91c=:<?219h5247:91a=:<?219n5247:91g=:<?21:l5247:92<=:<?21:55247:922=:<?21:;5247:920=:<?21:95247:926=:<?21:?5247:91d=:<?21495247:93d=:<?21;<5247:9<<=:<?214h5247:9<f=:<?215>5247:9=4=:<?215=5247:9<c=z{<hn6=4<{_7aa>;3?<0:mk5247a95d`<uz?=87>52z\621=::0n1=o>4}r7g5?6==rT>h<523bc9`a=:;jh1hi523ba9`a=:;jn1hi5rs4ce>5<09rT>mk5247g90g=:<?n18o5247a90g=:<>>18o5246190g=:;9l18o5225190g=::=818o5225390g=::=:18o5222d90g=:::o18o5222f90g=:::i18o5222`90g=::1h18o5229c90g=::1318o5229:90g=::1=18o5229490g=::1?18o5229690g=::1918o5226;90g=::>218o5226590g=::><18o5226790g=::>>18o5226190g=::>818o5226390g=::9818o5221390g=::9:18o521gd90g=:9oo18o521gf90g=:9oi18o521g`90g=:9ok18o521g;90g=:;=i18o5235`90g=:;=318o5235:90g=:;=k18o5235590g=:;=<18o5235690g=:;=918o5235790g=:;?:18o5234d90g=:;<o18o5234f90g=:;<i18o5234`90g=:;<k18o5234;90g=:;<218o5234590g=::ml18o522ba90g=::m>18o522e190g=::m818o522e390g=::m:18o522bd90g=::jo18o522bf90g=::jh18o5228;90g=::0<18o5228f90g=::0i18o5245290g=:<:l18o5242f90g=:<:i18o5242`90g=:<:k18o5242;90g=:<:218o5242590g=:<:<18o5242790g=:<:>18o5242090g=:<:;18o5242290g=:<;l18o5243g90g=:<;n18o5243a90g=:<;h18o5243c90g=:<;318o5245490g=:<=?18o5245690g=:<=918o5245090g=:<=;18o5242g90g=:<:918o5243:90g=:<;=18o52475917=:<?219?5rs44b>5<5sW?=m63<c982f5=z{<<n6=4={_75a>;5lo0:n=5rs4a5>5<2=rT>o;5246590g=:<>?18o5246490g=:<>n18o5246a90g=:;j218o523b590g=:9mi18o521e`90g=:9mk18o521e;90g=:9m218o521e590g=:9m<18o521e790g=:9m>18o5223:90g=::;=18o5223490g=::;?18o5223690g=::;918o5223090g=::;;18o5223290g=::8<18o5220790g=::8>18o5220190g=::8818o5220390g=::8:18o5221d90g=::9o18o5221f90g=::?=18o5227490g=::??18o5227690g=::?918o5227090g=::?;18o5227290g=::<l18o5239f90g=:;1i18o5239`90g=:;1k18o5239;90g=:;1218o5239590g=:;1<18o5239790g=:;0o18o5238590g=:<9818o5241390g=:<9:18o523gd90g=:;oo18o523gf90g=:;oh18o523gc90g=:;oi18o5241g90g=:<9i18o5241f90g=:<9l18o5240290g=:<8;18o5240090g=:<8918o5240690g=:<;<18o5243790g=:<;>18o5243190g=:<;818o5243390g=:<;:18o5240d90g=:<8o18o52475916=:<?219>5rs4;3>5<5sW?2<63<9682f5=z{<?i6=4={_70f>;3<90:n=5rs47:>5<5sW?8m63;3g82f5=z{<?<6=4={_70<>;3;m0:n=5rs475>5<5sW?8;63;3b82f5=z{<?>6=4={_702>;3;k0:n=5rs477>5<5sW?8963;3`82f5=z{<?86=4={_700>;3;00:n=5rs471>5<5sW?8?63;3982f5=z{<?:6=4={_706>;3;>0:n=5rs473>5<5sW?8=63;3782f5=z{<>m6=4={_704>;3;<0:n=5rs46f>5<5sW?9j63;3582f5=z{<>h6=4={_71`>;3;;0:n=5rs46a>5<5sW?9o63;3082f5=z{<>j6=4={_71f>;3;90:n=5rs46:>5<5sW?9m63;2g82f5=z{<>36=4={_71=>;3:l0:n=5rs464>5<5sW?9463;2e82f5=z{<>=6=4={_713>;3:j0:n=5rs466>5<5sW?9:63;2c82f5=z{<>?6=4={_711>;3:h0:n=5rs460>5<5sW?9863;2882f5=z{<<96=4={_775>;3<?0:n=5rs442>5<5sW??<63;4482f5=z{<<;6=4={_70b>;3<=0:n=5rs47e>5<5sW?8i63;4282f5=z{<?n6=4={_70`>;3<;0:n=5rs47g>5<5sW?8o63;4082f5=z{<?h6=4={_70=>;3;l0:n=5rs47;>5<5sW?9i63;3282f5=z{<>o6=4={_717>;3:10:n=5rs461>5<5sW?9>63;2682f5=z{<;o6=4={_6g`>;3>10<<6s|50`94?4|V=nh70:98;4e?xu29h0;6?uQ4e`8910?2?o0q~;>9;296~X3lh168;656e9~w0702909wS:k8:?72=<1k2wx9<850;0xZ1b034>=476m;|q650<72;qU8i84=54;>=g<uz?:87>52z\7`0=:<?21455rs430>5<5sW>o863;698;3>{t=881<7<t^5f0?821032=7p}:1083>7}Y<m801987:978yv3683:1>vP;d09>03>=081v8>i:181[2c827?:5486:p15c=838pR9mi;<65<?0e3ty><n4?:3y]0fb<5=<<64>4}r73f?6=:rT?on52475934=z{<:j6=4={_6`f>;3>>0<<6s|51;94?4|V=ij70:97;4e?xu2810;6?uQ4b;891002?o0q~;?7;296~X3k1168;956e9~w0612909wS:l7:?722<1k2wx9=;50;0xZ1e134>=;76m;|q641<72;qU8n;4=544>=g<uz?;?7>52z\7g1=:<?=14k5rs422>5<5sW>h>63;668;=>{t=9:1<7<t^5a2?821?3237p};fg83>7}Y<j:01988:958yv2am3:1>vP;bg9>031=0?1v9hk:181[2em27?::475:p0ce=838pR9lk;<653?>63ty?jo4?:3y]0ge<5=<<6:84}r6ee?6=:rT?no5247592g=z{=l26=4={_6ae>;3>103>6s|4g:94?4|V=h270:98;:3?xu3n?0;6?uQ4c58910?2>l0q~:i5;296~X3j?168;657d9~w1`32909wS:m5:?72=<0l2wx8k=50;0xZ1d334>=479l;|q7b7<72;qU8o=4=54;>2d<uz>m=7>52z\7f7=:<?21;45rs5d3>5<5sW>i=63;6984<>{t<ll1<7<t^5`3?82103=<7p};ed83>7}Y<hl01987:678yv2bl3:1>vP;ad9>03>=?=1v9km:181[2fk27?:5483:p0`g=838pR9om;<65<?153ty?i44?:3y]0dg<5=<<64=4}r6f<?6=:rT?m4524759<1=z{=o<6=4={_6b<>;3>>03>6s|4d494?4|V=k<70:97;:3?xu3m<0;6?uQ4`4891002>l0q~:j4;296~X3i<168;957d9~w1c42909wS:n4:?722<0l2wx8h<50;0xZ1g434>=;79l;|q664<72;qU8h>4=544>2d<uz?9<7>52z\7`c=:<?=15<5rs43e>5<5sW>oi63;6684e>{t=8o1<7<t^5f:?821?3=27p}:1983>7}Y<jo01988:6:8yv37l3:1>vP;c29>031=?>1v8>=:181[2e027?::485:p0c1=838pR9ok;<653?133ty?in4?:3y]0d4<5=<<6:=4}r6f5?6=:rT?m<52475937=z{89h6=4k{<6;f?7fj279io4:1:?1b`<29278m>4:1:?0f3<29278>h4:1:?05f<29279m=4:1:?024<29278i:4:1:?0`2<2927?::4k0:?72=<c82wx8;j50;1x910b2<20198k:0`3?821k3>m7p};6d83>7}:<?o1=o>4=553>ab<uz><:7>53z?732<2027?;84;f:?733<6j91v998:181820?3;i<63;788g`>{t<?i1<7=t=54g>0><5=<h6<l?;<644?bb3ty?;84?:2y>023=9k:01999:4:8911>2mo0q~:9f;297~;3?=0:mk5246195d`<5==;6<o6;|q737<72;?p199;:0`3?857n3;jj63<4b860>;4<k0>863<48860>;4<10>863<4`860>;4<>0>863<47860>;4<=0>863<4282ec=:;=?19952372911=:;<l1995234g911=:;<n1995234a911=:;<h1995234c911=:;<31995234:911=:;<=199522ed95d`<5;ih68:4=3f7>02<5;n868:4=3f1>02<5;n:68:4=3f3>02<5;im68:4=3af>02<5;io68:4=3aa>02<5;3268:4=3;5>02<5;3o6<oi;<0:g?7fn2wx8:?50;05820;3;i<63=42860>;5<;0>863=40860>;5<90>863=3g860>;5;l0>863=3e860>;5;j0>863=3c860>;50k0>863=8`860>;5000>863=89860>;50>0>863=87860>;50<0>863=85860>;50:0>863=78860>;5?10>863=76860>;5??0>863=74860>;5?=0>863=72860>;5?;0>863=70860>;58;0>863=00860>;5890>863>fg860>;6nl0>863>fe860>;6nj0>863>fc860>;6nh0>863>f8860>{t<>21<7=t=55g>4ga34><o7?nf:?73<<6i01v99m:181=~;3?m0:n=523b:95d`<5:i<6<oi;<1;`?333493o7;;;<1;f?333493m7;;;<1;=?33349347;;;<1;3?333493:7;;;<1;1?333492i7?nf:?0=2<6io168=<5559>057===168=>5559>7c`===16?kk5559>7cb===16?kl51`d896`f2<>01>hl:468916b2<>019>l:0ce?827l3??70:?f;77?82683??70:>1;77?826:3??70:>3;77?826<3??70:=6;77?825=3??70:=4;77?825;3??70:=2;77?82593??70:=0;77?826n3??70:>e;77?xu3?h0;6?8t=55`>4d734;oo7;;;<3gf?3334;om7;;;<3g=?3334;o47;;;<3g3?3334;o:7;;;<3g1?3334;o87;;;<01<?333489;7;;;<012?33348997;;;<010?333489?7;;;<016?333489=7;;;<014?33348::7;;;<021?33348:87;;;<027?33348:>7;;;<025?33348:<7;;;<03b?33348;i7;;;<03`?33348=;7;;;<052?33348=97;;;<050?33348=?7;;;<056?33348==7;;;<054?33348>j7;;;|q055<72;q6?=h5599>747=9h30q~=?f;291~;48o0:n=5228`9`a=::0>1hh522b29``=::ko1hn5rs2a:>5<4s49h47;7;<1`3?3?349hm7?n9:p7f1=83<p1>m8:0`3?85>l3nn70=la;ff?85dj3nn70=lc;ff?85dl3nn7p}=e`83>7}::ll19<522dg95d?<uz8m87>52z?1ac<6j;16>k751`;8yv57l3:1>v3=eg82e<=:;8;1hh5rs3g:>5<5s48ni7;>;<0f`?7f12wx>k=50;0x97cb28h970<i8;3b=>{t:l21<7<t=3gg>07<5;oh6<o6;|q1b7<72;q6>hj51c0897`028k27p}=e683>7}::li19<522d`95d?<uz8m=7>52z?1af<6j;16>k851`;8yv4a83:1>v3=ec82f7=::o?1=l74}r0e`?6=:r78<?4:1:?044<6i01v>>8:181857:3;i>63<0b82e<=z{::n6=4={<136?7f1278=<4kd:p6ce=838p1>>>:438966728k27p}<0783>7}:;9;1=o<4=22a>4g>3ty9jo4?:3y>756==816>kh51`;8yv57=3:1>v3<0182f7=:;9k1=l74}r0ee?6=:r79jk4:1:?1b`<6i01v>>;:18184an3;i>63<0882e<=z{::86=4={<0ea?7e:278<54>a89~w6g52909w0=n7;72?85f>3;j56s|3`a94?4|5:k<6<l=;<1a5?7f12wx?n;50;0x96g028k270=la;g3?xu4i80;6?u23`4914=:;h?1=l74}r1bf?6=:r78m;4>b39>7g6=9h30q~=n0;296~;4i<0>=63<a582e<=z{:kj6=4={<1b1?7e:278mk4>a89~w6?a2909w0=n4;72?85f;3;j56s|3`;94?4|5:k?6<l=;<1ba?7f12wx?l650;0x96g428h970=nd;3b=>{t;k?1<7<t=2`b>07<5:h26<o6;|q0fc<72;q6?oo51c0896e328k27p}<c783>7}:;kk1=l74=2ab>a`<uz9i87>52z?0f<<29278n54>a89~w6db2909w0=m9;3a6>;4k:0:m45rs2`0>5<5s49i47;>;<1a3?7f12wx?oj50;0x96d?28h970=l2;3b=>{t;k81<7<t=2`4>07<5:h=6<o6;|q0ff<72;q6?o951c0896e628k27p}<bc83>7}:;k<1=o<4=2a3>4g>3ty9=k4?:4y>5ae==116>?651c2894bb2mo01<k?:ef894c52l:0q~?k3;290~;6lj0:n=523b69``=:;k;1hh523609``=z{8no6=4={<3gf?3?34;oi7?n9:p5a4=83>p1<jm:0`3?85d;3nn70=m0;ff?85093nn7p}>dg83>7}:9mk195521d295d?<uz;o=7>54z?2`d<6j916?n=5e19>7g6=m916?:>5dd9~w4c6290=w0?k9;7;?87b:3;j563>e58ga>;6m?0oh63>e98gb>;6mh0n<6s|1e294?2|58n26<l?;<1`6?bb349jj7jj;<15b?bb3ty:i>4?:3y>5a>==116=h:51`;8yv7dn3:18v3>d982f5=:;j81i=523`d9a5=:;?o1hh5rs0g6>5<5s4;o;7;7;<3f2?7f12wx=nk50;6x94b028h;70=l1;ff?85fm3nn70=9d;ff?xu6m>0;6?u21e491==:9l21=l74}r3``?6=<r7:h;4>b19>7f7=m916?lk5e19>73e=ll1v<k6:18187c=3?370?jb;3b=>{t9ji1<7:t=0f6>4d7349h<7jj;<1b`?bb349=n7jj;|q2aa<72;q6=i:5599>5`c=9h30q~?lb;290~;6l=0:n=523b29a5=:;hn1i=5237c9``=z{;296=4:{<077?3?3483n7?m0:?100<cm2798:4kd:?10<<b82wx>>o50;6x972428h;70=?c;ff?84a13nn70<na;fg?xu5<=0;6?u225091==::=?1=l74}r00=?6==r798?4>b19>6d?==016?=m5e19>6c?=lm16>o<5de9~w7212909w0<;1;7;?843?3;j56s|22:94?3|5;>:6<l?;<0b<?3>349;n7jj;<0e<?bb348i=7jk;|q10=<72?q6>9>5599>61?=9h301?:m:eg8972c2mn01?:i:ed897362l:0q~<<7;291~;5<90:n=522`591<=:;9h1i=522g:9`a=::k:1hi5rs36b>5<5s488j7;7;<07f?7f12wx>>850;7x975a28h;70<n6;7:?857i3nn70<i7;ff?84fn3no7p}=4b83>7}:::o1955225f95d?<uz8897>55z?17`<6j916>l;5589>75g=m916>k95de9>6dc=lm1v?:j:181844l3?370<;f;3b=>{t::>1<7;t=31g>4d7348j87;6;<13=?bb348m:7jj;<0b`?bc3ty99=4?:3y>66e==116>8<51`;8yv44;3:19v3=3b82f5=::h91945231;9a5=::o<1hi522`a9`a=z{;??6=4={<00f?3?348>97?n9:p664=83?p1?=m:0`3?84f:3?270=?8;fe?84a=3no70<nb;fg?xu5?90;6?u229`91==::>31=o>4}r05b?6=:r794l4:8:?13=<6j91v?6>:18784?i3;i<63=448g`>;5<>0oi63=488gb>{t:?o1<7<t=3::>0><5;=<6<l?;|q1<5<72:q6>5751c2897202ml01?:6:eg8yv41l3:1>v3=8986<>;5??0:n=5rs35e>5<5s48347?m0:?10<<cl2wx>;m50;0x97>02<201?9::0`3?xu5?l0;68u229595g6<5;>i6ij4=36g>ac<5;>m6h>4=372>a`<uz8=n7>52z?1<3<20279;94>b19~w71c290?w0<76;3a4>;5<m0oj63=4g8g`>;5=80oi6s|27c94?4|5;2>6864=350>4d73ty9;n4?:2y>6=3=9k:01?:i:eg897362mn0q~<99;296~;50=0>463=7382f5=z{;=i6=4<{<0;0?7e82799?4kd:?110<cm2wx>;650;0x97>42<201?9>:0`3?xu5?h0;6?u229195g6<5;?>6ij4}r03g?6=:r79>54:8:?153<6j91v?>m:181845?3?370<>5;3a4>{t:8o1<7:t=304>4d734;oi7jk;<3f4?bb34;n>7ji;|q14d<72;q6>?85599>642=9k:0q~<>d;297~;5:?0:n=521d29`c=:9l81hh5rs32:>5<5s48997;7;<027?7e82wx><m50;0x974228h;70?j2;fg?xu5810;6?u223691==::881=o>4}r02f?6==r79>94>b19>5`2=lm16=h85dd9>5`>=m916=ho5dg9~w7602909w0<=3;7;?84693;i<6s|20c94?2|5;886<l?;<3f2?ba34;n47jk;<3fe?bb3ty9<;4?:3y>674==116><>51c28yv4613:1?v3=2382f5=:9l21hh521dc9`a=z{;:>6=4={<015?3?348;j7?m0:p64>=839p1?<>:0`3?87bj3no70?je;ff?xu58=0;6?u223291==::9o1=o>4}r023?6=:r79>=4>b19>5`c=lm1v?;j:18184013?370<97;3a4>{t:<n1<7<t=35;>0><5;<=6<l?;|q11f<72;q6>:95599>633=9k:0q~<:b;296~;5??0>463=6582f5=z{;?j6=4={<041?3?348=?7?m0:p60?=838p1?9;:4:8970528h;7p}=5983>7}::>91955227395g6<uz8>;7>52z?137<20279:=4>b19~w7312909w0<81;7;?842n3;i<6s|1g:94?4|5;;=6864=321>4d73ty:j:4?:3y>643==116>=?51c28yv7a>3:1>v3=1586<>;5890:n=5rs0d6>5<5s48:?7;7;<3eb?7e82wx=k:50;0x97752<201<hj:0`3?xu6n:0;6?u220391==:9on1=o>4}r3e6?6=:r79==4:8:?2bf<6j91v<h>:181847n3?370?ib;3a4>{t9o:1<7<t=32f>0><58lj6<l?;|q2ac<72;q6>=j5599>5c?=9k:0q~<?3;295~;58m0:n=5rs275>5<0s48;>7;7;<154?7e827:nl4kd:?1b<<b8279ml4ke:?722<4k27?:54<c:p67?=838p1?>>:4:894df28k27p}=2`83>7}::9:195521c`95d?<uz89n7>52z?2bc<2027:nn4>a89~w74d2909w0?ie;7;?87el3;j56s|23f94?4|58lo6864=0`f>4g>3ty9>h4?:3y>5ce==116=oh51`;8yv45n3:1>v3>fc86<>;6k90:m45rs313>5<5s4;mm7;7;<3`5?7f12wx>>?50;0x94`>2<201<m=:0c:?xu39m0;68u227591==:<;<1=o>4=0a0>ab<5=<<6984=54;>10<uz83o7>52z?123<2027:o>4>a89~w7>c2909w0<95;7;?87d<3;j56s|29g94?4|5;<?6864=0a6>4g>3ty94k4?:3y>635==116=n851`;8yv4>83:1>v3=6386<>;6k>0:m45rs3;2>5<5s48==7;7;<3`<?7f12wx>4<50;0x97072<201<m6:0c:?xu51:0;6?u224d91==:9jk1=l74}r65=?6=91q6?9m54g9>71d=<o16?9754g9>71>=<o16?9o54g9>711=<o16?9854g9>712=<o16?9=54g9>713=<o16?;>54g9>70`=<o16?8k54g9>70b=<o16?8m54g9>70d=<o16?8o54g9>70?=<o16?8654g9>701=<o16?<?5dg9>6<2=9h301988:bd8910?2jl0q~=>b;296~;4<j0>463<3682e<=z{:>96=4;{<17g?7e8278:=4:8:?04f<cl278?54kd:p74g=838p1>:m:4:8965128k27p}<4083>1}:;=h1=o>4=27e>0><5::h6ih4=20g>ab<uz9:47>52z?00<<20278?94>a89~w65a290?w0=;9;3a4>;4=m0>463<0c8gb>;4:00oh6s|30594?4|5:>36864=210>4g>3ty8?h4?:5y>71>=9k:01>;l:4:8966f2mn01><8:ef8yv5613:1>v3<4`86<>;4;<0:m45rs263>5<3s49?m7?m0:?01`<20278<o4kd:?06g<cl2wx?<850;0x96202<201>==:0c:?xu4;m0;69u235595g6<5:?i6864=22b>a`<5:8>6ij4}r121?6=:r788;4:8:?074<6i01v>=l:187853>3;i<63<5`86<>;4800oh63<228g`>{t;891<7<t=267>0><5:8m6<o6;|q07d<72=q6?9:51c28963?2<201>>7:eg8967a2mn0q~=>2;296~;4<:0>463<2d82e<=z{:926=4;{<177?7e82789:4:8:?04=<cl278=i4kd:p742=838p1>:::4:8965728k27p}<3c83>1}:;=?1=o>4=27:>0><5::26ih4=202>ab<uz99o7>52z?072<29278>n4>a89~w65?2909w0=<7;31a>;4;10:m45rs20b>5<4s498:7;>;<11g?363499m7?n9:p77b=839p1>=9:00f?855k3;i>63<2e82e<=z{:836=4<{<101?363499m7;>;<11<?7f12wx??l50;1x9652288n70==a;3a6>;4:k0:m45rs205>5<4s49887;>;<11<?363499:7?n9:p77?=839p1>=;:00f?85503;i>63<2882e<=z{:8?6=4<{<107?363499:7;>;<110?7f12wx??950;1x9654288n70==6;3a6>;4:>0:m45rs201>5<4s498>7;>;<110?363499>7?n9:p773=839p1>==:00f?855<3;i>63<2482e<=z{:8;6=4<{<105?363499>7;>;<114?7f12wx??=50;1x9656288n70==2;3a6>;4::0:m45rs23f>5<4s498<7;>;<114?36349:i7?n9:p777=839p1>=?:00f?85583;i>63<2082e<=z{:;h6=4<{<11b?36349:i7;>;<12g?7f12wx?<h50;1x964a288n70=>e;3a6>;49o0:m45rs23g>5<4s499i7?=e:?05f<6j;16?<j51`;8yv52=3:1;v3<5g82f5=:9kh1hi521cc9``=::o31hk522c09``=:<?=1?l5247:97d=z{:??6=48{<16a?7e827:nn4kd:?2fg<cm279j54j0:?1f4<cm27?::4<9:?72=<412wx?8=50;5x963c28h;70?md;fg?87ek3nn70<i8;fe?84e83nn70:97;1;?82103937p}<5383>2}:;<i1=o>4=0`f>ab<58ho6ik4=3d4>`6<5;km6ik4=544>61<5=<36>94}r165?6=?r789o4>b19>5g`=lm16=ok5dd9>6c1=lo16>lk5dd9>031=;?168;65379~w637290<w0=:a;3a4>;6k90oh63>bg8ga>;5n?0n<63=ae8ga>;3>>08963;69801>{t;=l1<79t=27:>4d734;h=7jk;<3`4?bb348m:7ji;<0bg?bb34>=;7=;;<65<?533ty88h4?:6y>70>=9k:01<m=:ef894e62mo01?h::ed897ge2mo01988:218910?2:90q~=;d;292~;4=>0:n=521b09``=::o?1hh522d49`f=:<?=1??5247:977=z{;k26=4={<0a7?36348j57?n9:p6dg=838p1?l<:00f?84fi3;j56s|2e794?4|5;h86<o6;<0`g?3?3ty9m54?:2y>6ge==816>l75509>6d>=9h30q~<m2;297~;5jj0:>h522`;95g4<5;h96<o6;|q1``<72;q6>om51`;897b32<20q~<n7;297~;5jk0>=63=a9865>;5i>0:m45rs3`2>5<4s48in7?=e:?1e=<6j;16>o?51`;8yv4cl3:1>v3=bc82e<=::m91955rs3c5>5<4s48im7;>;<0b3?36348j:7?n9:p6g6=839p1?ln:00f?84f?3;i>63=b182e<=z{;nh6=4={<0ae?7f1279h?4:8:p6d3=839p1?l6:43897g12<;01?o::0c:?xu5io0;6>u22c;957c<5;k=6<l=;<0bb?7f12wx>il50;0x97d>28k270<k1;7;?xu5i=0;6>u22c:914=::h?19<522`695d?<uz8ji7>53z?1f=<6:l16>l;51c0897gb28k27p}=d`83>7}::k21=l74=3f3>0><uz8j?7>53z?1f2<29279m94:1:?1e6<6i01v?ok:18084e?3;9i63=a582f7=::hn1=l74}r0g=?6=:r79n:4>a89>6f`==11v?o=:18084e>3?:70<n3;72?84f:3;j56s|2`a94?5|5;h=6<<j;<0b7?7e:279mn4>a89~w7b?2909w0<m6;3b=>;5kl0>46s|2`394?5|5;h>68?4=3c1>07<5;k:6<o6;|q1eg<72:q6>o;513g897g528h970<nb;3b=>{t:m=1<7<t=3`6>4g>348hh7;7;|q1e5<72:q6>o:5509>6d7==816>l>51`;8yv4b=3:1?v3=b5826`=::h;1=o<4=3g5>4g>3ty9h;4?:3y>6g2=9h301?mm:4:8yv4en3:1>v3=a186=>;5k90:m45rs3`g>5<5s48oj7:i;<0aa?7f12wx>h>50;0x97ba2<201?k<:0c:?xu5k80;6?u22ba95g6<5;o96ik4}r0`e?6=:r79h94>b19>6`4=lm1v?m6:18184c;3;i<63=e38gb>{t:j21<7<t=3f1>4d7348n=7jk;|q1g2<72;q6>i?51c2897c62mo0q~<l6;296~;5l90:n=522d19``=z{;i>6=4={<0`b?7e8279i94kd:p6f2=838p1?mj:0`3?84b<3o;7p}=c283>7}::jn1=o>4=3g7>ac<uz8h>7>52z?1gg<6j916>h:5dg9~w7?f2909w0<69;7;?84>j3;j56s|28;94?1|5;326<l?;<0:<?bb3482j7ji;<0:f?ba348297ji;<0:0?c7348h<7k?;|q1=2<72;q6>485599>6<>=9h30q~<66;293~;51?0:n=5228:9`a=::0l1hh5228`9``=::0?1hh522869`c=::j:1hk5rs3;f>5<4s482h7;7;<0:g?3?3482j7?n9:p6<e=838p1?7l:0`3?84>n3no7p}<6883>7}:;>h19<5237;95d?<uz9<>7>52z?03g<6:l16?:<51`;8yv5>>3:1>v3<7c82e<=:;1n1955rs24;>5<4s49<m7;>;<15=?36349=47?n9:p727=839p1>9n:00f?85113;i>63<7082e<=z{:3>6=4={<14e?7f12784n4:8:p05g=83?p1>86:4;8917428h;70:=5;7;?85e83no70=81;fg?xu4>>0;6>u236;914=:;?219<5237595d?<uz9<<7>53z?03<<6:l16?;651c08961728k27p}<9583>7}:;>31=l74=2:a>0><uz>;57>55z?02=<2127?=?4>b19>072==116?o>5dg9>726=lm1v>89:18085003?:70=97;72?851>3;j56s|37d94?5|5:=36<<j;<153?7e:278:k4>a89~w6?42909w0=88;3b=>;40h0>46s|41:94?3|5:<<6874=532>4d734>9?7;7;<1bb?bc349=j7jk;|q020<72:q6?:95509>730==816?;;51`;8yv51m3:1?v3<76826`=:;?<1=o<4=24f>4g>3ty85?4?:3y>721=9h301>66:4:8yv27?3:19v3<6786=>;3990:n=5243091==:;hl1hk5237g9`a=z{:<?6=4<{<142?36349=97;>;<150?7f12wx?;j50;1x9611288n70=95;3a6>;4>m0:m45rs2;2>5<5s49<:7?n9:?0<=<202wx8=850;7x96022<3019>i:0`3?82593?370=ne;fg?851l3no7p}<6283>6}:;>?19<52376914=:;?91=l74}r15g?6=;r78;84>2d9>732=9k801>8l:0c:?xu4190;6?u236795d?<5:2<6864}r631?6==r78:94:9:?74`<6j9168?>5599>7dc=lo16?;m5de9~w6052908w0=84;72?851;3?:70=92;3b=>{t;?h1<7=t=257>44b349=?7?m2:?02g<6i01v>6i:181850<3;j563<8786<>{t<9>1<7;t=240>0?<5=:o6<l?;<62b?3?349jh7jk;<15f?bc3ty8:<4?:2y>725==816?;<5509>737=9h30q~=9a;297~;4?:0:>h5237095g4<5:<j6<o6;|q0<`<72;q6?:=51`;896>22<20q~:?3;291~;4>;0>563;0b82f5=:<8o195523`f9`c=:;?k1hi5rs2aa>5<5s49==7;6;<1`g?7f12wx?5:50;0x96>c28h;70=6b;fe?xu40:0;6?u239a95g6<5:3i6ij4}r1;6?6=:r784o4>b19>7<?=ll1v>6>:18185?i3;i<63<988g`>{t;1:1<7<t=2::>4d7349257ji;|q03c<72;q6?5651c2896?f2mn0q~=8e;296~;40>0:n=5238c9``=z{:=o6=4={<1;2?7e82785l4kf:p72e=838p1>6::0`3?85>i3o;7p}<9d83>6}:;0o1=o>4=2;g>ab<5:3i6ik4}r1:g?6=:r785:4;f:?0=a<6i01v>77:18185>?3?370=6b;3b=>{t;ji1<7?l{<636?2a34>;=7:i;<634?2a349mj7:i;<1ea?2a349mh7:i;<1ef?2a349mm7:i;<1eg?2a34>;i7:i;<63g?2a34>;h7:i;<63b?2a34>:<7:i;<625?2a34>:>7:i;<627?2a34>:87:i;<612?2a34>997:i;<610?2a34>9?7:i;<616?2a34>9=7:i;<614?2a34>:j7:i;<62a?2a349hh7?n9:p7a0=838p19>=:4:896ca28k27p}<f883>1}:<981=o>4=537>0><5:i?6ij4=2d3>ab<uz9o97>52z?744<20278ih4>a89~w6`?290?w0:?1;3a4>;39:0>463<c28g`>;4m?0oh6s|3e694?4|5=:;6864=2gg>4g>3ty8j:4?:5y>056=9k:019?=:4:896e42ml01>k;:ef8yv5c;3:1>v3<fg86<>;4mj0:m45rs2d5>5<3s49mj7?m0:?754<20278o?4kd:?0a7<cl2wx?i<50;0x96`b2<201>km:0c:?xu4n<0;69u23gg95g6<5=;;6864=2a1>a`<5:o;6ij4}r1g5?6=:r78ji4:8:?0ad<6i01v>h;:18785al3;i<63;0g86<>;4k80oh63<dd8g`>{t;jl1<7<t=2da>0><5:o36<o6;|q0b7<72=q6?kl51c28916c2<201>m?:ef896bf2mn0q~=le;296~;4nh0>463<e682e<=z{:l:6=4;{<1ee?7e827?<n4:8:?0g5<cn278h54kd:p7a6=838p1>hl:4:896c>28k27p}<f283>1}:;oi1=o>4=52f>0><5:i:6ih4=2f`>ab<uz9n97>52z?0ac<29278i84>a89~w6`72909w0=jf;31a>;4n90:m45rs2g0>5<4s49ni7;>;<1f1?36349n?7?n9:p7`0=839p1>kj:00f?85b=3;i>63<e782e<=z{:o:6=4<{<1f`?36349n?7;>;<1f5?7f12wx?h:50;1x96cc288n70=j3;3a6>;4m=0:m45rs2fe>5<4s49no7;>;<1f5?36349oj7?n9:p7`4=839p1>kl:00f?85b93;i>63<e382e<=z{:no6=4<{<1ff?36349oj7;>;<1g`?7f12wx?h>50;1x96ce288n70=kf;3a6>;4m90:m45rs2fa>5<4s49nm7;>;<1g`?36349on7?n9:p7ac=839p1>kn:00f?85cl3;i>63<dd82e<=z{:n26=4<{<1f=?36349on7;>;<1g=?7f12wx?im50;1x96c>288n70=kb;3a6>;4lj0:m45rs2f4>5<4s49n47;>;<1g=?36349o;7?n9:p7ag=839p1>k7:00f?85c13;i>63<d`82e<=z{:n36=4<{<1f3?75m278h:4>b39>7a>=9h30q~:?b;290~;39=0:n=5243491==:;k;1hi523609`a=z{=;h6=4:{<611?7e827:o94kd:?2g6<cm27?::4;4:?72=<3<2wx8<l50;7x914328h;70?l5;fg?87d<3nn70:97;60?82103>87p};1`83>0}:<;91=o>4=0a5>ab<58i>6ik4=544>14<5=<369<4}r62=?6==r7?>?4>b19>5f1=lm16=n85dd9>031=<8168;65409~w17?290>w0:=1;3a4>;6k10oh63>c68ga>;3>>0?<63;69874>{t<8=1<7;t=503>4d734;h57jk;<3`<?bb34>=;7=i;<65<?5a3ty?=;4?:4y>04`=9k:01<mn:ef894e>2mo01988:2g8910?2:o0q~:>5;290~;39l0:n=521bc9``=:<?=1?i5247:97a=z{=<j6=4=1z?705<3n27??k4;f:?77a<3n27??n4;f:?77g<3n27??l4;f:?77<<3n27??54;f:?772<3n27??;4;f:?770<3n27??94;f:?777<3n27??<4;f:?775<3n27?>k4;f:?76`<3n27?>i4;f:?76f<3n27?>o4;f:?76d<3n27?>44;f:?703<3n27?884;f:?701<3n27?8>4;f:?707<3n27?8<4;f:?77`<3n27??>4;f:?76=<3n27?>:4;f:?1=0<6i01v9;i:18182383?370:98;;:?xu3=l0;6?u242d91==:<?21555rs57`>5<5s4>8h7;7;<65<??03ty?9o4?:3y>06e==1168;65979~w13f2909w0:<b;7;?821033>7p};5883>7}:<:k1955247:9e1=z{=?36=4={<60=?3?34>=47o<;|q712<72;q68>65599>03>=i81v9;9:181824?3?370:98;c3?xu3=<0;6?u242491==:<?215k5rs577>5<5s4>897;7;<65<??b3ty?9>4?:3y>062==1168;659e9~w1362909w0:<2;7;?821033h7p};5183>7}:<:;1955247:9=1=z{=>m6=4={<604?3?34>=;7m7;|q70`<72;q68?h5599>031=1k1v9:k:181825m3?370:97;;:?xu3<j0;6?u243f91==:<?=1555rs56a>5<5s4>9o7;7;<653??03ty?8l4?:3y>07d==1168;95979~w12>2909w0:=a;7;?821?33>7p};4983>7}:<;3195524759e1=z{=<=6=4={<672?3?34>=;7o<;|q720<72;q689;5599>031=k?1v98;:181823<3?370:97;c1?xu3>:0;6?u245191==:<?=1m<5rs541>5<5s4>?>7;7;<653?g73ty?:<4?:3y>017==1168;959g9~w1072909w0:<e;7;?821?33n7p};5e83>7}:<:9195524759=a=z{=?96=4={<61<?3?34>=;77l;|q702<72;q68?95599>031=1=1v?k>:18184b93;j563=e28f4>{t:<91<7=t=371>ac<5;?>6ih4=372>4g>3ty:in4?:2y>5`d=ll16=hk5dg9>5`g=9h30q~:9b;297~;4kk0:m45247590=5<5=<3696<;|q0=<<72;q6?4l5e19>7<?=9;l0q~?8e;296~;41h0:m45238;9a5=z{82;6=4={<0f0?7f1279i>4kf:p6`4=838p1?k<:ef897c5288m7ps|50f94?4|V=no70:6:5fg?!20m3;246s|50`94?4|V=nh70:6:5f`?!20m3;j?6s|50c94?4|V=ni70:6:5fa?!20m3;j86s|50;94?4|V=nj70:6:5fb?!20m3;j96s|50594?4|V=n370:6:5f;?!20m3;8h6s|50494?4|V=n<70:6:5f4?!20m3;8i6s|50794?4|V=n=70:6:5f5?!20m3;8j6s|50694?4|V=n>70:6:5f6?!20m3;?>6s|50194?4|V=n?70:6:5f7?!20m3;?:6s|50094?4|V=n870:6:5f0?!20m3;?n6s|50394?4|V=n970:6:5f1?!20m3;>:6s|50294?4|V=n:70:6:5f2?!20m3;==6s|51d94?4|V=n;70:6:5f3?!20m3;=o6s|51g94?4|V=im70:6:5ae?!20m3;=j6s|51a94?4|V=io70:6:5ag?!20m3;<<6s|51`94?4|V=ih70:6:5a`?!20m3;<=6s|51c94?4|V=ii70:6:5aa?!20m3;<>6s|51;94?4|V=ij70:6:5ab?!20m3;<?6s|51:94?4|V=i270:6:5a:?!20m3;<86s|51594?4|V=i370:6:5a;?!20m3;<96s|51494?4|V=i<70:6:5a4?!20m3;<:6s|51794?4|V=i=70:6:5a5?!20m3;<;6s|51694?4|V=i>70:6:5a6?!20m3;<46s|51194?4|V=i?70:6:5a7?!20m3;<56s|51394?4|V=i970:6:5a1?!20m3;<m6s|51294?4|V=i:70:6:5a2?!20m3;<n6s|4gd94?4|V=i;70:6:5a3?!20m3;<o6s|4gg94?4|V=hm70:6:5`e?!20m3;<h6s|4gf94?4|V=hn70:6:5`f?!20m3;<i6s|4ga94?4|V=ho70:6:5`g?!20m3;<j6s|4g`94?4|V=hh70:6:5``?!20m3;3<6s|4gc94?4|V=hi70:6:5`a?!20m3;3=6s|4g;94?4|V=hj70:6:5`b?!20m3;3>6s|4g:94?4|V=h270:6:5`:?!20m3;3?6s|4g494?4|V=h<70:6:5`4?!20m3;386s|4g794?4|V=h=70:6:5`5?!20m3;396s|4g694?4|V=h>70:6:5`6?!20m3;3:6s|4g194?4|V=h?70:6:5`7?!20m3;3;6s|4g094?4|V=h870:6:5`0?!20m3;346s|4g394?4|V=h970:6:5`1?!20m3;356s|4g294?4|V=h:70:6:5`2?!20m3;3m6s|4dd94?4|V=h;70:6:5`3?!20m3;3n6s|4dg94?4|V=km70:6:5ce?!20m3;3o6s|4df94?4|V=kn70:6:5cf?!20m3;3h6s|4d`94?4|V=kh70:6:5c`?!20m3;3i6s|4dc94?4|V=ki70:6:5ca?!20m3;3j6s|4d;94?4|V=kj70:6:5cb?!20m3;2<6s|4d:94?4|V=k270:6:5c:?!20m3;2=6s|4d594?4|V=k370:6:5c;?!20m3;2>6s|4d494?4|V=k<70:6:5c4?!20m3;2?6s|4d794?4|V=k=70:6:5c5?!20m3;286s|4d694?4|V=k>70:6:5c6?!20m3;296s|4d194?4|V=k?70:6:5c7?!20m3;2:6s|4d094?4|V=k870:6:5c0?!20m3;2;6s|53394?4|V=o;70:6:5g3?!20m3;256s|53294?4|V=nm70:6:5fe?!20m3;2m6s|50d94?4|V=nn70:6:5ff?!20m3;2n6s|50g94?4|V=n270:6:5f:?!20m3;2o6s|50:94?4|V=in70:6:5af?!20m3;2h6s|51f94?4|V=i870:6:5a0?!20m3;2i6s|51094?4|V=h370:6:5`;?!20m3;2j6s|4g594?4|V=ko70:6:5cg?!20m3;j<6s|4da94?4|V=k970:6:5c1?!20m3;j=6s|4d394?4|V=k:70:6:5c2?!20m3;j>6s|5`d94?4|V<km70:6:4ce?!20m3;?<6s|5ca94?4|V<hh70:6:4``?!20m3;?=6s|5cg94?4|V<hn70:6:4`f?!20m3;??6s|5b494?4|V<i=70:6:4a5?!20m3;?86s|5e394?4|V<n:70:6:4f2?!20m3;?96s|54`94?4|V<9i70:6:41a?!20m3;?;6s|54;94?4|V<9j70:6:41b?!20m3;?46s|54594?4|V<9370:6:41;?!20m3;?56s|54494?4|V<9<70:6:414?!20m3;?m6s|54794?4|V<9=70:6:415?!20m3;?o6s|54694?4|V<9>70:6:416?!20m3;?h6s|54194?4|V<9?70:6:417?!20m3;?i6s|54094?4|V<9870:6:410?!20m3;?j6s|54394?4|V<9970:6:411?!20m3;><6s|54294?4|V<9:70:6:412?!20m3;>=6s|55d94?4|V<9;70:6:413?!20m3;>>6s|55g94?4|V<8m70:6:40e?!20m3;>?6s|55a94?4|V<8o70:6:40g?!20m3;>86s|55`94?4|V<8h70:6:40`?!20m3;>96s|55c94?4|V<8i70:6:40a?!20m3;>;6s|55;94?4|V<8j70:6:40b?!20m3;>46s|55:94?4|V<8270:6:40:?!20m3;>56s|55594?4|V<8370:6:40;?!20m3;>m6s|55494?4|V<8<70:6:404?!20m3;>n6s|55794?4|V<8=70:6:405?!20m3;>o6s|55694?4|V<8>70:6:406?!20m3;>h6s|55194?4|V<8?70:6:407?!20m3;>i6s|57094?4|V<>:70:6:462?!20m3;>j6s|57394?4|V<>;70:6:463?!20m3;=<6s|57294?4|V<9m70:6:41e?!20m3;=>6s|54d94?4|V<9n70:6:41f?!20m3;=?6s|54g94?4|V<9o70:6:41g?!20m3;=86s|54f94?4|V<9h70:6:41`?!20m3;=96s|54a94?4|V<9270:6:41:?!20m3;=:6s|54:94?4|V<8n70:6:40f?!20m3;=;6s|55f94?4|V<8870:6:400?!20m3;=46s|55094?4|V<8970:6:401?!20m3;=56s|57694?4|V<<?70:6:447?!20m3;=m6s|57c94?4|V<<j70:6:44b?!20m3;=n6s|57g94?4|V<<n70:6:44f?!20m3;=h6s|58294?4|V<3;70:6:4;3?!20m3;=i6srncd7>5<5sA><j6sabg794?4|@==m7p`mf783>7}O<>l0qcli7;296~N3?o1vboh7:181M20n2wenk750;0xL11a3tdijl4?:3yK02`<ughmn7>52zJ73c=zfklh6=4={I64b>{ijon1<7<tH55e?xhenl0;6?uG46d8ykdan3:1>vF;7g9~jf672909wE:8f:mg57=838pD99i;|l`47<72;qC8:h4}oa37?6=:rB?;k5rnb27>5<5sA><j6sac1794?4|@==m7p`l0783>7}O<>l0qcm?7;296~N3?o1vbn>7:181M20n2weo=750;0xL11a3tdh<l4?:3yK02`<ugi;n7>52zJ73c=zfj:h6=4={I64b>{ik9n1<7<tH55e?xhd8l0;6?uG46d8yke7n3:1>vF;7g9~jf772909wE:8f:mg47=838pD99i;|l`57<72;qC8:h4}oa27?6=:rB?;k5rnb37>5<5sA><j6sac0794?4|@==m7p`l1783>7}O<>l0qcm>7;296~N3?o1vbn?7:181M20n2weo<750;0xL11a3tdh=l4?:3yK02`<ugi:n7>52zJ73c=zfj;h6=4={I64b>{ik8n1<7<tH55e?xhd9l0;6?uG46d8yke6n3:1>vF;7g9~jf472909wE:8f:mg77=838pD99i;|l`67<72;qC8:h4}oa17?6=:rB?;k5rnb07>5<5sA><j6sac3794?4|@==m7p`l2783>7}O<>l0qcm=7;296~N3?o1vbn<7:181M20n2weo?750;0xL11a3tdh>l4?:3yK02`<ugi9n7>52zJ73c=zfj8h6=4={I64b>{ik;n1<7<tH55e?xhd:l0;6?uG46d8yke5n3:1>vF;7g9~jf572909wE:8f:mg67=838pD99i;|l`77<72;qC8:h4}oa07?6=:rB?;k5rnb17>5<5sA><j6sac2794?4|@==m7p`l3783>7}O<>l0qcm<7;296~N3?o1vbn=7:181M20n2weo>750;0xL11a3tdh?l4?:3yK02`<ugi8n7>52zJ73c=zfj9h6=4={I64b>{ik:n1<7<tH55e?xhd;l0;6?uG46d8yke4n3:1>vF;7g9~jf272909wE:8f:mg17=838pD99i;|l`07<72;qC8:h4}oa77?6=:rB?;k5rnb67>5<5sA><j6sac5794?4|@==m7p`l4783>7}O<>l0qcm;7;296~N3?o1vbn:7:181M20n2weo9750;0xL11a3tdh8l4?:3yK02`<ugi?n7>52zJ73c=zfj>h6=4={I64b>{ik=n1<7<tH55e?xhd<l0;6?uG46d8yke3n3:1>vF;7g9~jf372909wE:8f:mg07=838pD99i;|l`17<72;qC8:h4}oa67?6=:rB?;k5rnb77>5<5sA><j6sac4794?4|@==m7p`l5783>7}O<>l0qcm:7;296~N3?o1vbn;7:181M20n2weo8750;0xL11a3tdh9l4?:3yK02`<ugi>n7>52zJ73c=zfj?h6=4={I64b>{i1on1<7?tH55e?xhf>?0;6<uG46d8ykg1?3:1=v*>3986g7=O<>l0qco98;295~"6;10>o?5G46d8ykg113:1=v*>3986g7=O<>l0qco9a;295~"6;10>o?5G46d8ykg1j3:1=v*>3986g7=O<>l0qco9c;295~"6;10>o?5G46d8ykg1l3:1=vF;7g9~jd0b290:wE:8f:me3`=83;pD99i;|lb35<728qC8:h4}oc45?6=9rB?;k5rn`51>5<6sA><j6saa6194?7|@==m7p`n7583>4}O<>l0qco85;295~N3?o1vbl99:182M20n2wem:950;3xL11a3tdj;54?:0yK02`<ugk<57>51zJ73c=zfh=j6=4>{I64b>{ii>h1<7?tH55e?xhf?j0;6<uG46d8ykg0l3:1=vF;7g9~jd1b290:wE:8f:me2`=83;pD99i;|lb<5<728qC8:h4}oc;5?6=9rB?;k5rn`:1>5<6sA><j6saa9194?7|@==m7p`n8583>4}O<>l0qco75;295~N3?o1vbl69:182M20n2wem5950;3xL11a3tdj454?:0yK02`<ugk357>51zJ73c=zfh2j6=4>{I64b>{ii1h1<7?tH55e?xhf0j0;6<uG46d8ykg?l3:1=vF;7g9~jd>b290:wE:8f:me=`=83;pD99i;|lb=5<728qC8:h4}oc:5?6=9rB?;k5rn`;1>5<6sA><j6saa8194?7|@==m7p`n9583>4}O<>l0qco65;295~N3?o1vbl79:182M20n2wem4950;3xL11a3tdj554?:0yK02`<ugk257>51zJ73c=zfh3j6=4>{I64b>{ii0h1<7?tH55e?xhf1j0;6<uG46d8ykg>l3:1=vF;7g9~jd?b290:wE:8f:me<`=83;pD99i;|lbe5<728qC8:h4}ocb5?6=9rB?;k5rn`c1>5<6sA><j6saa`194?7|@==m7p`na583>4}O<>l0qcon5;295~N3?o1vblo9:182M20n2weml950;3xL11a3tdjm54?:0yK02`<ugkj57>51zJ73c=zfhkj6=4>{I64b>{iihh1<7?tH55e?xhfij0;6<uG46d8ykgfl3:1=vF;7g9~jdgb290:wE:8f:med`=83;pD99i;|lbf5<728qC8:h4}oca5?6=9rB?;k5rn``1>5<6sA><j6saac194?7|@==m7p`nb583>4}O<>l0qcom5;295~N3?o1vbll9:182M20n2wemo950;3xL11a3tdjn54?:0yK02`<ugki57>51zJ73c=zfhhj6=4>{I64b>{iikh1<7?tH55e?xhfjj0;6<uG46d8ykgel3:1=vF;7g9~jddb290:wE:8f:meg`=83;pD99i;|lbg5<728qC8:h4}oc`5?6=9rB?;k5rn`a1>5<6sA><j6saab194?7|,89368m=;I64b>{iij>1<7?t$01;>0e53A><j6saab794?7|@==m7p`nc783>4}#9:219n<4H55e?xhfk>0;6<uG46d8ykgd03:1=v*>3986g7=O<>l0qcol9;295~N3?o1vblmn:182!7403?h>6F;7g9~jdee290:wE:8f:mefe=83;p(<=7:4a1?M20n2wemnj50;3xL11a3tdjoh4?:0y'56>==j80D99i;|lbgc<728qC8:h4}ocg4?6=9r.:?54:c39K02`<ugko=7>51zJ73c=zfhn96=4>{%30<?3d:2B?;k5rn`f0>5<6sA><j6saae694?7|,89368m=;I64b>{iim?1<7?t$01;>0e53A><j6saae494?7|@==m7p`nd683>4}#9:219n<4H55e?xhfl10;6<uG46d8ykgc13:1=v*>3986g7=O<>l0qcoka;295~N3?o1vbljm:182!7403?h>6F;7g9~jdbd290:wE:8f:meab=83;p(<=7:4a1?M20n2wemik50;3xL11a3tdjhk4?:0y'56>==j80D99i;|lba5<728qC8:h4}ocf5?6=9r.:?54:c39K02`<ugkn>7>51zJ73c=zfho86=4>{%30<?3d:2B?;k5rn`g7>5<6sA><j6saad794?7|@==m7p`ne783>4}O<>l0qcoj7;295~N3?o1vblk7:182M20n2wemh750;3xL11a3tdjil4?:0yK02`<ugknn7>51zJ73c=zfhoh6=4>{I64b>{iiln1<7?tH55e?xhfml0;6<uG46d8ykgbn3:1=vF;7g9~jd`7290:wE:8f:mec7=83;pD99i;|lbb7<728qC8:h4}oce7?6=9rB?;k5rn`d7>5<6sA><j6saag794?7|@==m7p`nf783>4}O<>l0qcoi7;295~N3?o1vblh7:182!7403?h>6F;7g9~jd`>290:wE:8f:mecg=83;pD99i;|lbbg<728qC8:h4}oceg?6=9rB?;k5rn`dg>5<6sA><j6saagg94?7|@==m7p`nfg83>4}O<>l0qcl?0;295~N3?o1vbo>>:182M20n2wen=<50;3xL11a3tdi<>4?:0yK02`<ugh;87>51zJ73c=zfk:>6=4>{I64b>{ij9<1<7?tH55e?xhe8>0;6<uG46d8ykd703:1=vF;7g9~jg6>290:wE:8f:mf5g=83;pD99i;|la4g<728qC8:h4}o`3g?6=9rB?;k5rnc2g>5<6sA><j6sab1g94?7|@==m7p`m0g83>4}O<>l0qcl>0;295~N3?o1vbo?>:182M20n2wen<<50;3xL11a3tdi=>4?:0yK02`<ugh:87>51zJ73c=zfk;>6=4>{I64b>{ij8<1<7?tH55e?xhe9>0;6<uG46d8ykd603:1=vF;7g9~jg7>290:wE:8f:mf4g=83;pD99i;|la5g<728qC8:h4}o`2g?6=9rB?;k5rnc3g>5<6sA><j6sab0g94?7|@==m7p`m1g83>4}O<>l0qcl=0;295~N3?o1vbo<>:182M20n2wen?<50;3xL11a3tdi>>4?:0yK02`<ugh987>51zJ73c=zfk8>6=4>{I64b>{ij;<1<7?tH55e?xhe:>0;6<uG46d8ykd503:1=vF;7g9~jg4>290:wE:8f:mf7g=83;pD99i;|la6g<728qC8:h4}o`1g?6=9rB?;k5rnc0g>5<6sA><j6sab3g94?7|@==m7p`m2g83>4}O<>l0qcl<0;295~N3?o1vbo=>:182M20n2wen><50;3xL11a3tdi?>4?:0yK02`<ugh887>51zJ73c=zfk9>6=4>{I64b>{ij:<1<7?tH55e?xhe;>0;6<uG46d8ykd403:1=vF;7g9~jg5>290:wE:8f:mf6g=83;pD99i;|la7g<728qC8:h4}o`0g?6=9rB?;k5rnc1g>5<6sA><j6sab2g94?7|@==m7p`m3g83>4}O<>l0qcl;0;295~N3?o1vbo:>:182M20n2wen9<50;3xL11a3tdi8>4?:0yK02`<ugh?87>51zJ73c=zfk>>6=4>{I64b>{ij=<1<7?tH55e?xhe<>0;6<uG46d8ykd303:1=vF;7g9~jg2>290:wE:8f:mf1g=83;pD99i;|la0g<728qC8:h4}o`7g?6=9rB?;k5rnc6g>5<6sA><j6sab5g94?7|@==m7p`m4g83>4}O<>l0qcl:0;295~N3?o1vbo;>:182M20n2wen8<50;3xL11a3tdi9>4?:0yK02`<ugh>87>51zJ73c=zfk?>6=4>{I64b>{ij<<1<7?tH55e?xhe=>0;6<uG46d8ykd203:1=vF;7g9~jg3>290:wE:8f:mf0g=83;pD99i;|la1g<728qC8:h4}o`6g?6=9rB?;k5rnc7g>5<6sA><j6sab4g94?7|@==m7p`m5g83>4}O<>l0qcl90;295~N3?o1vbo8>:182M20n2wen;<50;3xL11a3tdi:>4?:0yK02`<ugh=87>51zJ73c=zfk<>6=4>{I64b>{ij?<1<7?tH55e?xhe>>0;6<uG46d8ykd103:1=vF;7g9~jg0>290:wE:8f:mf3g=83;pD99i;|la2g<728qC8:h4}o`5g?6=9rB?;k5rnc4g>5<6sA><j6sab7g94?7|@==m7p`m6g83>4}O<>l0qcl80;295~N3?o1vbo9>:182M20n2wen:<50;3xL11a3tdi;>4?:0yK02`<ugh<87>51zJ73c=zfk=>6=4>{I64b>{ij><1<7?tH55e?xhe?>0;6<uG46d8ykd003:1=vF;7g9~jg1>290:wE:8f:mf2g=83;pD99i;|la3g<728qC8:h4}o`4g?6=9rB?;k5rnc5g>5<6sA><j6sab6g94?7|@==m7p`m7g83>4}O<>l0qcl70;295~N3?o1vbo6>:182M20n2wen5<50;3xL11a3tdi4>4?:0yK02`<ugh387>51zJ73c=zfk2>6=4>{I64b>{ij1<1<7?tH55e?xhe0>0;6<uG46d8ykd?03:1=vF;7g9~jg>>290:wE:8f:mf=g=83;pD99i;|la<g<728qC8:h4}o`;g?6=9rB?;k5rnc:g>5<6sA><j6sab9g94?7|@==m7p`m8g83>4}O<>l0qcl60;295~N3?o1vbo7>:182M20n2wen4<50;3xL11a3tdi5>4?:0yK02`<ugh287>51zJ73c=zfk3>6=4>{I64b>{ij0<1<7?tH55e?xhe1>0;6<uG46d8ykd>03:1=vF;7g9~jg?>290:wE:8f:mf<g=83;pD99i;|la=g<728qC8:h4}o`:g?6=9rB?;k5rnc;g>5<6sA><j6sab8g94?7|@==m7p`m9g83>4}O<>l0qcln0;295~N3?o1vboo>:182M20n2wenl<50;3xL11a3tdim>4?:0yK02`<ughj87>51zJ73c=zfkk>6=4>{I64b>{ijh<1<7?tH55e?xhei>0;6<uG46d8ykdf03:1=vF;7g9~jgg>290:wE:8f:mfdg=83;pD99i;|laeg<728qC8:h4}o`bg?6=9rB?;k5rnccg>5<6sA><j6sab`g94?7|@==m7p`mag83>4}O<>l0qclm0;295~N3?o1vbol>:182M20n2weno<50;3xL11a3tdin>4?:0yK02`<ughi87>51zJ73c=zfkh>6=4>{I64b>{ijk<1<7?tH55e?xhej>0;6<uG46d8ykde03:1=vF;7g9~jgd>290:wE:8f:mfgg=83;pD99i;|lafg<728qC8:h4}o`ag?6=9rB?;k5rnc`g>5<6sA><j6sabcg94?7|@==m7p`mbg83>4}O<>l0qcll0;295~N3?o1vbom>:182M20n2wenn<50;3xL11a3tdio>4?:0yK02`<ughh87>51zJ73c=zfki>6=4>{I64b>{ijj<1<7?tH55e?xhek>0;6<uG46d8ykdd03:1=vF;7g9~jge>290:wE:8f:mffg=83;pD99i;|lagg<728qC8:h4}o``g?6=9rB?;k5rncag>5<6sA><j6sabbg94?7|@==m7p`mcg83>4}O<>l0qclk0;295~N3?o1vboj>:182M20n2weni<50;3xL11a3tdih>4?:0yK02`<ugho87>51zJ73c=zfkn>6=4>{I64b>{ijm<1<7?tH55e?xhel>0;6<uG46d8ykdc03:1=vF;7g9~jgb>290:wE:8f:mfag=83;pD99i;|la`g<728qC8:h4}o`gg?6=9rB?;k5rncfg>5<6sA><j6sabeg94?7|@==m7p`mdg83>4}O<>l0qclj0;295~N3?o1vbok>:182M20n2wenh<50;3xL11a3tdii>4?:0yK02`<ughn87>51zJ73c=zfko>6=4>{I64b>{ijl<1<7?tH55e?xhem>0;6<uG46d8ykdb03:1=vF;7g9~jgc>290:wE:8f:mf`g=83;pD99i;|laag<728qC8:h4}o`fg?6=9rB?;k5rncgg>5<6sA><j6sabdg94?7|@==m7p`meg83>4}O<>l0qcli0;295~N3?o1vboh>:182M20n2wenk<50;3xL11a3tdij>4?:0yK02`<utwvLMMte479724flk38qMNM{1CDU}zHI
/trunk/npi_vga_v1_00_b/netlist/fifo_sp_64.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:09:17 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc3s1200e
SET devicefamily = spartan3e
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = fg320
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -4
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_sp_64
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=64
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=false
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: 216347af
 
/trunk/npi_vga_v1_00_b/netlist/fifo_v4_32.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$4;f40<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>;0185+66<2;>6D@_UU8B@ATF48>1<3?;;079MKVR\3KOH_L31583:4=59;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=87>0?><<2:1;5>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0j;5te|44tn:<8!<<>;7082<2<>03=8686A0082@7<?812867?0808=32<1?<2=h56:HLSQQ<wzfmTi`~{y^vkv`uo410;2?;49;KMTPR=x{elShctx]wlwct`Vkxh|{<983:73<13CE\XZ5psmd[`kw|pUdk|h^lfcdrb410;2<j49;KMTPR=x{elSk{cl^vkv`uo410;2?:49;KMTPR=x{elSk{cl^vkv`uoWhyxiz38;2=61=>2@D[YY4rne\bpjkW}byi~fPndebp`:?294:4675OTVSQQ<ci}kTob{at=:94;7e300DYY^ZT;pg[agsiVidycz38;2=5g=>2F__\XZ5ws]geqgXkfex1650?68ED6502KOH_O30?;8EABUI5;;245NDEPB847912KOH_O313<:?DBCZH6:?3l4AEFQE97329427LJKR@>20;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=546OKDSC?<;><IMNYM1718:CG@WD;8730MIJ]B=33:<=FLMXI0<?19:CG@WD;9;427LJKRC>27;d<IMNYN1?;:1<:?DBCZK6:8364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?912KXUCMPRDE2?G5<J\837O[\_HLEK4=D<2IJ4Lo4C@Q@EACNFHk0OL]LAEGJJGd<KHYHMIK@UUCa?FGTKHNNCXZM2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?38A6=BFH90ICL;;G2;47=A0<1MMA]J2:DG7>@CK=1MHNK<;GFS0>@CXL>0JK??4:DE652<NO2;86HIE@78Bdjtm81L?6IAD09J6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL6;HLJPZ@NDL90ECX>2:KMR@TSWJF@SIAN_SGD57=NF_OYXRMCK^FLFZTBO:1BCO<4LH08HJ0<DFKOII94LNEJGDJ33E__=95CUU07?ISS;?1GYY=PL59OQQ213E__8RB:;LQDKK3<E\RHUn5BakmqR`ttafdh7@gaosTfvvohf;1E=>5A1118J4733G;:=95A1007?K76;=1E=<:;;O3211=I98<?7C?>759M54>33G;:5>5A1368J447<2D:><:4N0010>H6::>0B<<;4:L2602<F88=86@>2668J44?<2D:>4=4N017?K748=1E=>?;;O3061=I9:9?7C?<459M56333G;8:95A1257?K740=1E=>7<;O370>H6<9>0B<:>4:L2072<F8>886@>4568J421<2D:8:=4N070?K71;2D:;>5A1918J4?43G8;?6@=129M675<F;987C<;3:L116=I:?90B?9<;O0;7>H51:1E?==4N230?K55;2D8?>5A3518J6343G9=?6@<729M7=5<F=:97C8=;O51?K>53G387C7=3:L:76=I1<90B49<;O;;b>HEWK_X\D@PPSMSW2=IM]]D^F:4NNLF5>I53FA:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U3=UIDH::k5]AL]F\QCI[@EESLh4R@O\A]RBFZCDBRLl;SGB@ZQNL]BTMn5]E@F\SLBS@VH=7_KHCDC5?WC@KLH:7^64S@TF@DRF9:1XE@QLOMNJWLIIWJBNOF84SNWQG@1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XL997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2346YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1232ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678>UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?06]Qavsk|88:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7<3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?6;463\:$kh!rg-dg}(ddbr$~iQnup\flh;;78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d783<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`35?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?2;463\:$kh!rg-dg}(ddbr$~iQnup\flh;?78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d743<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P0328Q5)`zo$yj"ilx/aoo})ulVzexQmio]265=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ4582_;#j|i.sd,cf~)keas#jPpovq[goiW:8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT8?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ:219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^414>S7'nxm"h gbz-gim'{nT|cz}_ckm[2473\:$kh!rg-dg}(ddbr$~iQnup\flhX0;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0104?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789::>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123571<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<<?=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4565:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?2005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78999;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0120670<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<9<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34526:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?5358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678<;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}01256f=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;:<?PSV21g>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:==<Q\W004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<9>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123371<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<:?=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm30?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>2:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg949:91^<"i}f/pe+be&jf`t"|k_qlwvZad4:49<6[?/fpe*w`(ojr%oaew/sf\tkruWni783<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2:>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=4=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`828582_;#j|i.sd,cf~)keas#jPpovq[be;07;m7X> gsd-vc)`kq$h`fv re]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS<?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_23e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]75c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[07a3\:$kh!rg-dg}(ddbr$~iQnup\cfY19o1^<"i}f/pe+be&jf`t"|k_qlwvZadW>;m7X> gsd-vc)`kq$h`fv re]sjqtXojU3>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>3:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7=3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8785>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1=1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:36;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi35?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4?49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=5=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn632?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT=?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\<7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2?>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<27>3:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U;>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z7502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_30;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T??64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y3:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^71<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S;<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X?;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0];60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012464=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj969:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj929:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb181209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=5=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj9>9:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS<<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})pzVzexQmio]065=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#z|Ppovq[goiW<8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ8219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^:12>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:;>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12344413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=?=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq45669;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0304?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt7898:>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123771<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<><=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4563:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?4005?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789?9;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0126570<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;<l;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp345069VY\<?m4U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234376WZ]:>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12327413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=9=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq45609;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5:5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0<0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm32?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>0:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg929:91^<"i}f/pe+be&jf`t"y}_qlwvZad4<49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7:3<?;T2,cw`)zo%lou lljz,swYwf}xTkn28>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=:=5c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[57a3\:$kh!rg-dg}(ddbr${Qnup\cfY69o1^<"i}f/pe+be&jf`t"y}_qlwvZadW;;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU8=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS9?i;T2,cw`)zo%lou lljz,swYwf}xTknQ:1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_73e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]45c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[=413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0=0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc979:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2=>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;;78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<5<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5?5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>5:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7;3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8=85=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ75=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR<=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ55=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR:=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ35=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR8=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ15=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR6=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6494956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2848512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><3<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:0>0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64=4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2808512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><7<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:0:0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6414946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[54?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P13:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U9>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z5502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_50;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T9?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y1:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^51<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S5<:;T2,cw`)zo%lou lljz,swYwf}xTknQwos2345423\:$kh!rg-dg}(ddbr${Qnup\cfYg{:;<<<:;T2,cw`)zo%lou lljz,swYwf}xTknQwos2347423\:$kh!rg-dg}(ddbr${Qnup\cfYg{:;<><:;T2,cw`)zo%lou lljz,swYwf}xTknQwos2341423\:$kh!rg-dg}(ddbr${Qnup\cfYg{:;<8<:;T2,cw`)zo%lou lljz,swYwf}xTknQwos2343423\:$kh!rg-dg}(ddbr${Qnup\cfYg{:;<:<n;T2,cw`)zo%l`= }d.eq5+tao~$^LCPRE]FJZ@PN];9o6[?/fpe*w`(oe:%~i!hr0,qbr`s'[KFS_JPEO]ESCR6W8827X> gsd-vc)`d9$yh"i}1/pescr(ZHGT^HI@UU]FJ4543\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:?;5Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId0/Jj6><]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EWHYANm;&Ec?>329V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`7513\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn9!D`<8:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg6(Oi98837X> gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]ef71<]9%l~k }f.eo4+tc'nx:"hxfu-fiur~Wo}mxRg=e:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXaVey<=>?2g9V4*aun'xm#jb?.sf,cw7)zo}mx"kbpu{\br`sW`Ud~=>?001e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=2=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?5;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}949;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;;79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5>5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs793=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1813g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw37?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=:=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww848382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;:7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6829>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1:1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<4<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?2;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:06=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5258=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We040;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|31?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>1:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv959<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4=4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx793:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc29>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=5=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq8=8382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;178m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$^LCPELRW]Z@EW`d}?;5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"\NM^GNTQ_XNKUbb{?PIOT\46?<]9%l~k }f.eo4+tc'nxj#ie/pgt`)flcxxhjPws]sjqtXzmU{mi2?>2c8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-b`ost|lnT{Qnup\vaYwim6;2<<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjh1?12g9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|lj32?0e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=1=6c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f?0;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`939:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;>78m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl5=5>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn743<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjh1712d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP13g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_30f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^11a>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]76`=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\17c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[34b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z15m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY?:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX1:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<0<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789692>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238684?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2;>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674<48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>5:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;0:0<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:?6:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<8<1f>S7'nxm"h gm2-va)`zhy%k}k!rerf+uthoVof|ywPi248Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-svjaXmdzuRgPclr\h`6789937X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$|ah_dosp|YnWjg{Sak?012176?<]9%l~k }f.eo4+tc'nxj#ie/pgt`)wzfmTi`~{y^k\ghvXdl:;<=<<72;8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-svjaXmdzuRgPclr\h`67898?<>j4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVcTo`~Pld2345438VY\<?74U1-dvc(un&mg<#|k/fpbw+tt|z%h<"mnrs{maq:76;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&ij~waeu>2:7?<]9%l~k }f.eo4+tc'nxj#||tr-`4*efz{seiy2=>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.abvwim}682?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><0<17>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4:56;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:0>0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>7:7d<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6W9Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]0[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x>_5]mkq6789;956[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$ol|}yogw858512_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(khxyuck{<0<1=>S7'nxm"h gm2-va)`zhy%~~z|/b3,gdtuqgo0?0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n? c`pq}kcs4:49j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64949?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2>>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28785;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><5<1f>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4Y7Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t2[4Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0]1[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x>_2]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<Q;_omw45679;:0Y=!hrg,qb*ak8'xo#j|ns/pppv)dgdz;>=5Z0.eqb+ta'nf;"j gscp*wus{&ida}?=9:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVc8<6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]efZoXg{:;<==>;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0000?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp7433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov761=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}?986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at707?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz7289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:5>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}969W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8485k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<0<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw32?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7>3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp682?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:46Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}929:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=6=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<4<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir080Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7:3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;>7Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:06;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>4:Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:36;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?1;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<7<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{632?j4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;07Uyx<h4U1-dvc(un&mg<#|k/srmpw)dg|d0=0>f:W3+bta&{l$ka>!re-qtkru'je~by2>>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<3<2b>S7'nxm"h gm2-va)uxg~y#naznu>0:4`<]9%l~k }f.eo4+tc'{zex!lotlw8186n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:268l0Y=!hrg,qb*ak8'xo#~ats-`kphs4?4:j6[?/fpe*w`(oe:%~i!}povq+firf}6<2<h4U1-dvc(un&mg<#|k/srmpw)dg|d050>e:W3+bta&{l$ka>!re-qtkru'je~byQ?1d9V4*aun'xm#jb?.sf,vuhsz&idyczP10g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_33f?P6(o{l%~k!hl1,q`*twf}x$ob{at^12a>S7'nxm"h gm2-va)uxg~y#naznu]75`=R8&myj#|i/fn3*wb(zyd~"m`uov\14c<]9%l~k }f.eo4+tc'{zex!lotlw[37b3\:$kh!rg-dh5(ul&x{by| cnwmpZ16m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY?:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86;2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?31?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28785:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9595>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2;>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?1;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64?49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=191239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>;:77<]9%l~k }f.eo4+tc'{zex!lotlw[a7X8;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T=??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P2338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\777<]9%l~k }f.eo4+tc'{zex!lotlw[a7X<;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T9??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P6338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\377<]9%l~k }f.eo4+tc'{zex!lotlw[a7X0:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4948j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6:2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0?0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2<>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<5<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>6:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8384n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:06:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~414?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7=3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2=>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=1=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww818382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;=7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6=29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x191419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<9<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?=;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:46=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5>58=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey080;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|36?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>4:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv9>9<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4049j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim682?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko090=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2:>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<7<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>4:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8=85n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:>6;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;;79<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=6=72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:793=8;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhRokd1234909;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>37?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`5678525?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?=;4f3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(x{elSk{cl^k06>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_lw{4564;=1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&zycjQiumn\mZkrp9:;?<9<4:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/qplcZ`rdeUbS`{w012065543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(x{elSk{cl^k\ip~7899>??5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVddx=>?1218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQaou23447502_;#j|i.sd,ci6){%l{l}!gqd-swva'~xT~~zPd00:?P6(o{l%~k!hl1,tv*apiz$|y} c0-`ewt~fl~7<3<6;T2,cw`)zo%l`= xr.etev(p{}y$o<!laspzj`r;97827X> gsd-vc)`d9$|~"ixar,twqu(k8%hm|vndv?6;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)di{xrbhz33?0e?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW<S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?30?00?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7>3<<;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=1=66=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7;<78i7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=R>Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T=Road123444e3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q9V8Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7X;Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5Z2Xff~;<=>>289V4*aun'xm#jb?.vp,crgt&~y"m=/bcqv|hb|5:5>45Z0.eqb+ta'nf;"z| gvcp*rus{&i9#no}rxlfp979:01^<"i}f/pe+bj7&~x$kzo|.vqww*e5'jky~t`jt=0=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+fguzpdnx1=12g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>1229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?5;443\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9585>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?33?00?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5929:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';T<Road123444e3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9V;Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m=/w3\0Zhh|9:;<<<?;T2,cw`)zo%l`= xr.etev(p{}y$obc1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr16==R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUmnRg=5:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZo5k2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`RgPos23454c3\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQ`r123447c3\:$kh!rg-dh5(pz&xjaRy}_dl\m47a3\:$kh!rg-dh5(pz&xjaRy}_dl\m4Y69o1^<"i}f/pe+bj7&~x$~lcPws]fjZo6W;887X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw772<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr?:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;:78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?2;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw36?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{2`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6;2?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;978j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8785i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=1=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2;>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx793<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4?49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv919:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>;:7b<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|38?]qp4`<]9%l~k }f.eo4+qu'~zex!lotlw8586n2_;#j|i.sd,ci6){%||cz}/bmvjq:668l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4;4:j6[?/fpe*w`(oe:%{!xpovq+firf}682<h4U1-dvc(un&mg<#y}/vrmpw)dg|d090>f:W3+bta&{l$ka>!ws-ttkru'je~by2:>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<7<2b>S7'nxm"h gm2-sw)pxg~y#naznu>4:4`<]9%l~k }f.eo4+qu'~zex!lotlw8=86m2_;#j|i.sd,ci6){%||cz}/bmvjqY79l1^<"i}f/pe+bj7&~x${}`{r.alqkrX98o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW;;n7X> gsd-vc)`d9$|~"ynup,gjsi|V9:i6[?/fpe*w`(oe:%{!xpovq+firf}U?=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T9<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS;?j;T2,cw`)zo%l`= xr.usjqt(kfexR9>e:W3+bta&{l$ka>!ws-ttkru'je~byQ7239V4*aun'xm#jb?.vp,suhsz&idyczPd0>3:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;97897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=1=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;793<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><7<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8632??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T???4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P4338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\177<]9%l~k }f.eo4+qu'~zex!lotlw[a7X>;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T;??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P8308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?4;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54849>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1<1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>0:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;<7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn9080=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=4=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:06;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8743<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W;8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S><>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_502?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[0463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W?8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S:<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_935?P6(o{l%~k!bel-gkprXaV:::6[?/fpe*w`(elg$hb{{_h]252=R8&myj#|i/lgn+air|VcT==?8;T2,cw`)zo%fi`!kotv\mZ769>1^<"i}f/pe+hcj'me~xRgP1334?P6(o{l%~k!bel-gkprXaV;8=:5Z0.eqb+ta'dof#iazt^k\51703\:$kh!rg-nah)cg|~TeR?:169V4*aun'xm#`kb/emvpZoX9?;<7X> gsd-vc)jmd%ocxzPi^3452=R8&myj#|i/lgn+air|VcT=5?8;T2,cw`)zo%fi`!kotv\mZ7>9?1^<"i}f/pe+hcj'me~xRgP2058Q5)`zo$yj"cjm.flqqYnW;::;6[?/fpe*w`(elg$hb{{_h]1541<]9%l~k }f.ofi*bh}}UbS?<>7:W3+bta&{l$ahc dnww[lY5;8=0Y=!hrg,qb*kbe&ndyyQf_3623>S7'nxm"h mdo,`jssW`U99<94U1-dvc(un&gna"j`uu]j[706?2_;#j|i.sd,i`k(lfSdQ=7058Q5)`zo$yj"cjm.flqqYnW;2:;6[?/fpe*w`(elg$hb{{_h]1=40<]9%l~k }f.ofi*bh}}UbS>?8;T2,cw`)zo%fi`!kotv\mZ579>1^<"i}f/pe+hcj'me~xRgP3035?P6(o{l%~k!bel-gkprXaV>::6[?/fpe*w`(elg$hb{{_h]653=R8&myj#|i/lgn+air|VcT:<84U1-dvc(un&gna"j`uu]j[2713\:$kh!rg-nah)cg|~TeR6>6:W3+bta&{l$ahc dnww[lY>901^<"i}f/pe+hcj'me~xRcjm=2=5d=R8&myj#|i/lgn+air|Vgna1??>0c8Q5)`zo$yj"cjm.flqqYjmd6:=3?n;T2,cw`)zo%fi`!kotv\i`k;9;4:m6[?/fpe*w`(elg$hb{{_lgn84599h1^<"i}f/pe+hcj'me~xRcjm=37:4g<]9%l~k }f.ofi*bh}}Ufi`2>5?3b?P6(o{l%~k!bel-gkprXelg7=;0>a:W3+bta&{l$ahc dnww[hcj48=5=l5Z0.eqb+ta'dof#iazt^ofi97?68k0Y=!hrg,qb*kbe&ndyyQbel>2=;7>3\:$kh!rg-nah)cg|~Tahc31?3b?P6(o{l%~k!bel-gkprXelg7>=0>a:W3+bta&{l$ahc dnww[hcj4;;5=l5Z0.eqb+ta'dof#iazt^ofi94568k0Y=!hrg,qb*kbe&ndyyQbel>17;7f3\:$kh!rg-nah)cg|~Tahc325<2e>S7'nxm"h mdo,`jssWdof0?;11`9V4*aun'xm#`kb/emvpZkbe58=2<o4U1-dvc(un&gna"j`uu]nah:5?7;j7X> gsd-vc)jmd%ocxzPmdo?6=86i2_;#j|i.sd,i`k(lfS`kb<3;=5<=R8&myj#|i/lgn+air|Vgna1<11`9V4*aun'xm#`kb/emvpZkbe59;2<o4U1-dvc(un&gna"j`uu]nah:497;27X> gsd-vc)jmd%ocxzPmdo?7;7>3\:$kh!rg-nah)cg|~Tahc34?3:?P6(o{l%~k!bel-gkprXelg793?6;T2,cw`)zo%fi`!kotv\i`k;>7;27X> gsd-vc)jmd%ocxzPmdo?3;7>3\:$kh!rg-nah)cg|~Tahc38?3:?P6(o{l%~k!bel-gkprXelg75399;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W=S!r`o-v*p3Wgebbdz!r`o,WAV)[@DL@HQ_RHO-WAV6j2_;#j|i.sd,i`k(|dzT~lcPre]fj4e<]9%l~k }f.ofi*rjxVxjaR|k_dl256=R8&myj#|i/scn[wbXmg;<7X> gsd-vc)uidUyijazt^gm56=R8&myj#|i/scn[rtXmg;o7X> gsd-vc)u{}hgg"|k_sqw[duumn8;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo=?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,vaYu{}Uhc`l>d:W3+bta&{l$~~zmlj-q`Ztt|Vidao?>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1>11d9V4*aun'xm#}{bmi,vaYu{}Uyij2>>0g8Q5)`zo$yj"||tcnh+wbXzz~T~hi32?3g?P6(o{l%~k!}su`oo*tcW{ySkh_13g?P6(o{l%~k!}su`oo*tcW{ySkh_03g?P6(o{l%~k!}su`oo*tcW{ySkh_33g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb59j1^<"i}f/pe+wusjea${Q}su]`khd6l2_;#j|i.sd,vvredb%|~R||t^alig76m2_;#j|i.sd,vvredb%|~R||t^pfc9699l1^<"i}f/pe+wusjea${Q}su]qab:668n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX88n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX9h1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG5?RCF494=7ZKN<0<5?RCF4;437ZKN<283:3=PMH682;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868e3^XBXHQIISQWg>QUA]OTABJJ_@a8SWOSMVGDHHQMd:UQMQCX_@N_DROk;VPJP@YPAM^CSO?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBN:5WSU]DJA1<PZ^TZNMm;Y]@KWCXAGLD:6Vkb^Kgb>^c`VZye`Xjrrklj46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafdh7lbborv\ahvsqk1j``a|t^dvhi0<jhi`y}j4b`ahquYji{an~>5lljf8`drfWje~by&?)e9geqgXkfex%?&d:fbpdYdg|d$?'k;ecweZeh}g~#?$j4d`vb[firf}"?%i5kauc\gjsi|!?"h6jnt`]`kphs ?#o7io{a^alqkr/? n0hlzn_bmvjq.?!o1omyoPcnwmp9>=87=0hog{esp5?aoi 9#=7iga(0+4?aoi 8:";6jfn)32-2=cag":>$94dhl+56/03mce$<:&7:fjj-72!>1oec&>6(58`lh/9>#<7iga(0:*3>bnf!;2%;5kio*1-2=cag"9<$94dhl+64/03mce$?<&7:fjj-44!>1oec&=4(58`lh/:<#<7iga(34*3>bnf!8<%:5kio*1<,1<l`d#>4'9;ekm,6/03mce$>>&7:fjj-56!?1oec&;)79gmk.2!?1oec&9)79gmk.0!?1oec&7)79gmk.>!?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<374dhl?74<76>1oec2<1?48`lh;;7<0hd`34?48`lh;=7<0hd`36?48`lh;?7<0hd`38?48`lh;17=0hb{{(1+4?air|!;"46j`uu*24,><lf$<?&8:flqq.6: 20hb{{(01*<>bh}}":8$64dnww,43.02ndyy&>6(:8`jss 8="46j`uu*2<,><lf$<7&7:flqq.5!11ocxz'21+;?air|!8:%55kotv+67/?3me~x%<<)99gkpr/:=#37iazt)06-==cg|~#>;'7;emvp-40!11ocxz'29+;?air|!82%:5kotv+7,><lf$>>&8:flqq.49 =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf0?618:flqq:517=0hb{{<3<;?air|59;2l5kotv?74<7611ocxz330<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{1b>`nnfUlick}aumq[s2X;%*Seagax!ALV@&@mgoymya} 02-5d=aaoeTz9Q<23:8bl`hW>T??"iigm\c`hbzh~d~Rx;_2.MKKC+FFDN?o?4fhdl[s2X;;&mekaPgdlfvdrhzV|?S>"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUcm~Q}d^fbpdYdg|d1="l_ekm[roc|a78>!mPh`q\swYci}kTob{at<2/gZ`rdeUm`li|_sqw[sgk58&hSdcldofjqgsafdTxt~j=3.`[pubWlgiiijjd^pfc86+kV}ySk|jq<3/gZstmVndyyQ}su?2(fYrfmoyjaax_mmt95*dWakxSbxjrgnls86+kVzyiaand^nbp`hdq4:'oRj`uu]tmaro5:8'oRc|gnl\rdj:9%iT~iQkeqvk9075$jUcm~Qznegqbiip59&hSeo|_`nnkvrXn|fg1="l_icp[wus58&hSio{a^alqkrX`nd07#c^jbwZquWhi`1="l_tqf[`ed59&hSnabmnl\giidc4:'oRy}_`ah[iip59&hSjPpovq[roc|a72 nQzsd]escrXlh~jSnaznu?3(fYoizUj``a|t^gntq:8%iT~iQkauc\gjsi|V}bhyf29-a\kscunee|Saax=1.`[rtXxg~ySzgkti?:(fYwzfmTi`~{y^vzt`;6$jU|~Rjnt`]`kphsW~coxe36,b]sv`jhimUyij}21-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>4)eXx{elSk{cl^vkv`uoWgolmykPv`n>71?+kV}ySikti?657*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh8779%iT|ah_dosp|Ys`{oxdRo|sdpw[sgk58:; nQgar]ueioc59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk5:?; nabpnlfjqYiido6jdh`_w6\77*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0?#c^ofijt~W}s{i0?#}368bl`hW>T??Qiigm\c`hbzh~d~Rx;_2]{wqY6<2l~`a94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-42<x{elShctx]wlwct`!8"=95rne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntqX|axne&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,713yxdkRkbpu{\pmtb{a636=0>b:rqkbYbey~rSyf}erj\evubz}";%<l4psmd[`kw|pUdk|h^cpw`ts 8#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|ah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShctx]wlwct`Vkxh|{(4+2f>vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx$:'>b:rqkbYbey~rSyf}erj\evubz}"3%<j4psmd[`kw|pUdk|h^cpw`ts410;2<l4psmd[`kw|pUdk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8h0|ah_dosp|Ys`{oxdR`jg`vf,7/6j2zycjQjmqvz[qnumzbTbhintd*0-4d<x{elShctx]wlwct`Vdnklzj(5+2f>vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%<l4psmd[`kw|pUdk|h^lfcdrb 1#:h6~}of]fiur~W}byi~fPndebp`:?29427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeUdk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=85rne\bpjkW}byi~f38;2=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wog`Rzgrdqk[dutm{~#=$?n;qplcZ`rdeUdk|h^cpw`ts ;#:m6~}of]eqijX|axneQnsrgqp-5.9h1{~biPftno[qnumzbTm~}jru*7-4g<x{elSk{cl^vkv`uoWhyxiz'5(3b?uthoVl~`aQ{hsgplZgt{lx$;'>a:rqkbYa}efTxe|jsi]bwvcu|!="=l5rne\bpjkW}byi~fParqfvq.?!8i0|ah_gwohZrozlycSl}|esv?<?699h1{~biPftno[qnumzbTbhintd*3-4g<x{elSk{cl^vkv`uoWgolmyk'1(3b?uthoVl~`aQ{hsgplZhboh~n$?'>a:rqkbYa}efTxe|jsi]mabgsm!9"=l5rne\bpjkW}byi~fPndebp`.3!8k0|ah_gwohZrozlycSckhaug+1,7f3yxdkRhzlm]wlwct`Vdnklzj(7+2e>vugnUmyabPtipfwmYimnki%9&1`9svjaXn|fgSyf}erj\j`af|l"3%<m4psmd[cskdV~c~h}g_ogdeqc;03:5:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7jPd`vb[firf}"9%<>4re]geqgXkfex%=&119q`Zbf|hUhcx`{(5+24>tcWmkmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5<zz~<7~lftdpq0>uu{};=7yc/^ad+coagV|?S><!nfm7?qtrm01~bik}fmmt1>pfd`n=7z|Pabi5?rtXkea:<6y}_ecweZeh}g~#<$??;vp\`drfWje~by&>)028swYci}kTob{at)0*55=pzVnjxlQlotlw,6/682}ySio{a^alqkr/< ;;7z|Pd`vb[firf}">%<>4ws]geqgXkfex%8&119tvZbf|hUhcx`{(6+24>quWmkmRm`uov+<,753~xThlzn_bmvjq:?294>7z|Peo48swYu{}wKL}j<b:BC|0>d2O0?6<u\5g8677<22899::<7:23662}i<0o1=6`;9g87?!2>k3>2:6s\5e8677<22899::<7:23662=T9??19>l50;306315039:9?94S4f916d=83;8>;9=8;1217d<l<9>6=4>:0yP1c<2;;0>6<==660;>672:>1}X<j=:182>4<6kmqX9k4:3386>455>>836>?:269'0=0=9?<0Z97k:3yv53`=92:;=4?;|&2g6<602h>?84?:5;97?2>sA>386T:0;0xa?d=u-;o;7;<5:&7=g<2;=1d98m50;9j1=1=831d9>j50;9j1<e=831d9>750;9l165=831b94?50;9j1=?=831d9=o50;&2g0<2:01e=n:50:9l15?=83.:o84:289m5f2=921d9=950;&2g0<2:01e=n:52:9l150=83.:o84:289m5f2=;21d9=;50;&2g0<2:01e=n:54:9l152=83.:o84:289m5f2==21d9==50;&2g0<2:01e=n:56:9l154=83.:o84:289m5f2=?21d9=?50;&2g0<2:01e=n:58:9l156=83.:o84:289m5f2=121d8kh50;&2g0<2:01e=n:5a:9l0cc=83.:o84:289m5f2=j21d8km50;&2g0<2:01e=n:5c:9l0cd=83.:o84:289m5f2=l21d8ko50;&2g0<2:01e=n:5e:9l0c?=83.:o84:289m5f2=n21d8k650;&2g0<2:01e=n:51198k1`0290/=n;553;8j4e328;07b:i6;29 4e22<827c?l4;31?>i3n<0;6)?l5;71=>h6k=0:?65`4g694?"6k<0>>45a1b6951=<g=l86=4+1b7917?<f8i?6<;4;n724?6=,8i>68<6;o3`0?7132e><k4?:%3`1?3512d:o94>7:9l15c=83.:o84:289m5f2=9110c8>k:18'5f3==;30b<m;:0;8?j37k3:1(<m::40:?k7d<3;j76a:0c83>!7d=3?956`>c582f>=h=921<7*>c4866<=i9j>1=n54o5dg>5<#9j?19?74n0a7>4b<3f>m>7>5$0a6>04>3g;h87?j;:m7b4<72-;h97;=9:l2g1<6n21b8n750;&2g0<3m11e=n:50:9j0f>=83.:o84;e99m5f2=921b8n850;&2g0<3m11e=n:52:9j0f3=83.:o84;e99m5f2=;21b8n:50;&2g0<3m11e=n:54:9j0f5=83.:o84;e99m5f2==21b8n<50;&2g0<3m11e=n:56:9j0f7=83.:o84;e99m5f2=?21b8n>50;&2g0<3m11e=n:58:9j0g`=83.:o84;e99m5f2=121b8ok50;&2g0<3m11e=n:5a:9j0gb=83.:o84;e99m5f2=j21b8ol50;&2g0<3m11e=n:5c:9j0gg=83.:o84;e99m5f2=l21b8o750;&2g0<3m11e=n:5e:9j0g>=83.:o84;e99m5f2=n21b8o950;&2g0<3m11e=n:51198m1d1290/=n;54d:8j4e328;07d:m5;29 4e22=o37c?l4;31?>o3j=0;6)?l5;6f<>h6k=0:?65f4c194?"6k<0?i55a1b6951=<a=h96=4+1b790`><f8i?6<;4;h6`b?6=,8i>69k7;o3`0?7132c?oh4?:%3`1?2b02d:o94>7:9j0fb=83.:o84;e99m5f2=9110e9ml:18'5f3=<l20b<m;:0;8?l2dj3:1(<m::5g;?k7d<3;j76g;c`83>!7d=3>n46`>c582f>=n<j=1<7*>c487a==i9j>1=n54i5``>5<#9j?18h64n0a7>4b<3`>i=7>5$0a6>1c?3g;h87?j;:k7f5<72-;h97:j8:l2g1<6n21b9:l50;9a0=3=83;1<7>tH5:7?!7c?3>396a>c383>>{e99n1<7?50;2xL1>33-;o;7??d:m24f<722wi8k4?:`;95=?=9jnpD96;;[73>40|::09;7<n:3696g<5>3o1n7<7:3;960<5138i6h4=a;07>g<5038<6?8524817?{#9m=19>64$041>46e3-?368=9;%7f>0503-;ih7?l1:m7e5<722c>454?::k603<72-;h97;;7:l2g1<732c>884?:%3`1?33?2d:o94>;:k601<72-;h97;;7:l2g1<532c>8>4?:%3`1?33?2d:o94<;:k607<72-;h97;;7:l2g1<332c>8<4?:%3`1?33?2d:o94:;:k605<72-;h97;;7:l2g1<132c>?k4?:%3`1?33?2d:o948;:k67`<72-;h97;;7:l2g1<?32e>9n4?::k6<g<722e>4k4?::k62c<72-;h97;80:l2g1<732c>:h4?:%3`1?3082d:o94>;:k62a<72-;h97;80:l2g1<532c>:n4?:%3`1?3082d:o94<;:k62g<72-;h97;80:l2g1<332c>:l4?:%3`1?3082d:o94:;:k62<<72-;h97;80:l2g1<132c>:54?:%3`1?3082d:o948;:k622<72-;h97;80:l2g1<?32c>4:4?::m67f<722e>?i4?::k7e6<722e>494?:%3`1?3?>2d:o94?;:m6<6<72-;h97;76:l2g1<632e>4?4?:%3`1?3?>2d:o94=;:m6<4<72-;h97;76:l2g1<432e>4=4?:%3`1?3?>2d:o94;;:m63c<72-;h97;76:l2g1<232e>;h4?:%3`1?3?>2d:o949;:m63a<72-;h97;76:l2g1<032e>;n4?:%3`1?3?>2d:o947;:k615<72-;h97;:1:l2g1<732c>8k4?:%3`1?3292d:o94>;:k60`<72-;h97;:1:l2g1<532c>8i4?:%3`1?3292d:o94<;:k60f<72-;h97;:1:l2g1<332c>8o4?:%3`1?3292d:o94:;:k60d<72-;h97;:1:l2g1<132c>844?:%3`1?3292d:o948;:k60=<72-;h97;:1:l2g1<?32c>5n4?::m6=d<72-;h97;6b:l2g1<732e>544?:%3`1?3>j2d:o94>;:m6==<72-;h97;6b:l2g1<532e>5:4?:%3`1?3>j2d:o94<;:m6=3<72-;h97;6b:l2g1<332e>584?:%3`1?3>j2d:o94:;:m6=1<72-;h97;6b:l2g1<132e>5>4?:%3`1?3>j2d:o948;:m6=7<72-;h97;6b:l2g1<?32e>?44?::m676<722c>:84?:%3`1?31>2d:o94?;:k621<72-;h97;96:l2g1<632c>:>4?:%3`1?31>2d:o94=;:k627<72-;h97;96:l2g1<432c>:<4?:%3`1?31>2d:o94;;:k625<72-;h97;96:l2g1<232c>9k4?:%3`1?31>2d:o949;:k61`<72-;h97;96:l2g1<032c>9i4?:%3`1?31>2d:o947;:k6=4<722c>?l4?::k7e1<722c>5i4?::m6<d<722e>5=4?::m6<`<722c>444?::m64d<72-;h97;=9:l2g1<732e><44?:%3`1?3512d:o94>;:m642<72-;h97;=9:l2g1<532e><;4?:%3`1?3512d:o94<;:m640<72-;h97;=9:l2g1<332e><94?:%3`1?3512d:o94:;:m646<72-;h97;=9:l2g1<132e><?4?:%3`1?3512d:o948;:m644<72-;h97;=9:l2g1<?32e><=4?:%3`1?3512d:o946;:m7bc<72-;h97;=9:l2g1<f32e?jh4?:%3`1?3512d:o94m;:m7bf<72-;h97;=9:l2g1<d32e?jo4?:%3`1?3512d:o94k;:m7bd<72-;h97;=9:l2g1<b32e?j44?:%3`1?3512d:o94i;:m7b=<72-;h97;=9:l2g1<6821d8k950;&2g0<2:01e=n:51098k1`1290/=n;553;8j4e328807b:i5;29 4e22<827c?l4;30?>i3n=0;6)?l5;71=>h6k=0:865`4g194?"6k<0>>45a1b6950=<g<;;6=4+1b7917?<f8i?6<84;n73b?6=,8i>68<6;o3`0?7032e><h4?:%3`1?3512d:o94>8:9l15b=83.:o84:289m5f2=9010c8>l:18'5f3==;30b<m;:0c8?j37j3:1(<m::40:?k7d<3;i76a:0983>!7d=3?956`>c582g>=h<on1<7*>c4866<=i9j>1=i54o5d1>5<#9j?19?74n0a7>4c<3f>m=7>5$0a6>04>3g;h87?i;:k7g<<72-;h97:j8:l2g1<732c?o54?:%3`1?2b02d:o94>;:k7g3<72-;h97:j8:l2g1<532c?o84?:%3`1?2b02d:o94<;:k7g1<72-;h97:j8:l2g1<332c?o>4?:%3`1?2b02d:o94:;:k7g7<72-;h97:j8:l2g1<132c?o<4?:%3`1?2b02d:o948;:k7g5<72-;h97:j8:l2g1<?32c?nk4?:%3`1?2b02d:o946;:k7f`<72-;h97:j8:l2g1<f32c?ni4?:%3`1?2b02d:o94m;:k7fg<72-;h97:j8:l2g1<d32c?nl4?:%3`1?2b02d:o94k;:k7f<<72-;h97:j8:l2g1<b32c?n54?:%3`1?2b02d:o94i;:k7f2<72-;h97:j8:l2g1<6821b8o850;&2g0<3m11e=n:51098m1d2290/=n;54d:8j4e328807d:m4;29 4e22=o37c?l4;30?>o3j:0;6)?l5;6f<>h6k=0:865f4c094?"6k<0?i55a1b6950=<a=im6=4+1b790`><f8i?6<84;h6`a?6=,8i>69k7;o3`0?7032c?oi4?:%3`1?2b02d:o94>8:9j0fe=83.:o84;e99m5f2=9010e9mm:18'5f3=<l20b<m;:0c8?l2di3:1(<m::5g;?k7d<3;i76g;c683>!7d=3>n46`>c582g>=n<ki1<7*>c487a==i9j>1=i54i5`2>5<#9j?18h64n0a7>4c<3`>i<7>5$0a6>1c?3g;h87?i;:m7e4<722c?m?4?::k63<<72-;h97;8a:l2g1<732c>;54?:%3`1?30i2d:o94>;:k632<72-;h97;8a:l2g1<532c>;;4?:%3`1?30i2d:o94<;:k630<72-;h97;8a:l2g1<332c>;94?:%3`1?30i2d:o94:;:k636<72-;h97;8a:l2g1<132c>;?4?:%3`1?30i2d:o948;:k634<72-;h97;8a:l2g1<?32e?mi4?:%3`1?2fm2d:o94?;:m7ef<72-;h97:ne:l2g1<632e?mo4?:%3`1?2fm2d:o94=;:m7ed<72-;h97:ne:l2g1<432e?m44?:%3`1?2fm2d:o94;;:m7e=<72-;h97:ne:l2g1<232e?m:4?:%3`1?2fm2d:o949;:m7e3<72-;h97:ne:l2g1<032e?m84?:%3`1?2fm2d:o947;:k61d<72-;h97;:b:l2g1<732c>944?:%3`1?32j2d:o94>;:k61=<72-;h97;:b:l2g1<532c>9:4?:%3`1?32j2d:o94<;:k613<72-;h97;:b:l2g1<332c>984?:%3`1?32j2d:o94:;:k611<72-;h97;:b:l2g1<132c>9>4?:%3`1?32j2d:o948;:k617<72-;h97;:b:l2g1<?32e?mk4?::k63g<722h?544?:083>5}#9m=1==j4H5;;?M2?<2e:<n4?::a0<g=83;1<7>t$0f4>1>23A>246F;859l5f4=831vn99>:180>5<7s-;o;7??2:J7===O<1>0D9j4$03a>4=n=80;66g90;29?j7d?3:17pl;7b83>6<729q/=i951108L1??3A>386F;d:&25g<63`?:6=44i7294?=h9j=1<75rb553>5<4290;w)?k7;336>N3111C85:4H5f8 47e281b9<4?::k54?6=3f;h;7>5;|`73d<72<0;6=u+1e59550<@=337E:74:J7`>"69k0:7d;>:188m01=831b:=4?::k2g3<722e:o:4?::a02d=8391<7>t$0f4>4653A>246F;859K0a=#98h1=6g:1;29?l072900c<m8:188yg21n3:197>50z&2`2<68?1C8464H5:7?M2c3-;:n7?4i4394?=n=>0;66g90;29?l7d>3:17b?l7;29?xd3?00;694?:1y'5a1=99?0D977;I6;0>"69k0:7d;>:188m36=831b=n850;9l5f1=831vn997:187>5<7s-;o;7??5:J7===O<1>0(<?m:09j14<722c=<7>5;h3`2?6=3f;h;7>5;|`732<72=0;6=u+1e59553<@=337E:74:&25g<63`?:6=44i7294?=n9j<1<75`1b594?=zj=296=4;:183!7c?3;;96F;999K0=2<,8;i6<5f5083>>o183:17d?l6;29?j7d?3:17pl;8083>1<729q/=i951178L1??3A>386*>1c82?l362900e;>50;9j5f0=831d=n950;9~f646290?6=4?{%3g3?77=2B?555G4968 47e2=1b9<4?::k54?6=3`;h:7>5;n3`3?6=3th8ol4?:583>5}#9m=1==;4H5;;?M2?<2.:=o4>;h72>5<<a?:1<75f1b494?=h9j=1<75rb2a:>5<3290;w)?k7;331>N3111C85:4$03a>4=n=80;66g90;29?l7d>3:17b?l7;29?xd4880;694?:1y'5a1=9?o0D977;I6;0>o213:17d8>:188m4ea2900c<m?:188yg5783:187>50z&2`2<6>l1C8464H5:7?l3>2900e;?50;9j5f`=831d=n>50;9~f7`a290?6=4?{%3g3?71m2B?555G4968m0?=831b:<4?::k2gc<722e:o=4?::a6cc=83>1<7>t$0f4>40b3A>246F;859j1<<722c==7>5;h3`b?6=3f;h<7>5;|`1ba<72=0;6=u+1e5953c<@=337E:74:k6=?6=3`<:6=44i0ae>5<<g8i;6=44}c120?6=<3:1<v*>d6822`=O<020D96;;h7:>5<<a?;1<75f1bd94?=h9j:1<75rb230>5<3290;w)?k7;35a>N3111C85:4i4;94?=n>80;66g>cg83>>i6k90;66sm30094?2=83:p(<j8:04f?M2>02B?495f5883>>o193:17d?lf;29?j7d83:17pl<1083>1<729q/=i9517g8L1??3A>386g:9;29?l062900e<mi:188k4e72900qo=>0;290?6=8r.:h:4>6d9K0<><@=2?7d;6:188m37=831b=nh50;9l5f6=831vn>o6:187>5<7s-;o;7?9e:J7===O<1>0e8750;9j24<722c:ok4?::m2g5<722wi?l650;694?6|,8n<6<8j;I6:<>N30=1b944?::k55?6=3`;hj7>5;n3`4?6=3th8m:4?:583>5}#9m=1=;k4H5;;?M2?<2c>57>5;h42>5<<a8im6=44o0a3>5<<uk9j:7>54;294~"6l>0::h5G48:8L1>33`?26=44i7394?=n9jl1<75`1b294?=zj:k>6=4;:183!7c?3;=i6F;999K0=2<a<31<75f6083>>o6ko0;66a>c183>>{e;ki1<7:50;2x 4b028<n7E:68:J7<1=n=00;66g91;29?l7dn3:17b?l0;29?xd4jk0;694?:1y'5a1=9?o0D977;I6;0>o213:17d8>:188m4ea2900c<m?:188yg5ei3:187>50z&2`2<6>l1C8464H5:7?l3>2900e;?50;9j5f`=831d=n>50;9~f6d>290?6=4?{%3g3?71m2B?555G4968m0?=831b:<4?::k2gc<722e:o=4?::a7g>=83>1<7>t$0f4>40b3A>246F;859j1<<722c==7>5;h3`b?6=3f;h<7>5;|`2b<<72=0;6=u+1e59555<@=337E:74:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e9o21<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn<h8:187>5<7s-;o;7??3:J7===O<1>0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c3e2?6=<3:1<v*>d68246=O<020D96;;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd6n<0;694?:1y'5a1=9990D977;I6;0>"69k0:7d;>:188m0e=831b:=4?::m2g2<722wi=k:50;694?6|,8n<6<><;I6:<>N30=1/=<l51:k65?6=3`?h6=44i7294?=h9j=1<75rb0d0>5<3290;w)?k7;337>N3111C85:4$03a>4=n=80;66g:c;29?l072900c<m8:188yg7a:3:187>50z&2`2<68:1C8464H5:7?!76j3;0e8?50;9j1f<722c=<7>5;n3`3?6=3th:j<4?:583>5}#9m=1===4H5;;?M2?<2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm24`94?2=83:p(<j8:020?M2>02B?495+10`95>o293:17d;l:188m36=831d=n950;9~f73f290?6=4?{%3g3?77;2B?555G4968 47e281b9<4?::k6g?6=3`<;6=44o0a4>5<<uk8>57>54;294~"6l>0:<>5G48:8L1>33-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl=5983>1<729q/=i951118L1??3A>386*>1c82?l362900e8m50;9j25<722e:o:4?::a601=83>1<7>t$0f4>4643A>246F;859'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj;?=6=4;:183!7c?3;;?6F;999K0=2<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo<:5;290?6=8r.:h:4>029K0<><@=2?7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`111<72=0;6=u+1e59555<@=337E:74:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e:<91<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn?o<:187>5<7s-;o;7??3:J7===O<1>0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c0b6?6=<3:1<v*>d68246=O<020D96;;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd5i80;694?:1y'5a1=9990D977;I6;0>"69k0:7d;>:188m0e=831b:=4?::m2g2<722wi>l>50;694?6|,8n<6<><;I6:<>N30=1/=<l51:k65?6=3`?h6=44i7294?=h9j=1<75rb3;e>5<3290;w)?k7;337>N3111C85:4$03a>4=n=80;66g:c;29?l072900c<m8:188yg4>m3:187>50z&2`2<68:1C8464H5:7?!76j3;0e8?50;9j1f<722c=<7>5;n3`3?6=3th95i4?:583>5}#9m=1===4H5;;?M2?<2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm28a94?2=83:p(<j8:020?M2>02B?495+10`95>o293:17d;l:188m36=831d=n950;9~f7?e290?6=4?{%3g3?77;2B?555G4968 47e281b9<4?::k6g?6=3`<;6=44o0a4>5<<uk8?=7>54;294~"6l>0:<>5G48:8L1>33-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl=4183>1<729q/=i951118L1??3A>386*>1c82?l362900e8m50;9j25<722e:o:4?::a66`=83>1<7>t$0f4>4643A>246F;859'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj;9n6=4;:183!7c?3;;?6F;999K0=2<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo<<d;290?6=8r.:h:4>029K0<><@=2?7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`17f<72=0;6=u+1e59555<@=337E:74:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e::h1<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn?=n:187>5<7s-;o;7??3:J7===O<1>0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c00=?6=<3:1<v*>d68246=O<020D96;;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd5180;694?:1y'5a1=9990D977;I6;0>N3l2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm28294?2=83:p(<j8:020?M2>02B?495G4e9'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj;2m6=4;:183!7c?3;;?6F;999K0=2<@=n0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c0;a?6=<3:1<v*>d68246=O<020D96;;I6g?!76j3;0e8?50;9j1f<722c=<7>5;n3`3?6=3th94i4?:583>5}#9m=1===4H5;;?M2?<2B?h6*>1c82?l362900e8m50;9j25<722e:o:4?::a6=e=83>1<7>t$0f4>4643A>246F;859K0a=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn?6m:187>5<7s-;o;7??3:J7===O<1>0D9j4$03a>4=n=80;66g:c;29?l072900c<m8:188yg4?i3:187>50z&2`2<68:1C8464H5:7?M2c3-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl=8883>1<729q/=i951118L1??3A>386F;d:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e:;l1<7:50;2x 4b028:87E:68:J7<1=O<m1/=<l51:k65?6=3`?h6=44i7294?=h9j=1<75rb30f>5<3290;w)?k7;337>N3111C85:4H5f8 47e281b9<4?::k6g?6=3`<;6=44o0a4>5<<uk89h7>54;294~"6l>0:<>5G48:8L1>33A>o7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`16f<72=0;6=u+1e59555<@=337E:74:J7`>"69k0:7d;>:188m0e=831b:=4?::m2g2<722wi>?l50;694?6|,8n<6<><;I6:<>N30=1C8i5+10`95>o293:17d;l:188m36=831d=n950;9~f74f290?6=4?{%3g3?77;2B?555G4968L1b<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo<=9;290?6=8r.:h:4>029K0<><@=2?7E:k;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd5:10;694?:1y'5a1=9990D977;I6;0>N3l2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm23594?2=83:p(<j8:020?M2>02B?495G4e9'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj;;o6=4;:183!7c?3;;?6F;999K0=2<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo<>c;290?6=8r.:h:4>029K0<><@=2?7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`15g<72=0;6=u+1e59555<@=337E:74:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e:8k1<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn??6:187>5<7s-;o;7??3:J7===O<1>0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c02<?6=<3:1<v*>d68246=O<020D96;;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd59>0;694?:1y'5a1=9990D977;I6;0>"69k0:7d;>:188m0e=831b:=4?::m2g2<722wi><850;694?6|,8n<6<><;I6:<>N30=1/=<l51:k65?6=3`?h6=44i7294?=h9j=1<75rb336>5<3290;w)?k7;337>N3111C85:4$03a>4=n=80;66g:c;29?l072900c<m8:188yg40n3:187>50z&2`2<68:1C8464H5:7?!76j3;0e8?50;9j1f<722c=<7>5;n3`3?6=3th9;h4?:583>5}#9m=1===4H5;;?M2?<2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm26f94?2=83:p(<j8:020?M2>02B?495+10`95>o293:17d;l:188m36=831d=n950;9~f71d290?6=4?{%3g3?77;2B?555G4968 47e281b9<4?::k6g?6=3`<;6=44o0a4>5<<uk8<n7>54;294~"6l>0:<>5G48:8L1>33-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl=7`83>1<729q/=i951118L1??3A>386*>1c82?l362900e8m50;9j25<722e:o:4?::a62?=83>1<7>t$0f4>4643A>246F;859'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj;=36=4;:183!7c?3;;?6F;999K0=2<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo<87;290?6=8r.:h:4>029K0<><@=2?7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`01=<72<0;6=u+1e59552<@=337E:74:&25g<63`?:6=44i4594?=n=j0;66g90;29?j7d?3:17pl<5683>0<729q/=i951168L1??3A>386*>1c82?l362900e8950;9j1f<722c=<7>5;n3`3?6=3th89;4?:483>5}#9m=1==:4H5;;?M2?<2.:=o4>;h72>5<<a<=1<75f5b83>>o183:17b?l7;29?xd4=<0;684?:1y'5a1=99>0D977;I6;0>"69k0:7d;>:188m01=831b9n4?::k54?6=3f;h;7>5;|`011<72<0;6=u+1e59552<@=337E:74:&25g<63`?:6=44i4594?=n=j0;66g90;29?j7d?3:17pl<5283>0<729q/=i951168L1??3A>386*>1c82?l362900e8950;9j1f<722c=<7>5;n3`3?6=3th89<4?:483>5}#9m=1==:4H5;;?M2?<2.:=o4>;h72>5<<a<=1<75f5b83>>o183:17b?l7;29?xd4=90;684?:1y'5a1=99<0D977;I6;0>"69k0?7d;>:188m01=831b:=4?::k2g3<722e:o:4?::a704=83?1<7>t$0f4>4633A>246F;859'54d=92c>=7>5;h74>5<<a<i1<75f6183>>i6k>0;66sm35794?5=83:p(<j8:5;4?M2>02B?495f5883>>o6>:0;66a>c183>>{e;=>1<7=50;2x 4b02=3<7E:68:J7<1=n=00;66g>6283>>i6k90;66sm32`94?2=83:p(<j8:04f?M2>02B?495f5883>>o193:17d?lf;29?j7d83:17pl<4283>6<729q/=i954858L1??3A>386g:9;29?l71;3:17b?l0;29?xd4;00;694?:1y'5a1=9?o0D977;I6;0>o213:17d8>:188m4ea2900c<m?:188yg53:3:1?7>50z&2`2<31>1C8464H5:7?l3>2900e<8<:188k4e72900qo=<7;290?6=8r.:h:4>6d9K0<><@=2?7d;6:188m37=831b=nh50;9l5f6=831vn>:>:180>5<7s-;o;7:67:J7===O<1>0e8750;9j535=831d=n>50;9~f652290?6=4?{%3g3?71m2B?555G4968m0?=831b:<4?::k2gc<722e:o=4?::a716=8391<7>t$0f4>1?03A>246F;859j1<<722c::>4?::m2g5<722wi?>=50;694?6|,8n<6<8j;I6:<>N30=1b944?::k55?6=3`;hj7>5;n3`4?6=3th8?k4?:283>5}#9m=18494H5;;?M2?<2c>57>5;h357?6=3f;h<7>5;|`074<72=0;6=u+1e5953c<@=337E:74:k6=?6=3`<:6=44i0ae>5<<g8i;6=44}c10a?6=;3:1<v*>d687=2=O<020D96;;h7:>5<<a8<86=44o0a3>5<<uk99j7>54;294~"6l>0::h5G48:8L1>33`?26=44i7394?=n9jl1<75`1b294?=zj:9o6=4<:183!7c?3>2;6F;999K0=2<a<31<75f17194?=h9j:1<75rb20g>5<3290;w)?k7;35a>N3111C85:4i4;94?=n>80;66g>cg83>>i6k90;66sm37c94?3=83:p(<j8:027?M2>02B?495+10`95>o293:17d;8:188m0e=831b:=4?::m2g2<722wi?;750;794?6|,8n<6<>;;I6:<>N30=1/=<l51:k65?6=3`?<6=44i4a94?=n>90;66a>c683>>{e;?21<7;50;2x 4b028:?7E:68:J7<1=#98h1=6g:1;29?l302900e8m50;9j25<722e:o:4?::a731=83?1<7>t$0f4>4633A>246F;859'54d=92c>=7>5;h74>5<<a<i1<75f6183>>i6k>0;66sm37494?3=83:p(<j8:027?M2>02B?495+10`95>o293:17d;8:188m0e=831b:=4?::m2g2<722wi?;;50;794?6|,8n<6<>;;I6:<>N30=1/=<l51:k65?6=3`?<6=44i4a94?=n>90;66a>c683>>{e;?>1<7;50;2x 4b028:?7E:68:J7<1=#98h1=6g:1;29?l302900e8m50;9j25<722e:o:4?::a735=83?1<7>t$0f4>4633A>246F;859'54d=92c>=7>5;h74>5<<a<i1<75f6183>>i6k>0;66sm37094?3=83:p(<j8:027?M2>02B?495+10`95>o293:17d;8:188m0e=831b:=4?::m2g2<722wi>i=50;194?6|,8n<6978;I6:<>N30=1b944?::k226<722e:o=4?::a6a4=8391<7>t$0f4>1?03A>246F;859j1<<722c::>4?::m2g5<722wi>n?50;694?6|,8n<6<8j;I6:<>N30=1b944?::k55?6=3`;hj7>5;n3`4?6=3th9h<4?:283>5}#9m=18494H5;;?M2?<2c>57>5;h357?6=3f;h<7>5;|`1g5<72=0;6=u+1e5953c<@=337E:74:k6=?6=3`<:6=44i0ae>5<<g8i;6=44}c0g4?6=;3:1<v*>d687=2=O<020D96;;h7:>5<<a8<86=44o0a3>5<<uk8ij7>54;294~"6l>0::h5G48:8L1>33`?26=44i7394?=n9jl1<75`1b294?=zj;im6=4<:183!7c?3>2;6F;999K0=2<a<31<75f17194?=h9j:1<75rb3`f>5<3290;w)?k7;35a>N3111C85:4i4;94?=n>80;66g>cg83>>i6k90;66sm2bg94?5=83:p(<j8:5;4?M2>02B?495f5883>>o6>:0;66a>c183>>{e:kn1<7:50;2x 4b028<n7E:68:J7<1=n=00;66g91;29?l7dn3:17b?l0;29?xd5km0;6>4?:1y'5a1=<0=0D977;I6;0>o213:17d?93;29?j7d83:17pl=bb83>1<729q/=i9517g8L1??3A>386g:9;29?l062900e<mi:188k4e72900qo<lc;297?6=8r.:h:4;969K0<><@=2?7d;6:188m4042900c<m?:188yg4ej3:187>50z&2`2<6>l1C8464H5:7?l3>2900e;?50;9j5f`=831d=n>50;9~f7ee29086=4?{%3g3?2>?2B?555G4968m0?=831b=;=50;9l5f6=831vn?ln:187>5<7s-;o;7?9e:J7===O<1>0e8750;9j24<722c:ok4?::m2g5<722wi>o750;694?6|,8n<6<8j;I6:<>N30=1b944?::k55?6=3`;hj7>5;n3`4?6=3th9j>4?:483>5}#9m=1==84H5;;?M2?<2.:=o4;;h72>5<<a<=1<75f6183>>o6k?0;66a>c683>>{e:l31<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn?k7:187>5<7s-;o;7??3:J7===O<1>0(<?m:09j14<722c>o7>5;h43>5<<g8i<6=44}c0f3?6=<3:1<v*>d68246=O<020D96;;%32f?7<a<;1<75f5b83>>o183:17b?l7;29?xd5m?0;694?:1y'5a1=9990D977;I6;0>"69k0:7d;>:188m0e=831b:=4?::m2g2<722wi>h;50;694?6|,8n<6<><;I6:<>N30=1/=<l51:k65?6=3`?h6=44i7294?=h9j=1<75rb3g7>5<3290;w)?k7;337>N3111C85:4$03a>4=n=80;66g:c;29?l072900c<m8:188yg4b;3:187>50z&2`2<68:1C8464H5:7?!76j3;0e8?50;9j1f<722c=<7>5;n3`3?6=3th9i?4?:583>5}#9m=1===4H5;;?M2?<2.:=o4>;h72>5<<a<i1<75f6183>>i6k>0;66sm2d394?2=83:p(<j8:020?M2>02B?495+10`95>o293:17d;l:188m36=831d=n950;9~f7d5290?6=4?{%3g3?77;2B?555G4968 47e281b9<4?::k6g?6=3`<;6=44o0a4>5<<uk8jj7>54;294~"6l>0:<>5G48:8L1>33-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl=b783>1<729q/=i951178L1??3A>386*>1c87?l362900e;>50;9j5f0=831d=n950;9~f7d2290?6=4?{%3g3?77=2B?555G4968 47e2=1b9<4?::k54?6=3`;h:7>5;n3`3?6=3th84>4?:283>5}#9m=18494H5;;?M2?<2c>57>5;h357?6=3f;h<7>5;|`0<7<72:0;6=u+1e590<1<@=337E:74:k6=?6=3`;=?7>5;n3`4?6=3th8;>4?:583>5}#9m=1=;k4H5;;?M2?<2c>57>5;h42>5<<a8im6=44o0a3>5<<uk93=7>53;294~"6l>0?5:5G48:8L1>33`?26=44i040>5<<g8i;6=44}c146?6=<3:1<v*>d6822`=O<020D96;;h7:>5<<a?;1<75f1bd94?=h9j:1<75rb2:3>5<4290;w)?k7;6:3>N3111C85:4i4;94?=n9?91<75`1b294?=zj:=:6=4;:183!7c?3;=i6F;999K0=2<a<31<75f6083>>o6ko0;66a>c183>>{e;>l1<7=50;2x 4b02=3<7E:68:J7<1=n=00;66g>6283>>i6k90;66sm36294?2=83:p(<j8:04f?M2>02B?495f5883>>o193:17d?lf;29?j7d83:17pl<7d83>6<729q/=i954858L1??3A>386g:9;29?l71;3:17b?l0;29?xd4>o0;694?:1y'5a1=9?o0D977;I6;0>o213:17d8>:188m4ea2900c<m?:188yg50l3:1?7>50z&2`2<31>1C8464H5:7?l3>2900e<8<:188k4e72900qo=9e;290?6=8r.:h:4>6d9K0<><@=2?7d;6:188m37=831b=nh50;9l5f6=831vn>8k:187>5<7s-;o;7?9e:J7===O<1>0e8750;9j24<722c:ok4?::m2g5<722wi?;m50;694?6|,8n<6<8j;I6:<>N30=1b944?::k55?6=3`;hj7>5;n3`4?6=3th8:o4?:583>5}#9m=1=;k4H5;;?M2?<2c>57>5;h42>5<<a8im6=44o0a3>5<<uk92=7>54;294~"6l>0:<>5G48:8L1>33-;:n7?4i4394?=n=j0;66g90;29?j7d?3:17pl<9183>1<729q/=i951118L1??3A>386*>1c82?l362900e8m50;9j25<722e:o:4?::a7=`=83>1<7>t$0f4>4643A>246F;859'54d=92c>=7>5;h7`>5<<a?:1<75`1b594?=zj:2n6=4;:183!7c?3;;?6F;999K0=2<,8;i6<5f5083>>o2k3:17d8?:188k4e02900qo=7d;290?6=8r.:h:4>029K0<><@=2?7)?>b;38m07=831b9n4?::k54?6=3f;h;7>5;|`0<f<72=0;6=u+1e59555<@=337E:74:&25g<63`?:6=44i4a94?=n>90;66a>c683>>{e;1h1<7:50;2x 4b028:87E:68:J7<1=#98h1=6g:1;29?l3d2900e;>50;9l5f1=831vn>o?:187>5<7s-;o;7??5:J7===O<1>0(<?m:59j14<722c=<7>5;h3`2?6=3f;h;7>5;|`0=<<72<0;6=u+1e59550<@=337E:74:&25g<63`?:6=44i4594?=n>90;66g>c783>>i6k>0;66sm41694?3=83:p(<j8:027?M2>02B?495+10`95>o293:17d;8:188m0e=831b:=4?::m2g2<722wi8==50;794?6|,8n<6<>;;I6:<>N30=1/=<l51:k65?6=3`?<6=44i4a94?=n>90;66a>c683>>{e<981<7;50;2x 4b028:?7E:68:J7<1=#98h1=6g:1;29?l302900e8m50;9j25<722e:o:4?::a057=83?1<7>t$0f4>4633A>246F;859'54d=92c>=7>5;h74>5<<a<i1<75f6183>>i6k>0;66sm41294?3=83:p(<j8:027?M2>02B?495+10`95>o293:17d;8:188m0e=831b:=4?::m2g2<722wi?kh50;794?6|,8n<6<>;;I6:<>N30=1/=<l51:k65?6=3`?<6=44i4a94?=n>90;66a>c683>>{e;on1<7;50;2x 4b028:=7E:68:J7<1=#98h186g:1;29?l302900e;>50;9j5f0=831d=n950;9~f6`d290>6=4?{%3g3?77<2B?555G4968 47e281b9<4?::k63?6=3`?h6=44i7294?=h9j=1<75rb2df>5<2290;w)?k7;330>N3111C85:4$03a>4=n=80;66g:7;29?l3d2900e;>50;9l5f1=831vn>h>:180>5<7s-;o;7:67:J7===O<1>0e8750;9j535=831d=n>50;9~f6`729086=4?{%3g3?2>?2B?555G4968m0?=831b=;=50;9l5f6=831vn>k8:187>5<7s-;o;7?9e:J7===O<1>0e8750;9j24<722c:ok4?::m2g5<722wi?hh50;194?6|,8n<6978;I6:<>N30=1b944?::k226<722e:o=4?::a7`3=83>1<7>t$0f4>40b3A>246F;859j1<<722c==7>5;h3`b?6=3f;h<7>5;|`0a`<72:0;6=u+1e590<1<@=337E:74:k6=?6=3`;=?7>5;n3`4?6=3th8i>4?:583>5}#9m=1=;k4H5;;?M2?<2c>57>5;h42>5<<a8im6=44o0a3>5<<uk9nh7>53;294~"6l>0?5:5G48:8L1>33`?26=44i040>5<<g8i;6=44}c1f5?6=<3:1<v*>d6822`=O<020D96;;h7:>5<<a?;1<75f1bd94?=h9j:1<75rb2g`>5<4290;w)?k7;6:3>N3111C85:4i4;94?=n9?91<75`1b294?=zj:nm6=4;:183!7c?3;=i6F;999K0=2<a<31<75f6083>>o6ko0;66a>c183>>{e;lh1<7=50;2x 4b02=3<7E:68:J7<1=n=00;66g>6283>>i6k90;66sm3ef94?2=83:p(<j8:04f?M2>02B?495f5883>>o193:17d?lf;29?j7d83:17pl<e`83>6<729q/=i954858L1??3A>386g:9;29?l71;3:17b?l0;29?xd4lk0;694?:1y'5a1=9?o0D977;I6;0>o213:17d8>:188m4ea2900c<m?:188yg5b13:1?7>50z&2`2<31>1C8464H5:7?l3>2900e<8<:188k4e72900qo=k9;290?6=8r.:h:4>6d9K0<><@=2?7d;6:188m37=831b=nh50;9l5f6=831vn9??:186>5<7s-;o;7??4:J7===O<1>0(<?m:09j14<722c>;7>5;h7`>5<<a?:1<75`1b594?=zj=:n6=4::183!7c?3;;:6F;999K0=2<,8;i695f5083>>o2?3:17d8?:188m4e12900c<m8:188yg27n3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c625?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:>2;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>:?7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg26<3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c621?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:>6;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>947>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg25?3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c612?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:=5;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>987>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg25;3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c616?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:=1;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>9<7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg23:3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c675?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:<f;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>8i7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg24l3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c60g?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:<b;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>8m7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg2413:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c60<?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:<7;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>8:7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg24<3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c607?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:<2;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>8=7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg2483:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c61b?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:=e;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>9h7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg25k3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c61f?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:;8;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>?;7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg23>3:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c671?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:;4;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>??7>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg2383:197>50z&2`2<68=1C8464H5:7?!76j3;0e8?50;9j12<722c>o7>5;h43>5<<g8i<6=44}c601?6==3:1<v*>d68241=O<020D96;;%32f?7<a<;1<75f5683>>o2k3:17d8?:188k4e02900qo:=a;291?6=8r.:h:4>059K0<><@=2?7)?>b;38m07=831b9:4?::k6g?6=3`<;6=44o0a4>5<<uk>957>55;294~"6l>0:<95G48:8L1>33-;:n7?4i4394?=n=>0;66g:c;29?l072900c<m8:188yg20m3:1?7>50z&2`2<6>11C8464H5:7?!76j3;:7d??f;29?l7683:17b?l0;29?xd3?:0;6>4?:1y'5a1=9?20D977;I6;0>"69k0:=6g>0g83>>o6990;66a>c183>>{e:<n1<7=50;2x 4b028<37E:68:J7<1=#98h1=45f11d94?=n98:1<75`1b294?=zj8li6=4<:183!7c?3;=46F;999K0=2<,8;i6<74i02e>5<<a8;;6=44o0a3>5<<uk;n;7>53;294~"6l>0::55G48:8L1>33-;:n7?6;h33b?6=3`;:<7>5;n3`4?6=3th:i;4?:283>5}#9m=1=;64H5;;?M2?<2.:=o4>9:k24c<722c:==4?::m2g5<722wi=h;50;194?6|,8n<6<87;I6:<>N30=1/=<l5189j55`=831b=<>50;9l5f6=831vn<k;:180>5<7s-;o;7?98:J7===O<1>0(<?m:0;8m46a2900e<??:188k4e72900qo?j3;297?6=8r.:h:4>699K0<><@=2?7)?>b;3:?l77n3:17d?>0;29?j7d83:17pl>e383>6<729q/=i9517:8L1??3A>386*>1c82=>o68o0;66g>1183>>i6k90;66sm1d394?5=83:p(<j8:04;?M2>02B?495+10`95<=n99l1<75f10294?=h9j:1<75rb0g3>5<4290;w)?k7;35<>N3111C85:4$03a>4?<a8:m6=44i033>5<<g8i;6=44}c3gb?6=;3:1<v*>d6822==O<020D96;;%32f?7>3`;;j7>5;h324?6=3f;h<7>5;|`2``<72:0;6=u+1e5953><@=337E:74:&25g<612c:<k4?::k255<722e:o=4?::a5ab=8391<7>t$0f4>40?3A>246F;859'54d=901b==h50;9j546=831d=n>50;9~f4bd29086=4?{%3g3?7102B?555G4968 47e2830e<>i:188m4772900c<m?:188yg7cj3:1?7>50z&2`2<6>11C8464H5:7?!76j3;27d??f;29?l7683:17b?l0;29?xd6lh0;6>4?:1y'5a1=9?20D977;I6;0>"69k0:56g>0g83>>o6990;66a>c183>>{e9m31<7=50;2x 4b028<37E:68:J7<1=#98h1=45f11d94?=n98:1<75`1b294?=zj8n36=4<:183!7c?3;=46F;999K0=2<,8;i6<74i02e>5<<a8;;6=44o0a3>5<<uk8>j7>54;294~"6l>0::45G48:8L1>33-;:n7<>;h33b?6=3`;:<7>5;h325?6=3f;h<7>5;|`2ba<72=0;6=u+1e5953?<@=337E:74:&25g<592c:<k4?::k255<722c:=<4?::m2g5<722wi>o?50;694?6|,8n<6<86;I6:<>N30=1/=<l51e9j55`=831b=<>50;9j547=831d=n>50;9~f706290>6=4?{%3g3?71j2B?555G4968 47e28h0e<>i:188m4772900e<?>:188m4752900c<m?:188yg7an3:197>50z&2`2<6>k1C8464H5:7?!76j3;i7d??f;29?l7683:17d?>1;29?l76:3:17b?l0;29?xd5j10;684?:1y'5a1=9?h0D977;I6;0>"69k0>>6g>0g83>>o6990;66g>1083>>o69;0;66a>c183>>{e:k>1<7;50;2x 4b028<i7E:68:J7<1=#98h1=n5f11d94?=n98:1<75f10394?=n9881<75`1b294?=zj:3m6=4<:183!7c?3;=46F;999K0=2<,8;i69k4i02e>5<<a8;;6=44o0a3>5<<uk8ji7>54;294~"6l>0::45G48:8L1>33-;:n7??;h33b?6=3`;:<7>5;h325?6=3f;h<7>5;|`126<72:0;6=u+1e5953><@=337E:74:&25g<612c:<k4?::k255<722e:o=4?::a657=8391<7>t$0f4>40?3A>246F;859'54d=901b==h50;9j546=831d=n>50;9~f702290?6=4?{%3g3?7112B?555G4968 47e2;;0e<>i:188m4772900e<?>:188k4e72900qo<?3;290?6=8r.:h:4>689K0<><@=2?7)?>b;02?l77n3:17d?>0;29?l7693:17b?l0;29?xd5>>0;684?:1y'5a1=9?h0D977;I6;0>"69k0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>c183>>{e:9?1<7;50;2x 4b028<i7E:68:J7<1=#98h1=o5f11d94?=n98:1<75f10394?=n9881<75`1b294?=zj;l>6=4<:183!7c?3;=46F;999K0=2<,8;i6<k4i02e>5<<a8;;6=44o0a3>5<<uk8m:7>53;294~"6l>0::55G48:8L1>33-;:n7:4i02e>5<<a8;;6=44o0a3>5<<uk9h:7>53;294~"6l>0::55G48:8L1>33-;:n7?i;h33b?6=3`;:<7>5;n3`4?6=3th8n>4?:283>5}#9m=1=;64H5;;?M2?<2.:=o4>f:k24c<722c:==4?::m2g5<722wi?<k50;194?6|,8n<6<87;I6:<>N30=1/=<l51g9j55`=831b=<>50;9l5f6=831vn>>m:180>5<7s-;o;7?98:J7===O<1>0(<?m:0d8m46a2900e<??:188k4e72900qo=l5;291?6=8r.:h:4>6c9K0<><@=2?7)?>b;03?l77n3:17d?>0;29?l7693:17d?>2;29?j7d83:17pl<b383>0<729q/=i9517`8L1??3A>386*>1c814>o68o0;66g>1183>>o6980;66g>1383>>i6k90;66sm30f94?3=83:p(<j8:04a?M2>02B?495+10`965=n99l1<75f10294?=n98;1<75f10094?=h9j:1<75rb22b>5<2290;w)?k7;35f>N3111C85:4$03a>76<a8:m6=44i033>5<<a8;:6=44i031>5<<g8i;6=44}c1`0?6==3:1<v*>d6822g=O<020D96;;%32f?473`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;h<7>5;|`0f4<72<0;6=u+1e5953d<@=337E:74:&25g<582c:<k4?::k255<722c:=<4?::k257<722e:o=4?::a74e=83?1<7>t$0f4>40e3A>246F;859'54d=:91b==h50;9j546=831b=<?50;9j544=831d=n>50;9~f66>290>6=4?{%3g3?71j2B?555G4968 47e2;:0e<>i:188m4772900e<?>:188m4752900c<m?:188yg5d;3:197>50z&2`2<6>k1C8464H5:7?!76j38;7d??f;29?l7683:17d?>1;29?l76:3:17b?l0;29?xd4j90;684?:1y'5a1=9?h0D977;I6;0>"69k09<6g>0g83>>o6990;66g>1083>>o69;0;66a>c183>>{e;8h1<7;50;2x 4b028<i7E:68:J7<1=#98h1>=5f11d94?=n98:1<75f10394?=n9881<75`1b294?=zj::36=4::183!7c?3;=n6F;999K0=2<,8;i6?>4i02e>5<<a8;;6=44i032>5<<a8;96=44o0a3>5<<uk>=n7>53;294~"6l>0::55G48:8L1>33-;:n7hl;h33b?6=3`;:<7>5;n3`4?6=3th8oi4?:283>5}#9m=1=;64H5;;?M2?<2.:=o47;h33b?6=3`;:<7>5;n3`4?6=3th8o?4?:483>5}#9m=1=;l4H5;;?M2?<2.:=o4=0:k24c<722c:==4?::k254<722c:=?4?::m2g5<722wi?lh50;794?6|,8n<6<8m;I6:<>N30=1/=<l5219j55`=831b=<>50;9j547=831b=<<50;9l5f6=831vn>?n:186>5<7s-;o;7?9b:J7===O<1>0(<?m:328m46a2900e<??:188m4762900e<?=:188k4e72900qo=?7;291?6=8r.:h:4>6c9K0<><@=2?7)?>b;03?l77n3:17d?>0;29?l7693:17d?>2;29?j7d83:17pl<2283>1<729q/=i9517;8L1??3A>386*>1c8245=n99l1<75f10294?=n98;1<75`1b294?=zj:ih6=4::183!7c?3;=n6F;999K0=2<,8;i6<>7;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`4?6=3th85o4?:283>5}#9m=1=;64H5;;?M2?<2.:=o4>1:k24c<722c:==4?::m2g5<722wi?4m50;794?6|,8n<6<8m;I6:<>N30=1/=<l5fg9j55`=831b=<>50;9j547=831b=<<50;9l5f6=831vn>=l:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f65f29096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>=7:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f65129096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>=;:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f65529096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>=?:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f64b29096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>k7:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f6c129096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>k;:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f6c529096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>k?:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f6bb29096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>jl:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f6bf29096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn>:9:181>5<7s-;o;7?97:J7===O<1>0(<?m:99j55`=831d=n>50;9~f6`529096=4?{%3g3?71?2B?555G4968 47e211b==h50;9l5f6=831vn?m=:180>5<7s-;o;7?98:J7===O<1>0(<?m:0d8m46a2900e<??:188k4e72900qo=84;297?6=8r.:h:4>699K0<><@=2?7)?>b;3e?l77n3:17d?>0;29?j7d83:17pl=c283>6<729q/=i9517:8L1??3A>386*>1c82b>o68o0;66g>1183>>i6k90;66sm36794?5=83:p(<j8:04;?M2>02B?495+10`95c=n99l1<75f10294?=h9j:1<75rb3a7>5<4290;w)?k7;35<>N3111C85:4$03a>4`<a8:m6=44i033>5<<g8i;6=44}c142?6=;3:1<v*>d6822==O<020D96;;%32f?7a3`;;j7>5;h324?6=3f;h<7>5;|`1g0<72:0;6=u+1e5953><@=337E:74:&25g<6n2c:<k4?::k255<722e:o=4?::a721=8391<7>t$0f4>40?3A>246F;859'54d=9o1b==h50;9j546=831d=n>50;9~f7e129086=4?{%3g3?7102B?555G4968 47e28l0e<>i:188m4772900c<m?:188yg5003:1?7>50z&2`2<6>11C8464H5:7?!76j3;m7d??f;29?l7683:17b?l0;29?xd5l>0;684?:1y'5a1=9?h0D977;I6;0>"69k0:<:5f11d94?=n98:1<75f10394?=n9881<75`1b294?=zj;i<6=4<:183!7c?3;=46F;999K0=2<,8;i6<h4i02e>5<<a8;;6=44o0a3>5<<uk9<57>53;294~"6l>0::55G48:8L1>33-;:n7?i;h33b?6=3`;:<7>5;n3`4?6=3th9o54?:283>5}#9m=1=;64H5;;?M2?<2.:=o4>f:k24c<722c:==4?::m2g5<722wi?:o50;194?6|,8n<6<87;I6:<>N30=1/=<l51g9j55`=831b=<>50;9l5f6=831vn?m6:180>5<7s-;o;7?98:J7===O<1>0(<?m:0d8m46a2900e<??:188k4e72900qo=8b;297?6=8r.:h:4>699K0<><@=2?7)?>b;3e?l77n3:17d?>0;29?j7d83:17pl=0683>6<729q/=i9517:8L1??3A>386*>1c82=>o68o0;66g>1183>>i6k90;66sm21;94?3=83:p(<j8:04a?M2>02B?495+10`95g=n99l1<75f10294?=n98;1<75f10094?=h9j:1<75rb32a>5<2290;w)?k7;35f>N3111C85:4$03a>74<a8:m6=44i033>5<<a8;:6=44i031>5<<g8i;6=44}c05=?6=;3:1<v*>d6822==O<020D96;;%32f?7>3`;;j7>5;h324?6=3f;h<7>5;|`12g<72<0;6=u+1e5953d<@=337E:74:&25g<6j2c:<k4?::k255<722c:=<4?::k257<722e:o=4?::a63b=83?1<7>t$0f4>40e3A>246F;859'54d=:;1b==h50;9j546=831b=<?50;9j544=831d=n>50;9~f7ef29086=4?{%3g3?7102B?555G4968 47e28l0e<>i:188m4772900c<m?:188yg50k3:1?7>50z&2`2<6>11C8464H5:7?!76j3;m7d??f;29?l7683:17b?l0;29?xd5n>0;684?:1y'5a1=9?h0D977;I6;0>"69k09o6g>0g83>>o6990;66g>1083>>o69;0;66a>c183>>{e;jo1<7=50;2x 4b028<37E:68:J7<1=#98h146g>0g83>>o6990;66a>c183>>{e:hn1<7;50;2x 4b028<i7E:68:J7<1=#98h1j6g>0g83>>o6990;66g>1083>>o69;0;66a>c183>>{e;jl1<7=50;2x 4b028<37E:68:J7<1=#98h146g>0g83>>o6990;66a>c183>>{e:m?1<7<50;2x 4b028?m7E:68:J7<1=n99o1<75`1b294?=zj=<26=4l2;294~"6l>0:o55G48:8L1>33S?;6nu9:68:>d<6:3;86<:5148`>a<6>3;<6p`lf;28jc7=82.:9n4=;%36`?4<,8;86>5+10697>"69<087)?>6;18 4702:1/=<653:&2`5<6881/=i=52:&25f<43-;:h7=4$03f>6=#98l1?6*>2180?!759390(<<=:29'575=;2.:>94<;%311?5<,88=6>5+13597>"6:1087)?=9;18 44f2:1/=?l53:&26f<43-;9h7=4$00f>6=#9;l1?6*>3180?!749390(<==:29'565=;2.:?94<;%301?5<,89=6>5+12597>"6;1087)?<9;18 45f2:1/=>l53:&27f<43-;8h7=4$01f>6=#9:l1?6*>4180?!739390(<:=:29'515=;2.:894<;%371?5<,8>=6>5+15597>"6<1087)?;9;18 42f2:1/=9l53:&20f<43-;?h7=4$06f>6=#9=l1?6*>5180?!729390(<;=:29'505=;2.:994<;%361?5<,8?=6>5+14597>"6=1087)?:9;18 43f2:1/=8l53:&21`<6jo1/=;>51138 40628::7)?l9;3ab>"6kh0:nk5a1b`961=i9ji1>95+1e395g`<,8;26>5+10c97>"31;0?5<5+48190<7<f=3?6?:4n5;6>72<,8n?6?5f5283>>o2<3:17d;n:188m0d=831bji4?::k2ga<722c:oh4?::kea?6=3`;o97>5;h3g2?6=3f?>6=44o4494?=n;:0;6)?l5;11?k7d<3:07d=>:18'5f3=;;1e=n:51:9j75<72-;h97==;o3`0?4<3`8m6=4+1b7977=i9j>1?65f2d83>!7d=3997c?l4;68?l5d290/=n;5339m5f2==21b?o4?:%3`1?553g;h8784;h1b>5<#9j?1??5a1b693>=n;00;6)?l5;11?k7d<3207d=7:18'5f3=;;1e=n:59:9j72<72-;h97==;o3`0?g<3`9=6=4+1b7977=i9j>1n65f3483>!7d=3997c?l4;a8?l53290/=n;5339m5f2=l21b>i4?:%3`1?553g;h87k4;h60>5<#9j?18?5a1b694>=n<80;6)?l5;61?k7d<3;07d:?:18'5f3=<;1e=n:52:9j7c<72-;h97:=;o3`0?5<3`9n6=4+1b7907=i9j>1865f4b83>!7d=3>97c?l4;78?l2e290/=n;5439m5f2=>21b8l4?:%3`1?253g;h8794;h6:>5<#9j?18?5a1b69<>=n<10;6)?l5;61?k7d<3307d:8:18'5f3=<;1e=n:5a:9j03<72-;h97:=;o3`0?d<3`>>6=4+1b7907=i9j>1o65f4583>!7d=3>97c?l4;f8?l5c290/=n;5439m5f2=m21b;o4?:%3`1?1f3g;h87>4;h5:>5<#9j?1;l5a1b695>=n?>0;6)?l5;5b?k7d<3807d99:18'5f3=?h1e=n:53:9j30<72-;h979n;o3`0?2<3`=?6=4+1b793d=i9j>1965f7283>!7d=3=j7c?l4;48?l15290/=n;57`9m5f2=?21b;<4?:%3`1?1f3g;h8764;h53>5<#9j?1;l5a1b69=>=n>o0;6)?l5;5b?k7d<3k07d8j:18'5f3=?h1e=n:5b:9j2f<72-;h979n;o3`0?e<3`<i6=4+1b793d=i9j>1h65f6`83>!7d=3=j7c?l4;g8?l0>290/=n;57`9m5f2=n21b:54?:%3`1?1f3g;h87??;:k53?6=,8i>6:o4n0a7>47<3`<=6=4+1b793d=i9j>1=?54i7794?"6k<0<m6`>c5827>=n>=0;6)?l5;5b?k7d<3;?76g93;29 4e22>k0b<m;:078?l>5290/=n;57`9m5f2=9?10e5?50;&2g0<0i2d:o94>7:9j<5<72-;h979n;o3`0?7?32c<j7>5$0a6>2g<f8i?6<74;h5f>5<#9j?1;l5a1b695d=<a>n1<7*>c484e>h6k=0:n65f7b83>!7d=3=j7c?l4;3`?>o003:1(<m::6c8j4e328n07d8k:18'5f3=?h1e=n:51d98m34=83.:o848a:l2g1<6n21b5n4?:%3`1??e3g;h87>4;h;b>5<#9j?15o5a1b695>=n110;6)?l5;;a?k7d<3807d78:18'5f3=1k1e=n:53:9j=3<72-;h977m;o3`0?2<3`3>6=4+1b79=g=i9j>1965f9583>!7d=33i7c?l4;48?l?4290/=n;59c9m5f2=?21b5?4?:%3`1??e3g;h8764;h;2>5<#9j?15o5a1b69=>=n190;6)?l5;;a?k7d<3k07d6i:18'5f3=1k1e=n:5b:9j<a<72-;h977m;o3`0?e<3`2h6=4+1b79=g=i9j>1h65f8c83>!7d=33i7c?l4;g8?l>f290/=n;59c9m5f2=n21b444?:%3`1??e3g;h87??;:k;<?6=,8i>64l4n0a7>47<3`2<6=4+1b79=g=i9j>1=?54i9494?"6k<02n6`>c5827>=n0<0;6)?l5;;a?k7d<3;?76g74;29 4e220h0b<m;:078?lg4290/=n;59c9m5f2=9?10el<50;&2g0<>j2d:o94>7:9je4<72-;h977m;o3`0?7?32cj<7>5$0a6><d<f8i?6<74;h;e>5<#9j?15o5a1b695d=<a0o1<7*>c48:f>h6k=0:n65f9e83>!7d=33i7c?l4;3`?>o>13:1(<m::8`8j4e328n07d6j:18'5f3=1k1e=n:51d98m=5=83.:o846b:l2g1<6n21bm54?:%3`1?g03g;h87>4;hc5>5<#9j?1m:5a1b695>=ni<0;6)?l5;c4?k7d<3807do;:18'5f3=i>1e=n:53:9jea<72-;h97ol;o3`0?6<3`ki6=4+1b79ef=i9j>1=65fa`83>!7d=3kh7c?l4;08?lg>290/=n;5ab9m5f2=;21b85l50;&2g0<30h1e=n:50:9j0=?=83.:o84;8`9m5f2=921b85650;&2g0<30h1e=n:52:9j0=1=83.:o84;8`9m5f2=;21b84>50;&2g0<30o1e=n:50:9j0=c=83.:o84;8g9m5f2=921b85j50;&2g0<30o1e=n:52:9j0=e=83.:o84;8g9m5f2=;21do:4?:%3`1?e13g;h87>4;na6>5<#9j?1o;5a1b695>=hk:0;6)?l5;a5?k7d<3807bm=:18'5f3=k?1e=n:53:9lg4<72-;h97m9;o3`0?2<3fi;6=4+1b79g3=i9j>1965`bg83>!7d=3i=7c?l4;48?jdb290/=n;5c79m5f2=?21dni4?:%3`1?e13g;h8764;n``>5<#9j?1o;5a1b69=>=hjk0;6)?l5;a5?k7d<3k07bln:18'5f3=k?1e=n:5b:9lf=<72-;h97m9;o3`0?e<3fh<6=4+1b79g3=i9j>1h65`b783>!7d=3i=7c?l4;g8?jd2290/=n;5c79m5f2=n21dn94?:%3`1?e13g;h87??;:ma7?6=,8i>6n84n0a7>47<3fh96=4+1b79g3=i9j>1=?54oc394?"6k<0h:6`>c5827>=hj90;6)?l5;a5?k7d<3;?76anf;29 4e22j<0b<m;:078?jeb290/=n;5c79m5f2=9?10cnj50;&2g0<d>2d:o94>7:9lgf<72-;h97m9;o3`0?7?32ehn7>5$0a6>f0<f8i?6<74;nab>5<#9j?1o;5a1b695d=<gj31<7*>c48`2>h6k=0:n65`c983>!7d=3i=7c?l4;3`?>id<3:1(<m::b48j4e328n07bl6:18'5f3=k?1e=n:51d98kdc=83.:o84l6:l2g1<6n21di44?:%3`1?c?3g;h87>4;ng4>5<#9j?1i55a1b695>=hm<0;6)?l5;g;?k7d<3807bk;:18'5f3=m11e=n:53:9la6<72-;h97k7;o3`0?2<3fo96=4+1b79a==i9j>1965`e083>!7d=3o37c?l4;48?jc7290/=n;5e99m5f2=?21dhk4?:%3`1?c?3g;h8764;nff>5<#9j?1i55a1b69=>=hlm0;6)?l5;g;?k7d<3k07bjl:18'5f3=m11e=n:5b:9l`d<72-;h97k7;o3`0?e<3fn26=4+1b79a==i9j>1h65`d983>!7d=3o37c?l4;g8?jb0290/=n;5e99m5f2=n21dh;4?:%3`1?c?3g;h87??;:mg1?6=,8i>6h64n0a7>47<3fn?6=4+1b79a==i9j>1=?54oe194?"6k<0n46`>c5827>=hl;0;6)?l5;g;?k7d<3;?76ak1;29 4e22l20b<m;:078?j`7290/=n;5e99m5f2=9?10chh50;&2g0<b02d:o94>7:9la`<72-;h97k7;o3`0?7?32enh7>5$0a6>`><f8i?6<74;ng`>5<#9j?1i55a1b695d=<glh1<7*>c48f<>h6k=0:n65`e`83>!7d=3o37c?l4;3`?>ib>3:1(<m::d:8j4e328n07bjm:18'5f3=m11e=n:51d98ka6=83.:o84j8:l2g1<6n21dj;4?:%3`1?`23g;h87>4;nd7>5<#9j?1j85a1b695>=hn:0;6)?l5;d6?k7d<3807bh=:18'5f3=n<1e=n:53:9lbg<72-;h97hn;o3`0?6<3fl26=4+1b79bd=i9j>1=65`f983>!7d=3lj7c?l4;08?j`0290/=n;5f`9m5f2=;21vn>7k:186>5<7s-;o;7?9c:J7===O<1>0(<?m:02:?l77n3:17d?>0;29?l7693:17d?>2;29?j71<3:17pl=ab83>0<729q/=i9517a8L1??3A>386*>1c8e?l77n3:17d?>0;29?l7693:17d?>2;29?j71<3:17pl=0983>1<729q/=i9517c8L1??3A>386*>1c82e>o68o0;66g>1183>>o6980;66a>6583>>{e:?k1<7:50;2x 4b028<j7E:68:J7<1=#98h1=l5f11d94?=n98:1<75f10394?=h9?>1<75rb3d;>5<2290;w)?k7;35g>N3111C85:4$03a>46f3`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;=87>5;|q6<2<721qU9594=3`2>476348i47?>2:?1f1<69;16>lk511d897b028;;70<nd;33b>;5ij0:<k5rs4:6>5<?nrT?mk5Q4`38Z0?73W?3i6P;a19]1=g<V<9h7S;7f:\7ea=Y<hi0R9om;_6be>X3i01U8l64^5c4?[2f>2T?m85Q58c8Z0?>3W?246P:969]1<0<V<3>7S;64:\6=6=Y=080R86;;_7;7>X20;1U95?4^4:3?[30n2T>;h5Q56f8Z01d34>257??c:?73d<1827?:k490:?73<<1827?;5490:?732<1827?4?490:?7<4<18278<<491:?045<19279jk491:?1b`<19279ji491:?051<19278=>491:?057<19278=<491:?055<19278m4491:?0e=<19278m:491:?0e3<19278m8491:?0ff<19278no491:?0fd<19278n4491:?0f=<19278?o491:?07<<19278?:491:?070<19278?>491:?074<19278>k491:?06a<19279n44>cg9>73d=9jl01>o?:72896c02?;01>k::73896c42?;01>k>:73896ba2?;01>jk:73896be2?;01>j6:738910>2<901986:468910>28io70:99;3`a>;3>00:h85247;976=:<?31?:5247;973=:<?31?85247;971=:<?31>i5247;906=:<?318:5247;903=:<?31885247;901=:<?31?i5247;9=f=:<?315l5247;9===:<?315:5247;9=3=:<?31585247;9=1=:<?315>5247;9=7=:<?315<5247;9=5=:<?314k5247;9<a=:<?314n5247;9<g=:<?314l5247;9<<=:<?31455247;9<2=:<?314;5247;9<0=:<?31495247;9e6=:<?31m?5247;9e4=:<?31m=5247;9=c=:<?315h5247;9=a=:<?31545247;9<`=:<?314>5247;9e==:<?31m;5247;9e0=:<?31m95247;9ea=:<?31mo5247;9ed=:<?31m45247;90<6<5=<2696j;<65=?2?l27?:44;8b9~w0>>2908wS;79:?73d<6k?168;h51b48yv34;3:1>vP:329>6g0=9j=0q~;6c;291~X21j16?nj511d896ed28:m70=le;33b>;4ko0:<k5rs45a>5<1lrT>;o52463914=:<>:19<5247d914=:<>319<5246:914=:<>=19<52333914=::<h19<5224c914=::<319<5224:914=::<=19<52244914=::<?19<52246914=::<919<522`1914=::h819<522`3914=::h:19<5228d914=::0o19<5228f914=::0i19<5228`914=::0;19<52282914=::1l19<5229g914=::1n19<5229a914=::1h19<5229c914=::1319<5220f914=::8i19<5220`914=::8k19<5220;914=::8219<52205914=::8<19<52207914=:;<219<52345914=:;<<19<52347914=:;<>19<52341914=:;<;19<52342914=:;<819<5237c914=:;?319<5237:914=:;?=19<52374914=:;??19<52376914=:;?919<52370914=::o919<522d;914=::l219<522d5914=::l<19<522d7914=::l>19<522d1914=::l819<522d3914=::k819<522`d914=::k<19<522c7914=:<=819<52453914=:<:l19<5242g914=:<:n19<5242a914=:<:h19<5242c914=:<:319<5242:914=:<:=19<52424914=:<:>19<52421914=:<:819<52423914=:<::19<5243d914=:<;o19<5243f914=:<;i19<5243`914=:<=219<52455914=:<=<19<52457914=:<=>19<52451914=:<=:19<52427914=:<;k19<5243;914=:<?319o5rs41:>5<5sW?8563<c`82g2=z{<9o6=4={_70`>;5n:0:o:5rs4;2>5<29rT>5<5246a914=:<>k19<5246`914=:<1819<52493914=:;jk19<523b;914=:9o319<521g:914=:9o=19<521g4914=:9o?19<521g6914=:9o919<521g0914=:9o;19<52253914=::=:19<5222d914=:::o19<5222f914=:::i19<5222`914=:::k19<5222;914=::;l19<5223g914=::;n19<5223a914=::;h19<5223c914=::;319<5223:914=::;=19<5226d914=::>o19<5226f914=::>i19<5226`914=::>k19<5226;914=::>219<52265914=:;0;19<52382914=:;1l19<5239g914=:;1n19<5239a914=:;1h19<523`2914=:;0319<52416914=:<9919<52410914=:<9;19<52412914=:;ol19<523gf914=:;oi19<523gg914=:<8:19<5241g914=:<9l19<52403914=:<8819<52401914=:<8>19<52407914=:<8<19<5243:914=:<;=19<52434914=:<;?19<52436914=:<;919<52430914=:<;;19<52432914=:<?319l5rs47`>5<5sW?>o63<9882g2=z{<8j6=4={_73e>;3<;0:o:5rs40;>5<5sW?;563;4082g2=z{<8=6=4={_733>;3;o0:o:5rs406>5<5sW?;:63;3d82g2=z{<8?6=4={_731>;3;m0:o:5rs400>5<5sW?;863;3b82g2=z{<896=4={_737>;3;k0:o:5rs402>5<5sW?;>63;3`82g2=z{<8;6=4={_735>;3;00:o:5rs43e>5<5sW?;<63;3982g2=z{<;n6=4={_6eb>;3;>0:o:5rs43g>5<5sW>mi63;3782g2=z{<;i6=4={_6eg>;3;=0:o:5rs43b>5<5sW>mn63;3282g2=z{<;26=4={_6ee>;3;;0:o:5rs43;>5<5sW>m563;3082g2=z{<;<6=4={_6e<>;3;90:o:5rs435>5<5sW>m;63;2g82g2=z{<;>6=4={_6e2>;3:l0:o:5rs437>5<5sW>m963;2e82g2=z{<;86=4={_6e0>;3:j0:o:5rs431>5<5sW>m?63;2c82g2=z{<9:6=4={_724>;3<10:o:5rs413>5<5sW?;j63;4682g2=z{<8m6=4={_73a>;3<?0:o:5rs40f>5<5sW?;h63;4482g2=z{<8o6=4={_73g>;3<=0:o:5rs40`>5<5sW?;n63;4282g2=z{<8i6=4={_73<>;3<90:o:5rs404>5<5sW>mh63;3482g2=z{<;h6=4={_6e6>;3:h0:o:5rs432>5<5sW>m=63;2882g2=z{=o26=4={_6`=>;3>00<n6s|4d594?4|V=i370:99;5:?xu3m<0;6?uQ4b48910>2>=0q~:j4;296~X3k<168;75779~w1c42909wS:l4:?72<<0=2wx8h<50;0xZ1e434>=579;;|q7a4<72;qU8n<4=54:>25<uz>n<7>52z\7g4=:<?31;?5rs5fe>5<5sW>h<63;68845>{t<mo1<7<t^5`e?82113=;7p};de83>7}Y<ko01986:7d8yv2ck3:1>vP;be9>03?=>l1v9jn:181[2ej27?:449c:p0a?=838pR9ln;<65=?0e3ty?h54?:3y]0g?<5=<26;o4}r6g3?6=:rT?n55247;92<=z{=n=6=4={_6a3>;3>00=46s|4e794?4|V=h=70:99;44?xu3l=0;6?uQ4c78910>2?<0q~:k3;296~X3j=168;75649~w1b52909wS:m3:?72<<1<2wx8i?50;0xZ1d534>=578<;|q7b5<72;qU8nh4=54:>=4<uz>nj7>52z\7g`=:<?314<5rs5gf>5<5sW>hh63;688;4>{t<ln1<7<t^5a`?82113=m7p};eb83>7}Y<jh01986:6g8yv2bj3:1>vP;c`9>03?=?m1v9kn:181[2d?27?:448c:p0`0=838pR9ll;<65=?1?3ty?ho4?:3y]0g7<5=<26;j4}r6g4?6=:rT?n=5247;927=z{8=:6=4l{<6:e?7d:279ji4:9:?055<21278m84:9:?0f=<21278?i4:9:?06a<21279n44:9:?02g<21278i44:9:?0`<<2127?:44id:p026=839p199>:728911728i<70:9f;74?xu3?80;6?u246395f1<5==86<>i;|q73g<72:q68:m5619>02g==>168:l51b58yv20k3:1>v3;7b82g2=:<>o1==h4}r65b?6=;r7?;=490:?72c<6k>168:=51028yv20i3:1?v3;7`82g2=:<>h1:=5246g9546<uz><>7>54z?73<<6k?168:651b48911028i=70:83;3`4>{t<><1<7<={<64=?7d?278><4>c79>70>==j16?8955b9>700==j16?8;55b9>702==j16?8=55b9>707==j16?8>51b4896352<i01>8n:4a8960>2<i01>87:4a896002<i01>89:4a896022<i01>8;:4a896042<i01>8=:4a897`428i=70<j9;7`?84b03?h70<j7;7`?84b>3?h70<j5;7`?84b<3?h70<j3;7`?84b:3?h70<j1;7`?84e:3?h70<nf;7`?84e>3;h:63=b482g3=z{==>6=4=5z?73=<6k>16>8l55b9>60g==j16>8755b9>60>==j16>8955b9>600==j16>8;55b9>602==j16>8=55b9>6d5==j16>l<55b9>6d7==j16>l>55b9>6<`==j16>4k55b9>6<b==j16>4m55b9>6<d==j16>4?55b9>6<6==j16>5h55b9>6=c==j16>5j55b9>6=e==j16>5l55b9>6=g==j16>5755b9>64b==j16><m55b9>64d==j16><o55b9>64?==j16><655b9>641==j16><855b9>643==j1v99;:1817~;3?>0:o:5245091f=:<=;19n5242d91f=:<:o19n5242f91f=:<:i19n5242`91f=:<:k19n5242;91f=:<:219n5242591f=:<:<19n5242691f=:<:919n5242091f=:<:;19n5242291f=:<;l19n5243g91f=:<;n19n5243a91f=:<;h19n5245:91f=:<==19n5245491f=:<=?19n5245691f=:<=919n5245291f=:<:?19n5243c91f=:<;319n5247`955`<5=<26<j9;|q73a<72:q685<51b4891>628i=70:8e;3`4>{t<1:1<7<8{<6;6?7d?278ol4>c79>7f?=9j<01>7>:4a896?72<i01>6i:4a896>b2<i01>6k:4a896>d2<i01>6m:4a896g728i=70=69;3`2>;38=0>o63;0286g>;38;0>o63;0086g>;3890>o63<fg86g>;4nm0:o;523ga91f=:;oo19n5240291f=:<9o1=n84=52e>0e<5=;:68m4=531>0e<5=;868m4=537>0e<5=;>68m4=535>0e<5=8368m4=504>0e<5=8=68m4=506>0e<5=8?68m4=500>0e<5=8968m4=502>0e<5=8;68m4}r64b?6=:<q685?51b5894`>2<i01<h7:4a894`02<i01<h9:4a894`22<i01<h;:4a894`42<i01<h=:4a894`62<i01?:>:4a897272<i01?=i:4a8975b2<i01?=k:4a8975d2<i01?=m:4a8975f2<i01?=6:4a8974a2<i01?<j:4a8974c2<i01?<l:4a8974e2<i01?<n:4a8974>2<i01?<7:4a897402<i01?9i:4a8971b2<i01?9k:4a8971d2<i01?9m:4a8971f2<i01?96:4a8971?2<i01?98:4a8yv55:3:1>v3<20854>;4::0:o=5rs202>5<1s499=7?l7:?1f1<68o16>i95100897gc28;;70<k5;33a>;5ij0:==5rs2aa>5<4s49hm78?;<1`=?07349ho7?l0:p7f?=83<p1>m6:0a4?85>n3;:<63<ce8255=:;ji1=<>4=2af>477349hj7?>0:p6ce=838p1>>>:4;8966728i;7p}<0783>7}:;9;1=nh4=22a>4e73ty8=k4?:3y>757=9j:01><<:032?xu5nk0;6?u231291<=::ol1=n>4}r131?6=:r78<=4>cg9>75g=9j:0q~<ia;296~;5no0>563=fd82g5=z{::?6=4={<0eb?7dn278<44>c19~w7`>2909w0<ie;7:?84al3;h<6s|31194?4|5;ln6<mi;<13<?7d82wx?=<50;0x97`c28im70=?7;3`4>{t;9l1<7<t=237>0?<5:;86<m?;|q05<<72;q6?<:51bd8967b28i;7p}<2183>7}:;8>1=n>4=200>4773ty8<h4?:3y>745==016?<<51b28yv5603:1>v3<1282gc=:;8n1=n>4}r13`?6=:r78=?4:9:?054<6k91v>?8:181856:3;hj63<1b82g5=z{::h6=4={<125?3>349:<7?l0:p740=838p1>?>:0ae?856j3;h<6s|30794?4|5:;;6<mi;<12e?7d82wx?l:50;0x96g>2<301>o7:0a3?xu4il0;6?u23`;95f`<5:h86<m?;|q0g2<72;q6?l751b2896ed28;97p}<a283>7}:;h2194523`595f6<uz9jh7>52z?0e=<6ko16?o<51b28yv5f:3:1>v3<a686=>;4i?0:o=5rs2c`>5<5s49j;7?lf:?0f4<6k91v>o>:18185f>3?270=n5;3`4>{t;hh1<7<t=2c5>4ea349i<7?l0:p7dg=838p1>o::0ae?85fn3;h<6s|3c594?4|5:hh6874=2`a>4e73ty8o<4?:3y>7ge=9jl01>m9:0a3?xu4k10;6?u23ca95f6<5:ih6<?>;|q0f3<72;q6?ol5589>7gg=9j:0q~=l0;296~;4jk0:ok523b795f6<uz9i97>52z?0fd<21278n44>c19~w6da2909w0=ma;3`b>;4k=0:o=5rs2`7>5<5s49i57;6;<1a<?7d82wx?ok50;0x96d>28im70=l3;3`4>{t;kn1<7<t=2`;>4ea349h>7?l0:p66>=83?p1<h6:728972628i<70?ib;324>;6nm0:<k521gd9547<uz;m<7>54z?2b<<6k>16?n85102896d428;;70=8c;324>{t9ok1<7<t=0d;>36<58li6<m?;|q2ac<72=q6=k651b5896e228;;70=m2;324>;4?k0:==5rs0d`>5<5s4;m;78?;<3e`?7d82wx=hk50;6x94`028i<70=l5;326>;4j;0:=?5236c9546<uz;mi7>57z?2b3<1827:jk4>c19>657=98:01?><:02e?847=3;:=63=08824c=::9h1==h4}r3f`?6=<r7:j;4>c69>7f2=98:01>l>:033?85013;:<6s|21294?4|58l>6;>4=322>4e73ty:in4?:5y>5c3=9j=01>m;:031?85e93;:>63<798255=z{;:96=4={<3e0?07348;?7?l0:p5`d=83>p1<h;:0a4?85d;3;:<63<b18255=:;>=1=<>4}r030?6=:r7:j>490:?140<6k91v<kn:18787a;3;h;63<c28257=:;k:1=<<4=255>4773ty9<;4?:3y>5c4=>916>=751b28yv7b13:18v3>f382g2=:;j81=<>4=2ce>477349<97?>0:p65g=838p1<h>:728976e28i;7p}>e983>1}:9o;1=n94=2a1>475349jj7?>2:?031<6991v?7n:186842j3<;70<n3;3`3>;5=m0:==5224d955`<5;<:6<?>;|q117<72=q6>8l51b58967b28:m70=?b;33b>;5kh0:<k5rs37`>5<5s48>m78?;<06`?7d82wx>8?50;7x973f28i<70<l1;42?856l3;:<63<0`8255=::j31==h4}r06a?6=:r7994490:?11c<6k91v?;?:18684213;h;63=c1855>;49m0:=?5231c9544<5;i36<>i;|q125<72>q6>865619>637=9j:01?8<:033?841=3;;j63=668254=::?h1==h4=34g>46a3ty98k4?:4y>60>=9j=01?li:738967d28;;70=?9;324>;5k>0:<k5rs341>5<5s48>;78?;<057?7d82wx>9k50;7x973028i<70<me;42?856k3;:>63<088257=::j<1==h4}r050?6=:r799;490:?120<6k91v?:k:186842>3;h;63=be855>;49k0:==5231:9546<5;i>6<>i;|q123<72;q6>8;5619>631=9j:0q~<;c;291~;5=<0:o:522ca924=:;8h1=<<4=22;>475348h87??f:p63>=838p1?;;:728970e28i;7p}=4c83>0}::<>1=n94=3`a>37<5:;j6<??;<133?768279o>4>0g9~w70d2909w0<:3;43?841l3;h<6s|25c94?3|5;?86<m8;<0ae?06349:m7?>2:?042<69;16>n<511d8yv4?03:1>v3=a2854>;5180:o:5rs3:4>5<5s48j>78?;<0:4?7d?2wx>4750;6x97g528i<70<:d;33b>;5=o0:==522739544<uz83:7>52z?1e4<182794k4>c69~w7??2908w0<n1;3`3>;5=o0:=<52273955`<uz8397>52z?1e5<182794h4>c69~w7?02909w0<n0;3`3>;5>80:==5rs3:7>5<5s482j78?;<0;`?7d?2wx>4850;4x97?a28i<70<93;33b>;5><0:==522759544<5;<i6<??;<05`?7682wx>5=50;0x97?b2?:01?6l:0a4?xu51<0;68u228g95f1<5;<>6<?>;<053?77n279:o4>109>63b=98;0q~<72;296~;51m0=<63=8c82g2=z{;3?6=4;{<0:`?7d?279::4>119>63?=99l01?8n:02e?xu5080;6?u228a925=::1k1=n94}r0:7?6=;r795n4>c69>63?=98:01?8n:033?xu5090;6?u228`925=::131=n94}r0:6?6=:r795o4>c69>63g=98;0q~<=6;296~;5<80=<63=2g82g2=z{;8>6=4={<074?073489i7?l7:p661=83>p1?:?:0a4?87aj3;;j63>fe8255=:9ol1=<<4}r010?6=:r79?k490:?16a<6k>1v?=9:180844n3;h;63>fe8254=:9ol1==h4}r017?6=:r79?h490:?16f<6k>1v?=::181844m3;h;63>fg8255=z{;896=4={<00`?073489n7?l7:p662=83<p1?=k:0a4?84793;;j63=028255=::9?1=<<4=32:>477348;n7?>0:p677=838p1?=l:728974f28i<7p}=3283>0}:::i1=n94=320>476348;97??f:?14<<69816>=l51038yv4583:1>v3=3c854>;5:00:o:5rs311>5<3s488n7?l7:?140<69916>=9511d8976?28:m7p}=1g83>7}:::k1:=5223:95f1<uz88=7>53z?17d<6k>16>=951028976?28;;7p}=1d83>7}:::31:=5223595f1<uz88<7>52z?17<<6k>16>=651038yv40>3:1>v3=90854>;5?o0:o:5rs356>5<5s482<78?;<04a?7d?2wx>::50;0x97>a2?:01?9k:0a4?xu5?:0;6?u229g925=::>i1=n94}r046?6=:r794i490:?13g<6k>1v?9>:18184?k3<;70<8a;3`3>{t:>:1<7<t=3:a>36<5;=26<m8;|q12c<72;q6>5o5619>62>=9j=0q~<9e;296~;5000=<63=7682g2=z{;;?6=4={<01b?07348:h7?l7:p645=838p1?<j:728977d28i<7p}=1383>7}::;n1:=5220`95f1<uz8:=7>52z?16f<18279=l4>c69~w7772909w0<=b;43?84613;h;6s|21d94?4|5;8j6;>4=33;>4e03ty9<h4?:3y>67?=>916><951b58yv47l3:1>v3=29854>;59?0:o:5rs32`>5<5s489;78?;<021?7d?2wx?;?50;4x977c2?:01>8n:0a4?87c03;;j63<0c8255=::jk1=<>4=54:>17<uz8?>7>52z?15f<1827:h54>c19~w7242909w0<>b;43?87c13;h<6s|25694?4|5;;j6;>4=0fb>4e73ty9884?:3y>64?=>916=il51b28yv43>3:1>v3=19854>;6lj0:o=5rs364>5<5s48:;78?;<3g`?7d82wx>9650;0x97712?:01<jj:0a3?xu5<00;6?u2207925=:9ml1=n>4}r62b?6=<r79;k490:?76=<6k>16=h>511d8910>2:;0q~<n4;296~;5?l0=<63>e182g5=z{;k>6=4={<04`?0734;n=7?l0:p6d0=838p1?9l:72894c528i;7p}=a683>7}::>h1:=521d195f6<uz8j47>52z?13d<1827:i94>c19~w7g>2909w0<89;43?87b=3;h<6s|2`c94?4|5;=36;>4=0g5>4e73ty9mo4?:3y>621=>916=h951b28yv4fk3:1=9u234:912=:;<=19:52344912=:;<?19:52346912=:;<919:52343912=:;<:19:52340912=:;?k19:5237;912=:;?219:52375912=:;?<19:52377912=:;?>19:52371912=:;?819:5247`9546<5;ko6<m?;|q06f<72;q6?865619>713=9j:0q~=;f;290~;4=10:o:5237c925=:;8o1=<>4=265>46a3ty8>o4?:3y>701=>916?9:51b28yv53m3:18v3<5682g2=:;?31:=5230f955`<5:9h6<>i;|q06d<72;q6?885619>715=9j:0q~=;d;290~;4=?0:o:5237:925=:;8n1=<?4=21b>46a3ty8>44?:3y>703=>916?9<51b28yv53k3:18v3<5482g2=:;?=1:=5230a955`<5:936<>i;|q06=<72;q6?8:5619>717=9j:0q~=;b;290~;4==0:o:52374925=:;8i1=<?4=215>46a3ty8>:4?:3y>705=>916?9>51b28yv53i3:18v3<5282g2=:;??1:=5230`955`<5:9?6<>i;|q060<72;q6?8?5619>76c=9j:0q~=;8;290~;4=80:o:52371925=:;8k1==h4=213>46a3ty8>94?:3y>706=>916?>j51b28yv53?3:18v3<5182g2=:;?81:=5230c9547<5:8n6<>i;|q063<72;q6?8<5619>76`=9j:0q~=;9;290~;4=;0:o:52376925=:;8h1=<?4=211>46a3ty8?o4?:3y>713==016?>l51b28yv53>3:1>v3<448226=:;=<1=n>4}r10=?6=;r78894:9:?07g<21278?44>c19~w65d2908w0=;4;357>;4;k0:ok5232a95f6<uz98;7>53z?006<21278?44:9:?072<6k91v>=n:180853;3;=?63<3882gc=:;:k1=n>4}r101?6=;r788?4:9:?072<21278?84>c19~w65?2908w0=;2;357>;4;>0:ok5232:95f6<uz98?7>53z?004<21278?84:9:?076<6k91v>=9:18085393;=?63<3482gc=:;:<1=n>4}r105?6=;r788=4:9:?076<21278?<4>c19~w6532908w0=;0;357>;4;:0:ok5232695f6<uz99j7>53z?07c<21278?<4:9:?06c<6k91v>==:180854n3;=?63<3082gc=:;:81=n>4}r11`?6=;r78?h4:9:?06c<21278>i4>c19~w6572908w0=<e;357>;4:o0:ok5232295f6<uz99i7>53z?07a<6>:16??j51bd8964b28i;7p}<6183>3}:;?31=n94=0f:>46a34;o47?>0:?04d<68o16>n751028910>2=:0q~=:f;292~;4>10:o:521ec955`<58n26<??;<13e?769279o54>119>03?=;o1v>;j:185851?3;h;63>dc824c=:9mk1=<>4=22:>46a348h;7?>0:?72<<4m2wx?8j50;4x960128i<70?kc;33b>;6lk0:==5231;9547<5;i=6<??;<65=?2d3ty89n4?:7y>733=9j=01<jk:02e?87ck3;:<63<09824c=::j?1=<>4=54:>1d<uz9>n7>56z?021<6k>16=ik511d894bc28;;70=?8;325>;5k=0:==5247;90d=z{:?j6=49{<157?7d?27:hk4>0g9>5ac=98:01>>8:02e?84d;3;:<63;6887=>{t;<31<7;t=241>4e034;oj7?>0:?042<69816>n<51028910>2=20q~<l1;296~;5l:0>563=c082g5=z{;ij6=4={<0g7?71;279ol4>c19~w7`52909w0<k3;3`4>;5m00=<6s|2b294?5|5;n96874=3a2>0?<5;i;6<m?;|q1g<<72:q6>i<5171897e628im70<l9;3`4>{t:o;1<7<t=3f1>4e7348n478?;|q1fc<72:q6>i?5589>6f6==016>oh51b28yv4d03:1?v3=d08226=::j:1=nh4=3a;>4e73ty9j=4?:3y>6a7=9j:01?k8:728yv4em3:1?v3=d186=>;5jo0>563=bd82g5=z{;i<6=4<{<0g4?71;279nk4>cg9>6f1=9j:0q~<jf;296~;5l90:o=522d4925=z{;ho6=4<{<0`b?3>348ii7;6;<0a`?7d82wx>n850;1x97ea28<870<me;3`b>;5k?0:o=5rs3gf>5<5s48hj7?l0:?1a0<182wx>om50;1x97eb2<301?lk:4;897dd28i;7p}=c483>6}::jo1=;=4=3`g>4ea348h97?l0:p6`b=838p1?mj:0a3?84b<3<;7p}=bc83>6}::jn194522ca91<=::kh1=n>4}r0`0?6=;r79oi4>629>6ge=9jl01?m;:0a3?xu5mj0;6?u22bf95f6<5;o86;>4}r0ae?6=;r79on4:9:?1fg<21279nl4>c19~w7e42908w0<lc;357>;5jk0:ok522b195f6<uz8nn7>52z?1gf<6k916>h<5619~w7d>2908w0<lb;7:?84ei3?270<m9;3`4>{t:j81<7=t=3aa>404348im7?lf:?1g7<6k91v?kn:18184dj3;h<63=e0854>{t:m<1<7<t=3`:>37<5;n<6<m?;|q1`1<72;q6>k=5569>6a3=9j:0q~<i4;296~;5n:0=<63=f682g5=z{;o;6=4={<0f=?7d?279j;4>119~w7ba2909w0<j8;3`3>;5n?0:<k5rs3ff>5<5s48n;7?l7:?1b0<68o1v?jk:18184b>3;h;63=f48255=z{;nh6=4={<0f1?7d?279j:4>119~w7be2909w0<j4;3`3>;5n10:<k5rs3fb>5<5s48n?7?l7:?1b=<69;1v?j6:18184b:3;h;63=f98255=z{;n36=4={<0f5?7d?279j54>109~w7d42909w0<m2;43?84e<3;h<6s|2c094?>|5;h96<m8;<0a5?768279n54>109>6g2=98;01?oj:032?84c?3;;j63=ae8257=::hi1=<<4}r0a4?6=:r79mk490:?1f4<6k91v?oi:18;84fn3;h;63=b0824c=::k21=<>4=3`7>477348ji7?>0:?1`2<69816>lj5103897gd28;:7p}=b683>6}::k<1:=522c7925=::k21=n>4}r0a1?6=:r79n84>c69>6g>=99l0q~=83;296~;40:0>563<7282g5=z{:=h6=4={<1;7?71;278;n4>c19~w6??2909w0=73;3`4>;4180=<6s|36094?5|5:296874=250>0?<5:=96<m?;|q03g<72:q6?5<51718961428im70=8b;3`4>{t;0=1<7<t=2:1>4e73492<78?;|q74f<72<q6?:=5609>043=9j=019<8:72896d528:m70=8b;33b>{t;>;1<7=t=2:2>0?<5:=96874=252>4e73ty8;l4?:2y>7=7=9?901>9=:0ae?850i3;h<6s|38494?4|5:2:6<m?;<1;b?073ty?<o4?:4y>724=>8168<:51b5891412?:01>l=:032?850i3;;j6s|36294?5|5:2;6874=252>0?<5:=;6<m?;|q03<<72:q6?5>51718961628im70=89;3`4>{t;0?1<7<t=2:3>4e73493i78?;|q74d<72<q6?:?5609>045=9j=019<::72896d628:m70=89;33b>{t;?l1<7=t=25e>0?<5:=;6874=24e>4e73ty8;54?:2y>72`=9?901>9?:0ae?85003;h<6s|38694?4|5:=m6<m?;<1;`?073ty?<44?:4y>726=>8168<<51b5891432?:01>l>:032?85003;;j6s|37g94?5|5:=n6874=24e>0?<5:<n6<m?;|q032<72:q6?:k51718960a28im70=87;3`4>{t;091<7<t=25f>4e73493o78?;|q74=<72<q6?;h5609>047=9j=019<<:72896d728:m70=87;33b>{t;?n1<7=t=25g>0?<5:<n6874=24g>4e73ty8;;4?:2y>72b=9?901>8j:0ae?850>3;h<6s|38094?4|5:=o6<m?;<1;f?073ty?<:4?:4y>73c=>8168<>51b5891452?:01>l?:032?850>3;;j6s|37a94?4|5:<o6874=24`>4e73ty?<;4?:4y>73b=>8168=h51b5891462?:01>oi:02e?850=3;;j6s|36794?4|5:<o6<mi;<141?7d82wx?;l50;0x960d2<301>8m:0a3?xu38<0;68u237a924=:<9o1=n94=503>36<5:km6<?>;<140?77n2wx?::50;0x960d28im70=84;3`4>{t;jn1<7<t=24a>37<5:in6<m?;|q0<d<72;q6?4?51b5896?e28;;7p}<8883>7}:;0:1=n94=2;`>4753ty8454?:3y>7=`=9j=01>7l:02e?xu40>0;6?u239g95f1<5:3o6<?=;|q0<3<72;q6?5j51b5896?c28:m7p}<8483>7}:;1i1=n94=2;g>4773ty8494?:3y>7=d=9j=01>7k:032?xu4i90;6>u23`295f1<5:3m6<>i;<1:f?77n2wx?4k50;0x96?>2<=01>7i:0a3?xu41h0;6?u238;925=:;0i1=n>4}r1`a?6=9jq68=:5569>055==>168=<5569>057==>168=>5569>7c`==>16?kj5569>7ce==>16?kk5569>046==>168=k5569>05`==>168<?5569>044==>168<=5569>042==>168<;5569>040==>168?65569>071==>168?85569>073==>168?:5569>075==>168?<5569>077==>168?>5569>7f`=9j:0q~=k8;296~;38=0=<63<f082g5=z{:li6=4;{<630?7d?27?=;490:?0g3<68o16?k<511d8yv5c?3:1>v3;02854>;4n90:o=5rs2db>5<3s4>;?7?l7:?750<18278o84>0g9>7`>=99l0q~=k6;296~;38;0=<63<eg82g5=z{:l26=4;{<636?7d?27?=9490:?0g0<69816?h8511d8yv5c=3:1>v3;00854>;4ml0:o=5rs2d;>5<3s4>;=7?l7:?756<18278o94>0g9>7`2=99l0q~=k4;296~;3890=<63<ee82g5=z{:l<6=4;{<634?7d?27?=?490:?0g1<69816?h<511d8yv5c;3:1>v3<fg854>;4mj0:o=5rs2d5>5<3s49mj7?l7:?754<18278o>4>0g9>7`6=99l0q~=k1;296~;4nm0=<63<e`82g5=z{:l?6=4;{<1e`?7d?27?<k490:?0g7<68o16?im511d8yv5c83:1>v3<fb854>;4m00:o=5rs2d0>5<3s49mo7?l7:?74`<18278o?4>109>7ag=99l0q~=k2;296~;4nl0=<63<ec82g5=z{:l>6=4;{<1ea?7d?27?==490:?0g6<69816?ik511d8yv5b?3:1>v3<f086=>;4m>0:o=5rs2d1>5<5s49m=7?93:?0b7<6k91v>k::18085a83?270=j7;7:?85b=3;h<6s|3d:94?5|5:l;6<8<;<1f3?7dn278i54>c19~w6c42908w0=jf;7:?85b=3?270=j3;3`4>{t;l<1<7=t=2ge>404349n97?lf:?0a3<6k91v>k>:18085bm3?270=j3;7:?85b93;h<6s|3d694?5|5:on6<8<;<1f7?7dn278i94>c19~w6ba2908w0=jd;7:?85b93?270=kf;3`4>{t;l81<7=t=2gg>404349n=7?lf:?0a7<6k91v>jk:18085bk3?270=kf;7:?85cl3;h<6s|3d294?5|5:oh6<8<;<1gb?7dn278i=4>c19~w6be2908w0=jb;7:?85cl3?270=kb;3`4>{t;mo1<7=t=2ga>404349oh7?lf:?0``<6k91v>j6:18085bi3?270=kb;7:?85c13;h<6s|3ea94?5|5:oj6<8<;<1gf?7dn278hn4>c19~w6bf2908w0=j9;357>;4l00:ok523ec95f6<uz>;h7>54z?753<6k>168?65619>7g5=99l01>9l:02e?xu39l0;69u243595f1<58o:6<>i;<3f4?76827?:44<0:p04b=83>p19<9:0a4?87b:3;;j63>e08255=:<?31>k5rs53`>5<3s4>997?l7:?2a6<68o16=h<51028910>2;o0q~:>b;290~;3:=0:o:521d6955`<58o86<??;<65=?5d3ty?=l4?:5y>075=9j=01<k::02e?87b<3;:<63;6880f>{t<831<7:t=501>4e034;n:7??f:?2a0<699168;753`9~w17?290?w0:=1;3`3>;6m>0:<k521d49546<5=<26>74}r623?6=;r7?>=4>c69>5`1=98:01986:2:8yv21l3:1><u2450912=:<=;19:5242d912=:<:o19:5242f912=:<:i19:5242`912=:<:k19:5242;912=:<:219:52425912=:<:<19:52426912=:<:919:52420912=:<:;19:52422912=:<;l19:5243g912=:<;n19:5243a912=:<;h19:5245:912=:<==19:52454912=:<=?19:52456912=:<=919:52452912=:<:?19:5243c912=:<;319:522`g95f6<uz>==7>52z?707<1827?:44j9:p036=838p19:>:728910>2l=0q~::e;296~;3;o0=<63;688f1>{t<<n1<7<t=51f>36<5=<26h:4}r66g?6=:r7??i490:?72<<b;2wx88l50;0x915d2?:01986:d08yv22i3:1>v3;3c854>;3>00n=6s|44;94?4|5=9j6;>4=54:>`6<uz>>47>52z?77<<1827?:44kf:p001=838p19=7:728910>2mo0q~::6;296~;3;>0=<63;688g`>{t<<?1<7<t=515>36<5=<26im4}r667?6=:r7??9490:?72<<ci2wx88<50;0x91542?:01986:e;8yv2293:1>v3;33854>;3>00o46s|44294?4|5=9:6;>4=54:>a1<uz>?j7>52z?775<1827?:44k6:p01c=838p19<i:728910>2m?0q~:;d;296~;3:l0=<63;688g0>{t<=i1<7<t=50g>36<5=<26i=4}r67f?6=:r7?>n490:?72<<c:2wx89o50;0x914e2?:01986:e38yv2103:1>v3;49854>;3>00m<6s|47594?4|5=><6;>4=54:>``<uz>=:7>52z?703<1827?:44je:p033=838p19:::728910>2ln0q~:94;296~;3<=0=<63;688fg>{t<?91<7<t=560>36<5=<26hl4}r656?6=:r7?8=490:?72<<bi2wx88h50;0x91522?:01986:d48yv22<3:1>v3;2`854>;3>00on6s|45;94?4|5=826;>4=54:>a6<uz8m97>52z?1b0<6k916>k951008yv4a>3:1>v3=f782g5=::o=1==h4}r65e?6=:r7?:o4>c19>03?=nl1v98j:18685dl3;h<63;6887<g=:<?318574=54:>1>?34>=57:77:p03e=838p1><<:02e?84fk3;=86s|38`94?4|5:3i6<m?;<1:g?7692wx?4j50;0x96?d28;;70=6d;350>{t9hi1<7<t=324>4e7348;57?>2:p5dc=838p1?>m:031?84703;=86s|1c294?4|5;<26<m?;<05f?76:2wx=o<50;0x970c28;970<9a;350>{t9k91<7<t=3d4>476348m47?94:~w1c>2909wS:l9:?7b?2d12.?4>4>b59~w1c02909wS:l8:?7b?2d02.?4>4>b49~w1c22909wS:l6:?7b?2d>2.?4>4>b79~w1c32909wS:l5:?7b?2d=2.?4>4>b69~w1c42909wS:l4:?7b?2d<2.?4>4>739~w1c52909wS:l3:?7b?2d;2.?4>4>729~w1c62909wS:l2:?7b?2d:2.?4>4>7e9~w1c72909wS:l1:?7b?2d92.?4>4>899~w1ba2909wS:l0:?7b?2d82.?4>4>929~w1bb2909wS:mf:?7b?2en2.?4>4>9c9~w1bc2909wS:me:?7b?2em2.?4>4>9b9~w1bd2909wS:md:?7b?2el2.?4>4>9e9~w1bf2909wS:mb:?7b?2ej2.?4>4>9d9~w1b>2909wS:ma:?7b?2ei2.?4>4>9g9~w1b?2909wS:m9:?7b?2e12.?4>4>a19~w1b02909wS:m8:?7b?2e02.?4>4>a09~w1b12909wS:m7:?7b?2e?2.?4>4>a39~w1b22909wS:m6:?7b?2e>2.?4>4>a29~w1b32909wS:m5:?7b?2e=2.?4>4>a59~w1b42909wS:m4:?7b?2e<2.?4>4>a49~w1b52909wS:m3:?7b?2e;2.?4>4>a79~w1b62909wS:m2:?7b?2e:2.?4>4>a69~w1`72909wS:lf:?7b?2dn2.?4>4>a99~w1ca2909wS:le:?7b?2dm2.?4>4>a89~w1cb2909wS:ld:?7b?2dl2.?4>4>a`9~w1cc2909wS:lc:?7b?2dk2.?4>4>ac9~w1cd2909wS:lb:?7b?2dj2.?4>4>ab9~w1ce2909wS:la:?7b?2di2.?4>4>ae9~w1cf2909wS:l7:?7b?2d?2.?4>4>ad9~w1c12909wS:mc:?7b?2ek2.?4>4>ag9~w1be2909wS:m1:?7b?2e92.?4>4>b19~w1b72909wS:m0:?7b?2e82.?4>4>b09~w01e2909wS;8b:?7b?30j2.?4>4>b99~w0>02909wS;77:?7b?3??2.?4>4>b89~w0>>2909wS;79:?7b?3?12.?4>4>b`9~w0?62909wS;61:?7b?3>92.?4>4>bc9~w0?d2909wS;6c:?7b?3>k2.?4>4>bb9~w04f2909wS;?a:?7b?37i2.?4>4>759~w04?2909wS;?9:?7b?3712.?4>4>749~w0412909wS;?7:?7b?37?2.?4>4>779~w0422909wS;?6:?7b?37>2.?4>4>769~w0432909wS;?5:?7b?37=2.?4>4>799~w0442909wS;?4:?7b?37<2.?4>4>789~w0452909wS;?3:?7b?37;2.?4>4>7`9~w0462909wS;?2:?7b?37:2.?4>4>7c9~w0472909wS;?1:?7b?3792.?4>4>7b9~w07a2909wS;?0:?7b?3782.?4>4>7d9~w07b2909wS:if:?7b?2an2.?4>4>7g9~w07c2909wS:ie:?7b?2am2.?4>4>819~w07e2909wS:ic:?7b?2ak2.?4>4>809~w07f2909wS:ib:?7b?2aj2.?4>4>839~w07>2909wS:ia:?7b?2ai2.?4>4>829~w07?2909wS:i9:?7b?2a12.?4>4>859~w0702909wS:i8:?7b?2a02.?4>4>849~w0712909wS:i7:?7b?2a?2.?4>4>879~w0722909wS:i6:?7b?2a>2.?4>4>869~w0732909wS:i5:?7b?2a=2.?4>4>889~w0742909wS:i4:?7b?2a<2.?4>4>8`9~w0752909wS:i3:?7b?2a;2.?4>4>8c9~w0562909wS;>0:?7b?3682.?4>4>8b9~w0572909wS;?f:?7b?37n2.?4>4>8e9~w04a2909wS;?e:?7b?37m2.?4>4>8d9~w04b2909wS;?d:?7b?37l2.?4>4>8g9~w04c2909wS;?c:?7b?37k2.?4>4>919~w04d2909wS;?b:?7b?37j2.?4>4>909~w04e2909wS;?8:?7b?3702.?4>4>939~w0402909wS:id:?7b?2al2.?4>4>959~w07d2909wS:i2:?7b?2a:2.?4>4>949~w0762909wS:i1:?7b?2a92.?4>4>979~w0542909wS;<3:?7b?34;2.?4>4>969~w05>2909wS;<9:?7b?3412.?4>4>999~w05c2909wS;<d:?7b?34l2.?4>4>989~w03d2909wS;:c:?7b?32k2.?4>4>9`9~ykd5=3:1>vF;859~jg412909wE:74:mf71=838pD96;;|la6=<72;qC85:4}o`1=?6=:rB?495rnc0b>5<5sA>386sab3`94?4|@=2?7p`m2b83>7}O<1>0qcl=d;296~N30=1vbo<j:181M2?<2wen?h50;0xL1>33tdi?=4?:3yK0=2<ugh8=7>52zJ7<1=zfk996=4={I6;0>{ij:91<7<tH5:7?xhe;=0;6?uG4968ykd4=3:1>vF;859~jg512909wE:74:mf61=838pD96;;|la7=<72;qC85:4}o`0=?6=:rB?495rnc1b>5<5sA>386sab2`94?4|@=2?7p`m3b83>7}O<1>0qcl<d;296~N30=1vbo=j:181M2?<2wen>h50;0xL1>33tdi8=4?:3yK0=2<ugh?=7>52zJ7<1=zfk>96=4={I6;0>{ij=91<7<tH5:7?xhe<=0;6?uG4968ykd3=3:1>vF;859~jg212909wE:74:mf11=838pD96;;|la0=<72;qC85:4}o`7=?6=:rB?495rnc6b>5<5sA>386sab5`94?4|@=2?7p`m4b83>7}O<1>0qcl;d;296~N30=1vbo:j:181M2?<2wen9h50;0xL1>33tdi9=4?:3yK0=2<ugh>=7>52zJ7<1=zfk?96=4={I6;0>{ij<91<7<tH5:7?xhe==0;6?uG4968ykd2=3:1>vF;859~jg312909wE:74:mf01=838pD96;;|la1=<72;qC85:4}o`6=?6=:rB?495rnc7b>5<5sA>386sab4`94?4|@=2?7p`m5b83>7}O<1>0qcl:d;296~N30=1vbo;j:181M2?<2wen8h50;0xL1>33tdi:=4?:3yK0=2<ugh==7>52zJ7<1=zfk<96=4={I6;0>{ij?91<7<tH5:7?xhe>=0;6?uG4968ykd1=3:1>vF;859~jg012909wE:74:mf31=838pD96;;|la2=<72;qC85:4}o`5=?6=:rB?495rnc4b>5<5sA>386sab7`94?4|@=2?7p`m6b83>7}O<1>0qcl9d;296~N30=1vb4;6:182M2?<2we5l<50;3xL1>33td2m>4?:0y'53b==1n0D96;;|l:e1<728q/=;j559f8L1>33td2m84?:0y'53b==1n0D96;;|l:e3<728q/=;j559f8L1>33td2m:4?:0y'53b==1n0D96;;|l:e=<728q/=;j559f8L1>33td2m44?:0yK0=2<ug3jm7>51zJ7<1=zf0ki6=4>{I6;0>{i1hi1<7?tH5:7?xh>im0;6<uG4968yk?fm3:1=vF;859~j<ga290:wE:74:m=g6=83;pD96;;|l:f4<728qC85:4}o;a6?6=9rB?495rn8`0>5<6sA>386sa9c694?7|@=2?7p`6b483>4}O<1>0qc7m6;295~N30=1vb4l8:182M2?<2we5o650;3xL1>33td2n44?:0yK0=2<ug3im7>51zJ7<1=zf0hi6=4>{I6;0>{i1ki1<7?tH5:7?xh>jm0;6<uG4968yk?em3:1=vF;859~j<da290:wE:74:m=f6=83;pD96;;|l:g4<728qC85:4}o;`6?6=9rB?495rn8a0>5<6sA>386sa9b694?7|@=2?7p`6c483>4}O<1>0qc7l6;295~N30=1vb4m8:182M2?<2we5n650;3xL1>33td2o44?:0yK0=2<ug3hm7>51zJ7<1=zf0ii6=4>{I6;0>{i1ji1<7?tH5:7?xh>km0;6<uG4968yk?dm3:1=vF;859~j<ea290:wE:74:m=a6=83;pD96;;|l:`4<728qC85:4}o;g6?6=9rB?495rn8f0>5<6sA>386sa9e694?7|@=2?7p`6d483>4}O<1>0qc7k6;295~N30=1vb4j8:182M2?<2we5i650;3xL1>33td2h44?:0yK0=2<ug3om7>51zJ7<1=zf0ni6=4>{I6;0>{i1mi1<7?tH5:7?xh>lm0;6<uG4968yk?cm3:1=vF;859~j<ba290:wE:74:m=`6=83;pD96;;|l:a4<728qC85:4}o;f6?6=9rB?495rn8g0>5<6sA>386sa9d694?7|@=2?7p`6e483>4}O<1>0qc7j6;295~N30=1vb4k8:182M2?<2we5h650;3xL1>33td2i44?:0yK0=2<ug3nm7>51zJ7<1=zf0oi6=4>{I6;0>{i1li1<7?tH5:7?xh>mm0;6<uG4968yk?bm3:1=vF;859~j<ca290:wE:74:m=c6=83;pD96;;|l:b4<728qC85:4}o;e6?6=9rB?495rn8d0>5<6sA>386sa9g694?7|@=2?7p`6f483>4}O<1>0qc7i6;295~N30=1vb4h8:182M2?<2we5k650;3xL1>33td2j44?:0yK0=2<ug3mm7>51zJ7<1=zf0li6=4>{I6;0>{i1oi1<7?tH5:7?xh>nm0;6<uG4968yk?am3:1=vF;859~j<`a290:wE:74:me56=83;p(<8k:4:g?M2?<2wem=?50;3x 40c2<2o7E:74:me54=83;pD96;;|lb46<728q/=;j559f8L1>33tdj<94?:0yK0=2<ugk;97>51z&22a<20m1C85:4}oc32?6=9rB?495rn`24>5<6s-;=h7;7d:J7<1=zfh:36=4>{I6;0>{ii931<7?t$04g>0>c3A>386saa1c94?7|@=2?7p`n0c83>4}#9?n195j4H5:7?xhf8j0;6<uG4968ykg7l3:1=v*>6e86<a=O<1>0qco?e;295~N30=1vbl>i:182!71l3?3h6F;859~jd77290:wE:74:me47=83;p(<8k:4:g?M2?<2wem<<50;3x 40c2<2o7E:74:me45=83;pD96;;|lb51<728q/=;j559f8L1>33tdj=84?:0yK0=2<ugk::7>51z&22a<20m1C85:4}oc23?6=9rB?495rn`3;>5<6s-;=h7;7d:J7<1=zfh;26=4>{I6;0>{ii8k1<7?t$04g>0>c3A>386saa0`94?7|@=2?7p`n1b83>4}#9?n195j4H5:7?xhf9m0;6<uG4968ykg6m3:1=v*>6e86<a=O<1>0qco>f;295~N30=1vbl<?:182!71l3?3h6F;859~jd46290:wE:74:me74=83;pD96;;|lb66<728qC85:4}oc10?6=9rB?495rn`06>5<6sA>386saa3494?7|@=2?7p`n2683>4}O<1>0qco=8;295~N30=1vbl<6:182M2?<2wem?o50;3xL1>33tdj>o4?:0yK0=2<ugk9o7>51zJ7<1=zfh8o6=4>{I6;0>{ii;o1<7?tH5:7?xhf:o0;6<uG4968ykg483:1=vF;859~jd56290:wE:74:me64=83;pD96;;|lb76<728qC85:4}oc00?6=9rB?495rn`16>5<6sA>386saa2494?7|@=2?7p`n3683>4}O<1>0qco<8;295~N30=1vbl=6:182M2?<2wem>o50;3xL1>33tdj?o4?:0yK0=2<ugk8o7>51zJ7<1=zfh9o6=4>{I6;0>{ii:o1<7?tH5:7?xhf;o0;6<uG4968ykg383:1=vF;859~jd26290:wE:74:me14=83;pD96;;|lb06<728qC85:4}oc70?6=9rB?495rn`66>5<6sA>386saa5494?7|@=2?7p`n4683>4}O<1>0qco;8;295~N30=1vbl:6:182M2?<2wem9o50;3xL1>33tdj8o4?:0yK0=2<ugk?o7>51zJ7<1=zfh>o6=4>{I6;0>{ii=o1<7?tH5:7?xhf<o0;6<uG4968ykg283:1=vF;859~jd36290:wE:74:me04=83;pD96;;|lb16<728qC85:4}oc60?6=9rB?495rn`76>5<6sA>386saa4494?7|@=2?7p`n5683>4}O<1>0qco:8;295~N30=1vbl;6:182M2?<2wem8o50;3xL1>33tdj9o4?:0yK0=2<ugk>o7>51zJ7<1=zfh?o6=4>{I6;0>{ii<o1<7?tH5:7?xhf=o0;6<uG4968ykg183:1=vF;859~jd06290:wE:74:me34=83;pD96;;|lb26<728qC85:4}oc50?6=9rB?495rn`46>5<6sA>386saa7494?7|@=2?7p`n6683>4}O<1>0qco98;295~N30=1vbl86:182M2?<2wem;o50;3xL1>33tdj:o4?:0yK0=2<ugk=o7>51zJ7<1=zfh<o6=4>{I6;0>{ii?o1<7?tH5:7?xhf>o0;6<uG4968ykg083:1=vF;859~jd16290:wE:74:me24=83;pD96;;|lb36<728qC85:4}oc40?6=9rB?495rn`56>5<6sA>386saa6494?7|@=2?7p`n7683>4}O<1>0qco88;295~N30=1vbl96:182M2?<2wem:o50;3xL1>33tdj;o4?:0yK0=2<ugk<o7>51zJ7<1=zfh=o6=4>{I6;0>{ii>o1<7?tH5:7?xhf?o0;6<uG4968ykg?83:1=vF;859~jd>6290:wE:74:me=4=83;pD96;;|lb<6<728qC85:4}oc;0?6=9rB?495rn`:6>5<6sA>386saa9494?7|@=2?7p`n8683>4}O<1>0qco78;295~N30=1vbl66:182M2?<2wem5o50;3xL1>33tdj4o4?:0yK0=2<ugk3o7>51zJ7<1=zfh2o6=4>{I6;0>{ii1o1<7?tH5:7?xhf0o0;6<uG4968ykg>83:1=vF;859~jd?6290:wE:74:me<4=83;pD96;;|lb=6<728qC85:4}oc:0?6=9rB?495rn`;6>5<6sA>386saa8494?7|@=2?7p`n9683>4}O<1>0qco68;295~N30=1vbl76:182M2?<2wem4o50;3xL1>33tdj5o4?:0yK0=2<ugk2o7>51zJ7<1=zfh3o6=4>{I6;0>{ii0o1<7?tH5:7?xhf1o0;6<uG4968ykgf83:1=vF;859~jdg6290:wE:74:med4=83;pD96;;|lbe6<728qC85:4}ocb0?6=9rB?495rn`c6>5<6sA>386saa`494?7|@=2?7p`na683>4}O<1>0qcon8;295~N30=1vblo6:182M2?<2wemlo50;3xL1>33tdjmo4?:0yK0=2<ugkjo7>51zJ7<1=zfhko6=4>{I6;0>{iiho1<7?tH5:7?xhfio0;6<uG4968ykge83:1=vF;859~jdd6290:wE:74:meg4=83;pD96;;|lbf6<728qC85:4}oca0?6=9rB?495rn``6>5<6sA>386saac494?7|@=2?7p`nb683>4}O<1>0qcom8;295~N30=1vbll6:182M2?<2wemoo50;3xL1>33tdjno4?:0yK0=2<ugkio7>51zJ7<1=zfhho6=4>{I6;0>{iiko1<7?tH5:7?xhfjo0;6<uG4968ykgd83:1=vF;859~jde6290:wE:74:mef4=83;pD96;;|lbg6<728qC85:4}oc`0?6=9rB?495rn`a6>5<6sA>386saab494?7|@=2?7p`nc683>4}O<1>0qcol8;295~N30=1vblm6:182M2?<2wemno50;3xL1>33tdjoo4?:0yK0=2<ugkho7>51zJ7<1=zfhio6=4>{I6;0>{iijo1<7?tH5:7?xhfko0;6<uG4968ykgc83:1=vF;859~jdb6290:wE:74:mea4=83;pD96;;|lb`6<728qC85:4}ocg0?6=9rB?495rn`f6>5<6sA>386saae494?7|@=2?7p`nd683>4}O<1>0qcok8;295~N30=1vblj6:182M2?<2wemio50;3xL1>33tdjho4?:0yK0=2<ugkoo7>51zJ7<1=zfhno6=4>{I6;0>{iimo1<7?tH5:7?xhflo0;6<uG4968ykgb83:1=vF;859~jdc6290:wE:74:me`4=83;pD96;;|lba6<728qC85:4}ocf0?6=9rB?495rn`g6>5<6sA>386saad494?7|@=2?7p`ne683>4}O<1>0qcoj8;295~N30=1vblk6:182M2?<2wemho50;3xL1>33tdjio4?:0yK0=2<ugkno7>51zJ7<1=zfhoo6=4>{I6;0>{iilo1<7?tH5:7?xhfmo0;6<uG4968ykga83:1=vF;859~jd`6290:wE:74:mec4=83;pD96;;|lbb6<728qC85:4}oce0?6=9rB?495rn`d6>5<6sA>386saag494?7|@=2?7p`nf683>4}O<1>0qcoi8;295~N30=1vblh6:182M2?<2wemko50;3xL1>33tdjjo4?:0yK0=2<ugkmo7>51zJ7<1=zfhlo6=4>{I6;0>{iioo1<7?tH5:7?xhfno0;6<uG4968ykd783:1=vF;859~jg66290:wE:74:mf54=83;pD96;;|la46<728qC85:4}o`30?6=9rB?495rnc26>5<6sA>386sab1494?7|@=2?7p`m0683>4}O<1>0qcl?8;295~N30=1vbo>6:182M2?<2wen=o50;3xL1>33tdi<o4?:0yK0=2<ugh;o7>51zJ7<1=zfk:o6=4>{I6;0>{ij9o1<7?tH5:7?xhe8o0;6<uG4968ykd683:1=vF;859~jg76290:wE:74:mf44=83;pD96;;|la56<728qC85:4}o`20?6=9rB?495rnc36>5<6sA>386sab0494?7|@=2?7p`m1683>4}O<1>0qcl>8;295~N30=1vbo?6:182M2?<2wen<o50;3xL1>33tdi=o4?:0yK0=2<ugh:o7>51zJ7<1=zfk;o6=4>{I6;0>{ij8o1<7?tH5:7?xhe9o0;6<uG4968ykd583:1=vF;859~jg46290:wE:74:mf74=83;pD96;;|la66<728qC85:4}o`10?6=9rB?495r}|CDF}c;k0345?l530~DED|8tJK\vsO@
/trunk/npi_vga_v1_00_b/netlist/fifo_v5_32.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$40740<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>0:234567=O:;<=>?7G3856=6&9;0>95<22125>552@D[YY4KI=12>586:2996D@_UU8gmk:493:5=?5<2;MVPUSS2ME7?<4?>06877<H]]Z^X7j`uu>05?6992>:<6:;45670127<9>;<=??;58JJUSS2MC[0>4?>g97>LHW]]0\I1=50?32?1<H]]Z^X7J@P=194;c<=fs>=zif200)77<>;1=895999;7?3?>>8:0:479974:=30>>03=>68J2::30>>3:8;0595601;1?<0?30<=5;766018=?OIX\^1^IOKDS>;>586;231EC^ZT;UQEABU410;2<k49;KMTPR=x{elShctx]wlwct`521<3<:;88JJUSS2yxdkRkbpu{\pmtb{aUj~k}t=:94;42300BB][[:qplcZcjx}sTxe|jsi]mabgsm521<3?k;88JJUSS2yxdkRhzlm]wlwct`521<3<;;88JJUSS2yxdkRhzlm]wlwct`Vkxh|{<983:72<13CE\XZ5psmd[cskdV~c~h}g_ogdeqc;03:5=556:NWWTPR=lh~jSnaznu>;>586j231CXZ_UU8q`Zbf|hUhcx`{<983:4d<13E^X][[:vp\`drfWje~by27:1<:?DU^FJUYIJ?4B29AQ7><J\YTECH@1:A7?FG?I=1HHNM=;BG26>EOMJAT@DMJNRG\P\VB:2IB?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;EK6?AO;87<0HD2>0?48@L:697<0HD2>2?48@L:6;7<0HD2>4?48@L:6=7<0HD2>6?48@L:6?7<0HD2>8?48@L:617?0HD2>>79GM9476?1OE1<>>79GM9456?1OE1<<>79GM9436?1OE1<:>79GM9416?1OE1<8>79GM94?6?1OE1<6>49GM949>2NB0>>18:FJ867=87<0HD2<1?78@L:46<1OE1:15:FJ80823MC7:3;4DH>4:0=CA52596JF<8<5?AOW494=7IG_<0<5?AOW4;437IG_<283:3=CAY68285KO=2=2>BH48:5:6J@<03=2>BH4885:6J@<01=2>BH48>5:6J@<07=2>BH48<5:6J@<05=2>BH4825:6J@<0;=1>BH484=7IA321<5?AI;:84=7IA323<5?AI;::4=7IA325<5?AI;:<4=7IA327<5?AI;:>4=7IA329<5?AI;:04>7IA32?48@J:48720HB2<1;2=2>BH4:;596J@<2<6?AI;<7?0HB2:>49GK909=2ND0:0:;EM?<;3<LF622;5KOQ>3:3=CGY6:2;5KOQ>1:==CGY686=09;EMS86813MET^HI:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J4:DE65><NO?>JK;>4:DEAD773OLMJKHIF20075678<1Mma}j1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K74IOKW[COKM:1BB[=4IN@1?IO13EEJHHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP02<D\^=96C\GNL6?HS_KPi0Ald`rWgqwliik2Gbbb|Yesqjkk4<F890B<><;O320>H698>0B<?=4:L2562<F8;?86@>1468J471<2D:=::4N03;0>H69090B<<;;O3141=I9;;?7C?=259M57533G;9895A1377?K75>=1E=?9;;O31<1=I9;387C?<4:L2752<F89:86@>3368J454<2D:?9:4N0160>H6;?>0B<=84:L27=2<F892?6@>459M51633G;?=95A1507?K73;=1E=9:;;O3721=I9==87C?:3:L226=I9>90B<6<;O3:7>H58:1E><=4N300?K44;2D98>5A2418J7043G8<?6@=829M6<5<F::87C=>3:L066=I;:90B>:<;O167>H4>:1E?:=4N2:0?K27:2D=>6@82:L;6>H>;2D2>>5A9218J<343G3<?6@68g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P;8VDKE91YO\45]D@FGV96912XOMIJ]<0<:?WBFLMX7>374RECG@W:4601YHLJKR=6==>TCIMNY0806;SFB@AT;>730^IOKDS>4:g=ULHNO^1650?;8VAGCL[63285]DBNH0>TCMG?0^HILE09P0>UFNL20_LXJD@VB56=TADUHCABFSHMM[FNBKB20_DCPMNFF<>ULAYU[EC84SNWQG@3<[[\J@>5\SS58PLCHNO:<7YGJOGD20>R^XL987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4567W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;<<Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWkceSua}0121[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw678:UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>;_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2340YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:=S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?06]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2346YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1232ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678>UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[goiWqey<=>?_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UiecQwos2344YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_ckm[}iu89:9S_k|umv276=R8&myj#|i/fa{*fjlp&GscQxr^rmpwYeagUsc>?02]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySoga_ymq4563W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]amkYg{:;<8Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWkceSua}0125[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQmio]{kw678>UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?06]Qavsk|88:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7<3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?6;463\:$kh!rg-dg}(ddbr$~iQnup\flh;;78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d783<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`35?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?2;463\:$kh!rg-dg}(ddbr$~iQnup\flh;?78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d743<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P0328Q5)`zo$yj"ilx/aoo})ulVzexQmio]265=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ4582_;#j|i.sd,cf~)keas#jPpovq[goiW:8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT8?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ:219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^414>S7'nxm"h gbz-gim'{nT|cz}_ckm[2473\:$kh!rg-dg}(ddbr$~iQnup\flhX0;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0105?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012163=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;??84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2341413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=;=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4561:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?7328Q5)`zo$yj"ilx/aoo})ulVzexQhc=2=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`848582_;#j|i.sd,cf~)keas#jPpovq[be;:78;7X> gsd-vc)`kq$h`fv re]sjqtXoj682?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<4<14>S7'nxm"h gbz-gim'{nT|cz}_fa?2;473\:$kh!rg-dg}(ddbr$~iQnup\cf:06;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k525=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS=?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol050=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ65=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR?=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ45=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR==5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ25=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR;=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ05=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR9=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ>512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><1<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0<0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64;4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2868512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><5<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:080=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64?4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2828512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><9<1<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S=<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X9;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\17><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q9299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V=946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[=423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<=<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2344423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<?<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2346423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<9<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2340423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<;<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2342463\:$kh!rg-dg}(ddbr${Qnup\flh;878:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7=3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`32?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?7;463\:$kh!rg-dg}(ddbr${Qnup\flh;<78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d793<>;T2,cw`)zo%lou lljz,swYwf}xTnd`36?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?3;463\:$kh!rg-dg}(ddbr${Qnup\flh;078;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT<?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ>219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^014>S7'nxm"h gbz-gim'~xT|cz}_ckm[6473\:$kh!rg-dg}(ddbr${Qnup\flhX<;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU>>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR8=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_603?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\<70<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<=<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34575>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>=279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567;;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0505?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789?9:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012563=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;;?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1>1219V4*aun'xm#jmw.bnh|*quWyd~Ril<0<14>S7'nxm"h gbz-gim'~xT|cz}_fa?6;473\:$kh!rg-dg}(ddbr${Qnup\cf:46;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5>5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh080=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm36?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>4:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg9>99o1^<"i}f/pe+be&jf`t"y}_qlwvZadW9;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU:=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS??i;T2,cw`)zo%lou lljz,swYwf}xTknQ<1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_53e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]65c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[37a3\:$kh!rg-dg}(ddbr${Qnup\cfY09o1^<"i}f/pe+be&jf`t"y}_qlwvZadW18=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`414996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^211>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV;996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^011>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^611>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV?996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^411>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV=996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^:1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:0=0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><2<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:090=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64<4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2838512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><6<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:050=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W9837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\57><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q=299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V9946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[14?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P53:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U=>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z1502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_906?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw67898>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0006?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678;8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0206?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678=8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0406?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678?8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?060b?P6(o{l%~k!hl1,q`*au9'xm{kz R@O\VAYBFVL\JY?=9:W3+bta&{l$ka>!re-dv4(un~l#_OB_SGDKPRXMG;8?6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1248Q5)`zo$yj"ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7*Ag9<7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>-Hl276=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al;9=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj=-Hl03>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:$Ce=?64U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZ`e:>1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h0f?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}UbSb|?0121b>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~TeRa}012356`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8584n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\g|:66:l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Ze~4;48j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xkp682>h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vir090<f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2:>2d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<7<0b>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pcx>4:6`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^az8=8382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;97>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6929>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1=1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<5<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?1;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:16=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5=58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We050;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz39?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>2:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv949<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4:4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx783:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc2:>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=4=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq828382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;07>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{622?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!]AL]FIUR^WOHTecx<6:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/SCN[@KW\PUMNRgav0]JJSY7;01^<"i}f/pe+bj7&{n$ko|.frf*wbwm&kofx}{ee]tvZvi|{UyhR~nd=2=7d=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*gcb|yiiQxr^rmpwYulVzjh1>113d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<0<1b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>1:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8685n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]sea:36;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugc4<49j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim6=2?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko0:0=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{mi27>3d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<8<1a>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]26`=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\67c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[64b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z25m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY2:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX>;o0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW>8n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV29i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU2?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?5;503\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Zgcl9:;<1<1369V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljPaef3456;;79<7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflVkoh=>?0=6=72=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\eab789:793=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd1234909;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>37?14?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`5678525?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?=;4e3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j73=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVcTo`~Pld234574j2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'yxdkRkbpu{\mZejxVfn<=>?1^QT67?<]9%l~k }f.eo4+tc'nxj#||tr-`4*efz{seiy2?>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.abvwim}6:2?74U1-dvc(un&mg<#|k/fpbw+tt|z%h<"mnrs{maq:56;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&ij~waeu>0:7`<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>3:75<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64849?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28685;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86?2?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x>_1]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:S?Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U8Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6W=Uecy>?0131=>S7'nxm"h gm2-va)`zhy%~~z|/b3,gdtuqgo0=0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n? c`pq}kcs484956[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$ol|}yogw878512_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(khxyuck{<2<1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><1<17>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:66;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0?0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>0:75<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64=49n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:S<Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8U9Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`5*p6W:Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4Y3Wge<=>?1328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr365=R8&myj#|i/fn3*wb(o{kx"}{s.aliu7512_;#j|i.sd,ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k04>S7'nxm"h gm2-va)`zhy%~~z|/scn[`kw|pUmnRgPos2345563\:$kh!rg-dh5(ul&mym~ }suq,vdkXmdzuRhm_h]lv56788887X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw772<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr?:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;:78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5>5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?2;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw36?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6<2R|{2`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6;2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;978j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8785i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=1=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2;>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx793<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4?49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv919:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>;:7b<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|38?]qp4`<]9%l~k }f.eo4+tc'{zex!lotlw8586n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:668l0Y=!hrg,qb*ak8'xo#~ats-`kphs4;4:j6[?/fpe*w`(oe:%~i!}povq+firf}682<h4U1-dvc(un&mg<#|k/srmpw)dg|d090>f:W3+bta&{l$ka>!re-qtkru'je~by2:>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<7<2b>S7'nxm"h gm2-va)uxg~y#naznu>4:4`<]9%l~k }f.eo4+tc'{zex!lotlw8=86m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY79l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX98o0Y=!hrg,qb*ak8'xo#~ats-`kphsW;;n7X> gsd-vc)`d9$yh"|nup,gjsi|V9:i6[?/fpe*w`(oe:%~i!}povq+firf}U?=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T9<k4U1-dvc(un&mg<#|k/srmpw)dg|dS;?j;T2,cw`)zo%l`= }d.psjqt(kfexR9>e:W3+bta&{l$ka>!re-qtkru'je~byQ7239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>3:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;97897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=1=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:36;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;793<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><7<16>S7'nxm"h gm2-va)uxg~y#naznu]g5919:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8632??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P0338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\577<]9%l~k }f.eo4+tc'{zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T???4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P4338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\177<]9%l~k }f.eo4+tc'{zex!lotlw[a7X>;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T;??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P82d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<1<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>2:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8784n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:46:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4=48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6>2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0;0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot28>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<9<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?5;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:56=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5958=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We090;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz35?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>5:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp919<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss414?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~753:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc2<>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=6=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq808382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;>7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6<29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~161419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<8<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>0:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8185n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:26;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4?49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6<2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko050=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi26>3g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_20f?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^61a>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]66`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\27c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[24b3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z>5m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY>;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>33?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785>5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?1;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<181369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;?79<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=:=72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:753<n;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVc8>6[?/fpe*w`(oe:%{!hw`q-cu`){zm#}|`g^dvhiYnWds<=><379V4*aun'xm#jb?.vp,crgt&nzm"z|f.rqkbYa}efTeRczx1237ZUP::80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYig}:;<<=<;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTbbz?01326==R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*quW{ySi?=9:W3+bta&{l$ka>!ws-dsdu)z~x#n? c`pq}kcs494956[?/fpe*w`(oe:%{!hw`q-svrt'j;$ol|}yogw848512_;#j|i.sd,ci6){%l{l}!wrvp+f7(khxyuck{<3<1=>S7'nxm"h gm2-sw)`hy%{~z|/b3,gdtuqgo0>0=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28185j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W8Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0]7[kis89:;=?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:76;30Y=!hrg,qb*ak8'}y#jyns/uppv)d:&ij~waeu>2:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`6*efz{seiy2=>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvwim}682?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86;2?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><0<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:56;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0>0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>7:7d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W9Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]0[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_5]mkq6789;9<6[?/fpe*w`(oe:%{!hw`q-svrt'jef|<<?;T2,cw`)zo%l`= xr.etev(p{}y$obc23:8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXnkUb>85Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j6f=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUbSb|?0121`>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVcTc>?0132`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\54`<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Z45;2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov261=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}8986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}979W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<3<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw33?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:36Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}939:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<7<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;?7Uyx?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;878j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8485i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=0=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2<>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx783<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4<49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv909:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>4:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|38?0g?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050Pru3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=2=5c=R8&myj#|i/fn3*rt(yd~"m`uov?5;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9499o1^<"i}f/pe+bj7&~x${}`{r.alqkr;;7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5>5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~793?i;T2,cw`)zo%l`= xr.usjqt(kfex1811g9V4*aun'xm#jb?.vp,suhsz&idycz37?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=:=5`=R8&myj#|i/fn3*rt(yd~"m`uov\44c<]9%l~k }f.eo4+qu'~zex!lotlw[47b3\:$kh!rg-dh5(pz&}{by| cnwmpZ46m2_;#j|i.sd,ci6){%||cz}/bmvjqY49l1^<"i}f/pe+bj7&~x${}`{r.alqkrX<8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW<;n7X> gsd-vc)`d9$|~"ynup,gjsi|V<:i6[?/fpe*w`(oe:%{!xpovq+firf}U<=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T4?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28485:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?0;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64<49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=181239V4*aun'xm#jb?.vp,suhsz&idyczPd0>4:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;078:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S5<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54149=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^315>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z4592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V99=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R:=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^715>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z0592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V=9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R6>6:W3+bta&{l$ahc dnww[lY79?1^<"i}f/pe+hcj'me~xRgP1058Q5)`zo$yj"cjm.flqqYnW8::;6[?/fpe*w`(elg$hb{{_h]2541<]9%l~k }f.ofi*bh}}UbS<<>7:W3+bta&{l$ahc dnww[lY6;8=0Y=!hrg,qb*kbe&ndyyQf_0623>S7'nxm"h mdo,`jssW`U:9<94U1-dvc(un&gna"j`uu]j[406?2_;#j|i.sd,i`k(lfSdQ>7058Q5)`zo$yj"cjm.flqqYnW82:;6[?/fpe*w`(elg$hb{{_h]2=40<]9%l~k }f.ofi*bh}}UbS??8;T2,cw`)zo%fi`!kotv\mZ479>1^<"i}f/pe+hcj'me~xRgP2034?P6(o{l%~k!bel-gkprXaV89=:5Z0.eqb+ta'dof#iazt^k\66703\:$kh!rg-nah)cg|~TeR<;169V4*aun'xm#`kb/emvpZoX:<;<7X> gsd-vc)jmd%ocxzPi^0552=R8&myj#|i/lgn+air|VcT>:?8;T2,cw`)zo%fi`!kotv\mZ4?9>1^<"i}f/pe+hcj'me~xRgP2835?P6(o{l%~k!bel-gkprXaV9:;6[?/fpe*w`(elg$hb{{_h]0441<]9%l~k }f.ofi*bh}}UbS>?>6:W3+bta&{l$ahc dnww[lY39?1^<"i}f/pe+hcj'me~xRgP5048Q5)`zo$yj"cjm.flqqYnW?;=7X> gsd-vc)jmd%ocxzPi^522>S7'nxm"h mdo,`jssW`U3=;5Z0.eqb+ta'dof#iazt^k\=4?<]9%l~k }f.ofi*bh}}Ufi`2?>0c8Q5)`zo$yj"cjm.flqqYjmd6:<3?n;T2,cw`)zo%fi`!kotv\i`k;984:m6[?/fpe*w`(elg$hb{{_lgn84499h1^<"i}f/pe+hcj'me~xRcjm=30:4g<]9%l~k }f.ofi*bh}}Ufi`2>4?3b?P6(o{l%~k!bel-gkprXelg7=80>a:W3+bta&{l$ahc dnww[hcj48<5=l5Z0.eqb+ta'dof#iazt^ofi97068k0Y=!hrg,qb*kbe&ndyyQbel>2<;7f3\:$kh!rg-nah)cg|~Tahc318<2=>S7'nxm"h mdo,`jssWdof0<0>a:W3+bta&{l$ahc dnww[hcj4;:5=l5Z0.eqb+ta'dof#iazt^ofi94668k0Y=!hrg,qb*kbe&ndyyQbel>16;7f3\:$kh!rg-nah)cg|~Tahc322<2e>S7'nxm"h mdo,`jssWdof0?:11`9V4*aun'xm#`kb/emvpZkbe58>2<o4U1-dvc(un&gna"j`uu]nah:5>7;j7X> gsd-vc)jmd%ocxzPmdo?6286i2_;#j|i.sd,i`k(lfS`kb<3:=5d=R8&myj#|i/lgn+air|Vgna1<6>0;8Q5)`zo$yj"cjm.flqqYjmd692<o4U1-dvc(un&gna"j`uu]nah:487;j7X> gsd-vc)jmd%ocxzPmdo?748612_;#j|i.sd,i`k(lfS`kb<2<2=>S7'nxm"h mdo,`jssWdof090>9:W3+bta&{l$ahc dnww[hcj4<4:56[?/fpe*w`(elg$hb{{_lgn838612_;#j|i.sd,i`k(lfS`kb<6<2=>S7'nxm"h mdo,`jssWdof050>9:W3+bta&{l$ahc dnww[hcj404<:6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR:V"ob.s-u1Zhhagc"ob/RFS*ROCMVZYE@?7.UFS5g=R8&myj#|i/lgn+qkwW{kfSjPeo3`?P6(o{l%~k!bel-wiuYuidUyhRka1018Q5)`zo$yj"|nm^pg[`h6?2_;#j|i.sd,vdkXzlmdyyQjn018Q5)`zo$yj"|nm^uq[`h6l2_;#j|i.sd,vvredb%yhR||t^cpv`a582_;#j|i.sd,vvredb%yhR||t^cpv`aXl88;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo><m4U1-dvc(un&xxxobd/sf\vvrXkfgi=i5Z0.eqb+ta'{ynae re]qwqYdgdh:=h5Z0.eqb+ta'{ynae re]qwqYumn6;2<k4U1-dvc(un&xxxobd/sf\vvrXzlm7=3?j;T2,cw`)zo%yylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR>>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR?>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{ySl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim({UyyQlol`25`=R8&myj#|i/sqwfim({UyyQ}ef>3:4c<]9%l~k }f.pppgjl'~xT~~zPrde?5;7c3\:$kh!rg-qwqdkc&}yS}{_sgd[57c3\:$kh!rg-qwqdkc&}yS}{_sgd[4g<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J5:UF85823^O7=3;4WD>1:2=PM591<3;4WD>0:<=PZHNO^1>19:UQEABU48427Z\NDEP?6;?<_[KOH_2<>89TVDBCZ5>556Y]AEFQ808>3^XJHI\36?;8SWGCL[6<2o5XR@FGV9>=8730[_OKDS>;:0=PZJF@86Y]EO3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdzuo5nllmppZ`rde<0nlmduqf8fdel}yUfmejr29`hnb<lh~jSnaznu*3-a=ci}kTob{at)3*`>bf|hUhcx`{(3+g?agsiVidycz'3(f8`drfWje~by&;)e9geqgXkfex%;&d:fbpdYdg|d$;'k;ecweZeh}g~#;$j4d`vb[firf}"3%k5kauc\gjsi|521<394dckwawt13mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%;5kio*7-3=cag">%;5kio*5-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?;8`lh;;80;2:5kio>05;0<l`d7?384dhl?0;0<l`d79384dhl?2;0<l`d7;384dhl?<;0<l`d75394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,><lf$<9&8:flqq.60 20hb{{(0;*3>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$94dnww,1/03me~x%;&7:flqq.1!>1ocxz'7(58`jss 1#<7iazt);*3>bh}}6;255kotv?558?3me~x1?>>99gkpr;9;437iazt=30:==cg|~7=907;emvp972611ocxz317<;?air|5;<255kotv?5=8?3me~x1?6>69gkpr;9720hb{{<32=<>bh}}69=364dnww874902ndyy2=3?:8`jss4;>546j`uu>11;><lf0?818:flqq:5?720hb{{<3:=<>bh}}695394dnww878?3me~x1=?>`9gkpr;;80;255kotv?74803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq5n2lbjbQheogqeqiuW>T?!.Wimkm|%EHZL*Lick}aumq$46)9h1mekaPv4]067><n`ldS{;P33.emciXoldn~lz`r^t7[6*IGGO'BB@J3c38bl`hW?T??"iigm\c`hbzh~d~Rx;_2.xgZnf{VcexRmck<2/gZnf{Vyyy3?,b]q`Z`umx7: nQgar]q`Zbf|hUhcx`{=1.`[aoiW~coxe3<2-a\lduX{UomyoPcnwmp86+kVl~`aQil`ep[wusWkg1<"l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1><#c^opcjhX~hf6=!mPre]gauro5<;9 nQgar]vjacunee|1="l_icp[djjgz~Tjxbc=1.`[mgtW{y1<"l_ecweZeh}g~T{dj{h<;/gZnf{V}ySlmd=1.`[pubWlih1="l_bmnijhXkeehg0>#c^uq[delWee|1="l_sf\tkruW~coxe36,b]vw`Yao~Thlzn_bmvjq;7$jUcm~QnllmppZcjx}s6<!mPre]geqgXkfexRyfduj>=)eXgoyjaax_mmt95*dW~xT|cz}_vkgpm;>$jU{~biPelrw}Zr~xl7: nQxr^fbpdYdg|dSzgkti?:(fYwzlfdmiQ}efq>5)eXlfS}{_wco95*dWakxSio{a^alqkr:8%iT|ah_gwohZrozlycSckhaug\rdj:;=3'oRy}_egspm;29;&hS}|`g^gntqX|axneQaefcwaZpfd4;;=!mPpsmd[`kw|pUdk|h^cpw`tsWkg1<>?,b]kevYqieco1="l_qplcZ`rdeUdk|h^cpw`tsWkg1>;?,bmntjhbf}Uem`k2fhdl[s3X;;&hS{ocie]okr;7$jU{~dcPfhdl[qwm4?:>u=9,b]svjaXn|fgSywe<3/gZkbefxrSywe<3/y72<n`ldS{;P33]emciXoldn~lz`r^t7[6Y{}U:86hzlm58mkrXkea37cilbtko`==h~lxm`byn;qplcZcjx}s:86~}of]fiur~W}byi~f'0(37?uthoVof|ywPtipfwm.6!8>0|ah_dosp|Ys`{oxd%<&159svjaXmdzuRzgrdqk,6/6<2zycjQjmqvz[qnumzb#8$?;;qplcZcjx}sTxe|jsi*6-42<x{elShctx]wlwct`!<"=95rne\ahvsqV~c~h}g(6+20>vugnUna}zv_ujqavn/0 ;=7}|`g^gntqX|axne27:1<2f>vugnUna}zv_ujqavnXizyn~y&?)0`8twi`Wlg{xtQ{hsgplZgt{lx$<'>b:rqkbYbey~rSyf}erj\evubz}"9%<l4psmd[`kw|pUdk|h^cpw`ts :#:n6~}of]fiur~W}byi~fParqfvq.3!8h0|ah_dosp|Ys`{oxdRo|sdpw,0/6j2zycjQjmqvz[qnumzbTm~}jru*5-4d<x{elShctx]wlwct`Vkxh|{(6+2f>vugnUna}zv_ujqavnXizyn~y&7)0f8twi`Wlg{xtQ{hsgplZgt{lx054?>0`8twi`Wlg{xtQ{hsgplZhboh~n$='>b:rqkbYbey~rSyf}erj\j`af|l":%<l4psmd[`kw|pUdk|h^lfcdrb ;#:n6~}of]fiur~W}byi~fPndebp`.4!8h0|ah_dosp|Ys`{oxdR`jg`vf,1/6j2zycjQjmqvz[qnumzbTbhintd*6-4d<x{elShctx]wlwct`Vdnklzj(7+2f>vugnUna}zv_ujqavnXflmjxh&8)0`8twi`Wlg{xtQ{hsgplZhboh~n$5'>d:rqkbYbey~rSyf}erj\j`af|l636=06;qplcZ`rde;87}|`g^dvhiYs`{oxd%>&129svjaXn|fgSyf}erj+5,743yxdkRhzlm]wlwct`!8"=>5rne\bpjkW}byi~f'3(30?uthoVl~`aQ{hsgpl-2.9:1{~biPftno[qnumzb#9$?<;qplcZ`rdeUdk|h)4*56=wzfmTjxbc_ujqavn/? ;87}|`g^dvhiYs`{oxd%6&149svjaXn|fgSyf}erj?<?699h1{~biPftno[qnumzbTm~}jru*3-4g<x{elSk{cl^vkv`uoWhyxiz'1(3b?uthoVl~`aQ{hsgplZgt{lx$?'>a:rqkbYa}efTxe|jsi]bwvcu|!9"=l5rne\bpjkW}byi~fParqfvq.3!8k0|ah_gwohZrozlycSl}|esv+1,7f3yxdkRhzlm]wlwct`Vkxh|{(7+2e>vugnUmyabPtipfwmYf{zoyx%9&1`9svjaXn|fgSyf}erj\evubz}"3%<m4psmd[cskdV~c~h}g_`qpawr;03:5=l5rne\bpjkW}byi~fPndebp`.7!8k0|ah_gwohZrozlycSckhaug+5,7f3yxdkRhzlm]wlwct`Vdnklzj(3+2e>vugnUmyabPtipfwmYimnki%=&1`9svjaXn|fgSyf}erj\j`af|l"?%<o4psmd[cskdV~c~h}g_ogdeqc/= ;j7}|`g^dvhiYs`{oxdR`jg`vf,3/6i2zycjQiumn\pmtb{aUeijo{e)5*5d=wzfmTjxbc_ujqavnXflmjxh&7)0a8twi`Wog`Rzgrdqk[kc`i}o747>16:pg[fjl991yhRjnt`]`kphs 9#:<6|k_ecweZeh}g~#=$??;sf\`drfWje~by&=)028vaYci}kTob{at)1*55=ulVnjxlQlotlw,1/682xoSio{a^alqkr/= ;;7jPd`vb[firf}"=%<>4re]geqgXkfex%9&119q`Zbf|hUhcx`{(9+24>tcWmkmRm`uov?4;753{nThlzn_bmvjq:?294>7jPeo48vaYu{}90~~z8;r`jp`tu<2yyy?9;uos+Ze`'ocmcRx:_20-jbi33}x~i45znegqbiip=2|j`dj9;vp\efm13~xToae>0:uq[agsiVidycz'0(33?rtXlh~jSnaznu*2-46<{UomyoPcnwmp-4.991|~Rjnt`]`kphs :#:<6y}_ecweZeh}g~#8$??;vp\`drfWje~by&:)028swYci}kTob{at)4*55=pzVnjxlQlotlw,2/682}ySio{a^alqkr/0 ;97z|Pd`vb[firf}636=0:;vp\ak0<{UyysO@qa`4>FGp<;96K4;:0yP72<3j10=6<==660;>672mjqe8?:51:l760<33->9>7:>c:P70<3j10=6<==660;>672mj1Xi54;c083>455>>836>?:eb9P70<3k80;6<==660;>672mo1o8ol50;395~U4?3>i4785120537>=;8?no6x[14d94?7=93;h9v]<7;6a<?0=9:8=;?65307fg>"38h0n56X;2281p`5281~j>4?;|&203<6:2h?no4?:5;97?2>sA>;46T=d;0xg?g=u-;=87:mb:&764<3jh1d8h<50;9j15b=831d8n=50;9j174=831d8oh50;9l0g?=831b9<950;9j15`=831d84>50;&20=<3io1e=9950:9l0=`=83.:854;ag9m511=921d85j50;&20=<3io1e=9952:9l0=e=83.:854;ag9m511=;21d85l50;&20=<3io1e=9954:9l0=g=83.:854;ag9m511==21d85750;&20=<3io1e=9956:9l0=>=83.:854;ag9m511=?21d85950;&20=<3io1e=9958:9l0=0=83.:854;ag9m511=121d85;50;&20=<3io1e=995a:9l0=2=83.:854;ag9m511=j21d85<50;&20=<3io1e=995c:9l0=7=83.:854;ag9m511=l21d85>50;&20=<3io1e=995e:9l02`=83.:854;ag9m511=n21d8:k50;&20=<3io1e=9951198k11c290/=9654`d8j42028;07b:8c;29 42?2=km7c?;7;31?>i3?k0;6)?;8;6bb>h6<>0:?65`46c94?"6<10?mk5a155951=<g==26=4+15:90d`<f8><6<;4;n6:2?6=,8>369oi;o373?7132e?584?:%37<?2fn2d:8:4>7:9l0<2=83.:854;ag9m511=9110c97<:18'51>=<hl0b<:8:0;8?j2>:3:1(<:7:5ce?k73?3;j76a;9083>!7303>jj6`>4682f>=h<1o1<7*>4987ec=i9==1=n54o5:0>5<#9=218lh4n064>4b<3f><47>5$06;>1ga3g;?;7?j;:m732<72-;?47:nf:l202<6n21b89h50;&20=<3>l1e=9950:9j01c=83.:854;6d9m511=921b89m50;&20=<3>l1e=9952:9j01d=83.:854;6d9m511=;21b89o50;&20=<3>l1e=9954:9j01?=83.:854;6d9m511==21b89650;&20=<3>l1e=9956:9j011=83.:854;6d9m511=?21b89850;&20=<3>l1e=9958:9j013=83.:854;6d9m511=121b89:50;&20=<3>l1e=995a:9j015=83.:854;6d9m511=j21b89?50;&20=<3>l1e=995c:9j016=83.:854;6d9m511=l21b8>h50;&20=<3>l1e=995e:9j06c=83.:854;6d9m511=n21b8>j50;&20=<3>l1e=9951198m15d290/=96547g8j42028;07d:<b;29 42?2=<n7c?;7;31?>o3;h0;6)?;8;65a>h6<>0:?65f42;94?"6<10?:h5a155951=<a=936=4+15:903c<f8><6<;4;h661?6=,8>3698j;o373?7132c?994?:%37<?21m2d:8:4>7:9j005=83.:854;6d9m511=9110e9;=:18'51>=<?o0b<:8:0;8?l2293:1(<:7:54f?k73?3;j76g;5183>!7303>=i6`>4682f>=n<=n1<7*>49872`=i9==1=n54i561>5<#9=218;k4n064>4b<3`>8;7>5$06;>10b3g;?;7?j;:k773<72-;?47:9e:l202<6n21b9=?50;9a05?=83;1<7>tH52;?!71<3>;56a>4483>>{e1:0;6<4?:1yK05><,8<?64=4o8094?=zj;i1<7o6:0:2>4e2sA>;46T=d;3577=:<0947<=:3;961<d2h09:7<8:31962<513i1>54=2;c963<5=38?6?=5208~ 4032=hn7)k9:838 64=<ki0(>854cf8 42728>?7b:=6;29?l37m3:17d:lc;29 42?2=io7c?;7;28?l2dj3:1(<:7:5ag?k73?3;07d:la;29 42?2=io7c?;7;08?l2d13:1(<:7:5ag?k73?3907d:l8;29 42?2=io7c?;7;68?l2d?3:1(<:7:5ag?k73?3?07d:l6;29 42?2=io7c?;7;48?l2d=3:1(<:7:5ag?k73?3=07d:l4;29 42?2=io7c?;7;:8?j2b:3:17d;>1;29?j36=3:17d:i5;29 42?2=l=7c?;7;28?l2a<3:1(<:7:5d5?k73?3;07d:i3;29 42?2=l=7c?;7;08?l2a:3:1(<:7:5d5?k73?3907d:i1;29 42?2=l=7c?;7;68?l2a83:1(<:7:5d5?k73?3?07d:jf;29 42?2=l=7c?;7;48?l2bm3:1(<:7:5d5?k73?3=07d:jd;29 42?2=l=7c?;7;:8?l37l3:17b:l2;29?j2d;3:17d:=9;29?j37i3:1(<:7:42`?k73?3:07b;?9;29 42?2<:h7c?;7;38?j3703:1(<:7:42`?k73?3807b;?7;29 42?2<:h7c?;7;18?j37>3:1(<:7:42`?k73?3>07b;?5;29 42?2<:h7c?;7;78?j37<3:1(<:7:42`?k73?3<07b;?3;29 42?2<:h7c?;7;58?j37:3:1(<:7:42`?k73?3207d:k6;29 42?2=n<7c?;7;28?l2c=3:1(<:7:5f4?k73?3;07d:k4;29 42?2=n<7c?;7;08?l2c;3:1(<:7:5f4?k73?3907d:k2;29 42?2=n<7c?;7;68?l2c93:1(<:7:5f4?k73?3?07d:k0;29 42?2=n<7c?;7;48?l2dn3:1(<:7:5f4?k73?3=07d:le;29 42?2=n<7c?;7;:8?l35:3:17b;=0;29 42?2<8:7c?;7;28?j36n3:1(<:7:402?k73?3;07b;>e;29 42?2<8:7c?;7;08?j36l3:1(<:7:402?k73?3907b;>c;29 42?2<8:7c?;7;68?j36j3:1(<:7:402?k73?3?07b;>a;29 42?2<8:7c?;7;48?j3613:1(<:7:402?k73?3=07b;>8;29 42?2<8:7c?;7;:8?j2en3:17b:m9;29?l2bj3:1(<:7:5g`?k73?3:07d:ja;29 42?2=oh7c?;7;38?l2b13:1(<:7:5g`?k73?3807d:j8;29 42?2=oh7c?;7;18?l2b?3:1(<:7:5g`?k73?3>07d:j6;29 42?2=oh7c?;7;78?l2b=3:1(<:7:5g`?k73?3<07d:j4;29 42?2=oh7c?;7;58?l2b;3:1(<:7:5g`?k73?3207d;>7;29?l2d83:17d:=a;29?l35;3:17b;>0;29?j36>3:17b;>4;29?l37n3:17b:60;29 42?2=km7c?;7;28?j2?n3:1(<:7:5ce?k73?3;07b:7d;29 42?2=km7c?;7;08?j2?k3:1(<:7:5ce?k73?3907b:7b;29 42?2=km7c?;7;68?j2?i3:1(<:7:5ce?k73?3?07b:79;29 42?2=km7c?;7;48?j2?03:1(<:7:5ce?k73?3=07b:77;29 42?2=km7c?;7;:8?j2?>3:1(<:7:5ce?k73?3307b:75;29 42?2=km7c?;7;c8?j2?<3:1(<:7:5ce?k73?3h07b:72;29 42?2=km7c?;7;a8?j2?93:1(<:7:5ce?k73?3n07b:70;29 42?2=km7c?;7;g8?j20n3:1(<:7:5ce?k73?3l07b:8e;29 42?2=km7c?;7;33?>i3?m0;6)?;8;6bb>h6<>0:=65`46a94?"6<10?mk5a155957=<g==i6=4+15:90d`<f8><6<=4;n64e?6=,8>369oi;o373?7332e?;44?:%37<?2fn2d:8:4>5:9l0<0=83.:854;ag9m511=9?10c97::18'51>=<hl0b<:8:058?j2><3:1(<:7:5ce?k73?3;376a;9283>!7303>jj6`>4682=>=h<081<7*>4987ec=i9==1=l54o5;2>5<#9=218lh4n064>4d<3f>3i7>5$06;>1ga3g;?;7?l;:m7<6<72-;?47:nf:l202<6l21d8:650;&20=<3io1e=9951d98k110290/=9654`d8j42028l07d:;f;29 42?2=<n7c?;7;28?l23m3:1(<:7:54f?k73?3;07d:;c;29 42?2=<n7c?;7;08?l23j3:1(<:7:54f?k73?3907d:;a;29 42?2=<n7c?;7;68?l2313:1(<:7:54f?k73?3?07d:;8;29 42?2=<n7c?;7;48?l23?3:1(<:7:54f?k73?3=07d:;6;29 42?2=<n7c?;7;:8?l23=3:1(<:7:54f?k73?3307d:;4;29 42?2=<n7c?;7;c8?l23;3:1(<:7:54f?k73?3h07d:;1;29 42?2=<n7c?;7;a8?l2383:1(<:7:54f?k73?3n07d:<f;29 42?2=<n7c?;7;g8?l24m3:1(<:7:54f?k73?3l07d:<d;29 42?2=<n7c?;7;33?>o3;j0;6)?;8;65a>h6<>0:=65f42`94?"6<10?:h5a155957=<a=9j6=4+15:903c<f8><6<=4;h60=?6=,8>3698j;o373?7332c??54?:%37<?21m2d:8:4>5:9j003=83.:854;6d9m511=9?10e9;;:18'51>=<?o0b<:8:058?l22;3:1(<:7:54f?k73?3;376g;5383>!7303>=i6`>4682=>=n<<;1<7*>49872`=i9==1=l54i573>5<#9=218;k4n064>4d<3`>?h7>5$06;>10b3g;?;7?l;:k707<72-;?47:9e:l202<6l21b8>950;&20=<3>l1e=9951d98m151290/=96547g8j42028l07b:=7;29?l2503:17d:if;29 42?2<:;7c?;7;28?l2am3:1(<:7:423?k73?3;07d:id;29 42?2<:;7c?;7;08?l2ak3:1(<:7:423?k73?3907d:ib;29 42?2<:;7c?;7;68?l2ai3:1(<:7:423?k73?3?07d:i9;29 42?2<:;7c?;7;48?l2a03:1(<:7:423?k73?3=07d:i7;29 42?2<:;7c?;7;:8?j24;3:1(<:7:517?k73?3:07b:<2;29 42?2=9?7c?;7;38?j2493:1(<:7:517?k73?3807b:<0;29 42?2=9?7c?;7;18?j25n3:1(<:7:517?k73?3>07b:=e;29 42?2=9?7c?;7;78?j25l3:1(<:7:517?k73?3<07b:=c;29 42?2=9?7c?;7;58?j25j3:1(<:7:517?k73?3207d:j0;29 42?2=o:7c?;7;28?l2cn3:1(<:7:5g2?k73?3;07d:ke;29 42?2=o:7c?;7;08?l2cl3:1(<:7:5g2?k73?3907d:kc;29 42?2=o:7c?;7;68?l2cj3:1(<:7:5g2?k73?3?07d:ka;29 42?2=o:7c?;7;48?l2c13:1(<:7:5g2?k73?3=07d:k8;29 42?2=o:7c?;7;:8?j24=3:17d;?1;29?g26n3:1=7>50z&221<>;2B?=h5G41:8k<4=831vn9<?:182>5<7s-;=87:?9:J75`=O<920c<:::188yg5a=3:1?7>50z&221<?02B?=h5G41:8L7g<,h91=6g=e;29?l5?2900c<:n:188yg2783:1?7>50z&221<?02B?=h5G41:8L7g<,h91=6g=e;29?l5?2900c<:n:188yg5a<3:1?7>50z&221<?02B?=h5G41:8L7g<,h91=6g=e;29?l5?2900c<:n:188yg5am3:197>50z&221<?k2B?=h5G41:8L7g<,h91=6g=e;29?l562900e>650;9j51?=831d=9o50;9~f6`a29086=4?{%350?>?3A>:i6F;099K6d=#i:0:7d<j:188m6>=831d=9o50;9~f6`4290>6=4?{%350?>d3A>:i6F;099K6d=#i:0:7d<j:188m67=831b?54?::k20<<722e:8l4?::a7cb=83>1<7>t$047>=d<@=;n7E:?8:&b7?7<a;o1<75f3983>>o6<00;66a>4`83>>{e;oi1<7:50;2x 40321h0D9?j;I63<>"f;3;0e?k50;9j7=<722c:844?::m20d<722wi?kl50;694?6|,8<?65l4H53f?M2702.j?7?4i3g94?=n;10;66g>4883>>i6<h0;66sm41494?2=83:p(<8;:9`8L17b3A>;46*n3;38m7c=831b?54?::k20<<722e:8l4?::a053=83>1<7>t$047>=d<@=;n7E:?8:&b7?7<a;o1<75f3983>>o6<00;66a>4`83>>{e:h=1<7:50;2x 40321h0D9?j;I63<>"f;3?0e?k50;9j7=<722c:844?::m20d<722wi?9k50;694?6|,8<?65l4H53f?M2702.j?7?4i3g94?=n;10;66g>4883>>i6<h0;66sm35f94?2=83:p(<8;:9`8L17b3A>;46*n3;38m7c=831b?54?::k20<<722e:8l4?::a6=1=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c0;2?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm29794?2=83:p(<8;:g38L17b3A>;46g<3;29?l5>2900e<;6:188k4242900qo<74;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi>5=50;694?6|,8<?6k?4H53f?M2702c8?7>5;h1:>5<<a8?26=44o060>5<<uk82m7>54;294~"6>=0m=6F;1d9K05><a:91<75f3883>>o6=00;66a>4283>>{e:031<7:50;2x 4032o;0D9?j;I63<>o4;3:17d=6:188m43>2900c<:<:188yg4>03:187>50z&221<a92B?=h5G41:8m65=831b?44?::k21<<722e:8>4?::a6<1=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c0:2?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm33f94?2=83:p(<8;:g38L17b3A>;46g<3;29?l5>2900e<;6:188k4242900qo==c;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi??l50;694?6|,8<?6k?4H53f?M2702c8?7>5;h1:>5<<a8?26=44o060>5<<uk99m7>54;294~"6>=0m=6F;1d9K05><a:91<75f3883>>o6=00;66a>4283>>{e;;31<7:50;2x 4032o;0D9?j;I63<>o4;3:17d=6:188m43>2900c<:<:188yg5383:187>50z&221<a92B?=h5G41:8m65=831b?44?::k21<<722e:8>4?::a76`=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c10a?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm32f94?2=83:p(<8;:g38L17b3A>;46g<3;29?l5>2900e<;6:188k4242900qo=<c;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi=4850;694?6|,8<?6574H53f?M2702.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl>9483>1<729q/=;:5889K04c<@=:37)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c3:0?6=<3:1<v*>658;=>N39l1C8=64$`195>o5m3:17d=;:188m6>=831d=9o50;9~f4?4290?6=4?{%350?>>3A>:i6F;099'e6<63`8n6=44i2694?=n;10;66a>4`83>>{e9081<7:50;2x 4032130D9?j;I63<>"f;3;0e?k50;9j71<722c847>5;n37e?6=3th:5<4?:583>5}#9?>1445G40g8L16?3-k86<5f2d83>>o4<3:17d=7:188k42f2900qo?60;290?6=8r.::9479:J75`=O<920(l=51:k1a?6=3`9?6=44i2:94?=h9=k1<75rb0:e>5<3290;w)?94;::?M26m2B?<55+a282?l4b2900e>:50;9j7=<722e:8l4?::a5=c=83>1<7>t$047>=?<@=;n7E:?8:&b7?7<a;o1<75f3583>>o403:17b?;a;29?xd6ml0;694?:1y'532=001C8<k4H52;?!g4281b>h4?::k00?6=3`936=44o06b>5<<uk;nh7>54;294~"6>=0356F;1d9K05><,h91=6g=e;29?l532900e>650;9l51g=831vn<kl:187>5<7s-;=8766;I62a>N3811/m>4>;h0f>5<<a:>1<75f3983>>i6<h0;66sm1d`94?2=83:p(<8;:9;8L17b3A>;46*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`2ad<72=0;6=u+1769<<=O<8o0D9>7;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg7b13:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj8o36=4;:183!71<3227E:>e:J74==#i:0:7d<j:188m62=831b?54?::m20d<722wi=h950;694?6|,8<?6574H53f?M2702.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl>e783>1<729q/=;:5889K04c<@=:37)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c01g?6=<3:1<v*>658;=>N39l1C8=64$`195>o5m3:17d=;:188m6>=831d=9o50;9~f74e290?6=4?{%350?>>3A>:i6F;099'e6<63`8n6=44i2694?=n;10;66a>4`83>>{e:;k1<7:50;2x 4032130D9?j;I63<>"f;3;0e?k50;9j71<722c847>5;n37e?6=3th9>44?:583>5}#9?>1445G40g8L16?3-k86<5f2d83>>o4<3:17d=7:188k42f2900qo<=8;290?6=8r.::9479:J75`=O<920(l=51:k1a?6=3`9?6=44i2:94?=h9=k1<75rb304>5<3290;w)?94;::?M26m2B?<55+a282?l4b2900e>:50;9j7=<722e:8l4?::a670=83>1<7>t$047>=?<@=;n7E:?8:&b7?7<a;o1<75f3583>>o403:17b?;a;29?xd5:<0;694?:1y'532=001C8<k4H52;?!g4281b>h4?::k00?6=3`936=44o06b>5<<uk8987>54;294~"6>=0356F;1d9K05><,h91=6g=e;29?l532900e>650;9l51g=831vn<j;:187>5<7s-;=8766;I62a>N3811/m>4>;h0f>5<<a:>1<75f3983>>i6<h0;66sm1e194?2=83:p(<8;:9;8L17b3A>;46*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`2`7<72=0;6=u+1769<<=O<8o0D9>7;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg7c93:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj8n;6=4;:183!71<3227E:>e:J74==#i:0:7d<j:188m62=831b?54?::m20d<722wi=nh50;694?6|,8<?6574H53f?M2702.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl>cd83>1<729q/=;:5889K04c<@=:37)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c3``?6=<3:1<v*>658;=>N39l1C8=64$`195>o5m3:17d=;:188m6>=831d=9o50;9~f4ed290?6=4?{%350?>>3A>:i6F;099'e6<63`8n6=44i2694?=n;10;66a>4`83>>{e:8k1<7:50;2x 4032130D9?j;I63<>N5i2.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl=1883>1<729q/=;:5889K04c<@=:37E<n;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg4603:187>50z&221<?12B?=h5G41:8L7g<,h91=6g=e;29?l532900e>650;9l51g=831vn??8:187>5<7s-;=8766;I62a>N3811C>l5+a282?l4b2900e>:50;9j7=<722e:8l4?::a640=83>1<7>t$047>=?<@=;n7E:?8:J1e>"f;3;0e?k50;9j71<722c847>5;n37e?6=3th9=84?:583>5}#9?>1445G40g8L16?3A8j7)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c020?6=<3:1<v*>658;=>N39l1C8=64H3c8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj;;86=4;:183!71<3227E:>e:J74==O:h1/m>4>;h0f>5<<a:>1<75f3983>>i6<h0;66sm20094?2=83:p(<8;:9;8L17b3A>;46F=a:&b7?7<a;o1<75f3583>>o403:17b?;a;29?xd6k;0;694?:1y'532=001C8<k4H52;?M4f3-k86<5f2d83>>o4<3:17d=7:188k42f2900qo?l1;290?6=8r.::9479:J75`=O<920D?o4$`195>o5m3:17d=;:188m6>=831d=9o50;9~f4e7290?6=4?{%350?>>3A>:i6F;099K6d=#i:0:7d<j:188m62=831b?54?::m20d<722wi=oh50;694?6|,8<?6574H53f?M2702B9m6*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`2f`<72=0;6=u+1769<<=O<8o0D9>7;I0b?!g4281b>h4?::k00?6=3`936=44o06b>5<<uk;ih7>54;294~"6>=0356F;1d9K05><@;k0(l=51:k1a?6=3`9?6=44i2:94?=h9=k1<75rb0``>5<3290;w)?94;::?M26m2B?<55G2`9'e6<63`8n6=44i2694?=n;10;66a>4`83>>{e9kh1<7:50;2x 4032130D9?j;I63<>N5i2.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl>b`83>1<729q/=;:5889K04c<@=:37E<n;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg7e83:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj8km6=4;:183!71<3227E:>e:J74==#i:0:7d<j:188m62=831b?54?::m20d<722wi=lk50;694?6|,8<?6574H53f?M2702.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl>ae83>1<729q/=;:5889K04c<@=:37)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c3bg?6=<3:1<v*>658;=>N39l1C8=64$`195>o5m3:17d=;:188m6>=831d=9o50;9~f4ge290?6=4?{%350?>>3A>:i6F;099'e6<63`8n6=44i2694?=n;10;66a>4`83>>{e9hk1<7:50;2x 4032130D9?j;I63<>"f;3;0e?k50;9j71<722c847>5;n37e?6=3th:m44?:583>5}#9?>1445G40g8L16?3-k86<5f2d83>>o4<3:17d=7:188k42f2900qo?n8;290?6=8r.::9479:J75`=O<920(l=51:k1a?6=3`9?6=44i2:94?=h9=k1<75rb32;>5<3290;w)?94;::?M26m2B?<55+a282?l4b2900e>:50;9j7=<722e:8l4?::a651=83>1<7>t$047>=?<@=;n7E:?8:&b7?7<a;o1<75f3583>>o403:17b?;a;29?xd58?0;694?:1y'532=001C8<k4H52;?!g4281b>h4?::k00?6=3`936=44o06b>5<<uk8;97>54;294~"6>=0356F;1d9K05><,h91=6g=e;29?l532900e>650;9l51g=831vn?>;:187>5<7s-;=8766;I62a>N3811/m>4>;h0f>5<<a:>1<75f3983>>i6<h0;66sm21194?2=83:p(<8;:9;8L17b3A>;46*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`147<72=0;6=u+1769<<=O<8o0D9>7;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg4793:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj;:;6=4;:183!71<3227E:>e:J74==#i:0:7d<j:188m62=831b?54?::m20d<722wi>ik50;794?6|,8<?65o4H53f?M2702.j?7?4i3g94?=n;80;66g<4;29?l5?2900c<:n:188yg4cl3:197>50z&221<?i2B?=h5G41:8 d5=92c9i7>5;h12>5<<a:>1<75f3983>>i6<h0;66sm2ea94?3=83:p(<8;:9c8L17b3A>;46*n3;38m7c=831b?<4?::k00?6=3`936=44o06b>5<<uk8on7>55;294~"6>=03m6F;1d9K05><,h91=6g=e;29?l562900e>:50;9j7=<722e:8l4?::a6ag=83?1<7>t$047>=g<@=;n7E:?8:&b7?7<a;o1<75f3083>>o4<3:17d=7:188k42f2900qo<k9;291?6=8r.::947a:J75`=O<920(l=51:k1a?6=3`9:6=44i2694?=n;10;66a>4`83>>{e:m=1<7;50;2x 40321k0D9?j;I63<>"f;3;0e?k50;9j74<722c887>5;h1;>5<<g8>j6=44}c0g2?6==3:1<v*>658;g>N39l1C8=64$`191>o5m3:17d=>:188m6>=831b=9750;9l51g=831vn?j7:186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd5kk0;6>4?:1y'532=<8n0D9?j;I63<>o4;3:17dk8:188k4242900qo<la;297?6=8r.::94;1e9K04c<@=:37d=<:188m`1=831d=9=50;9~f7e6290?6=4?{%350?`63A>:i6F;099j76<722c857>5;h36=?6=3f;??7>5;|`1g<<72:0;6=u+176904b<@=;n7E:?8:k07?6=3`o<6=44o060>5<<uk8ij7>54;294~"6>=0m=6F;1d9K05><a:91<75f3883>>o6=00;66a>4283>>{e:j21<7=50;2x 4032=;o7E:>e:J74==n;:0;66gj7;29?j73;3:17pl=be83>1<729q/=;:5f09K04c<@=:37d=<:188m6?=831b=8750;9l515=831vn?m8:180>5<7s-;=87:>d:J75`=O<920e>=50;9ja2<722e:8>4?::a6gd=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c0`2?6=;3:1<v*>65875a=O<8o0D9>7;h10>5<<al=1<75`15194?=zj;h26=4;:183!71<3l:7E:>e:J74==n;:0;66g<9;29?l7213:17b?;3;29?xd5k<0;6>4?:1y'532=<8n0D9?j;I63<>o4;3:17dk8:188k4242900qo<m7;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi>n:50;194?6|,8<?69?k;I62a>N3811b?>4?::kf3?6=3f;??7>5;|`1f0<72=0;6=u+1769b4=O<8o0D9>7;h10>5<<a:31<75f14;94?=h9=91<75rb3a0>5<4290;w)?94;62`>N39l1C8=64i2194?=nm>0;66a>4283>>{e:k91<7:50;2x 4032o;0D9?j;I63<>o4;3:17d=6:188m43>2900c<:<:188yg4a83:197>50z&221<?i2B?=h5G41:8 d5=92c9i7>5;h12>5<<a:>1<75f3983>>i6<h0;66sm2dd94?3=83:p(<8;:9c8L17b3A>;46*n3;38m7c=831b?<4?::k00?6=3`936=44o06b>5<<uk8ni7>55;294~"6>=03m6F;1d9K05><,h91=6g=e;29?l562900e>:50;9j7=<722e:8l4?::a6`b=83?1<7>t$047>=g<@=;n7E:?8:&b7?7<a;o1<75f3083>>o4<3:17d=7:188k42f2900qo<jc;291?6=8r.::947a:J75`=O<920(l=51:k1a?6=3`9:6=44i2694?=n;10;66a>4`83>>{e:lh1<7;50;2x 40321k0D9?j;I63<>"f;3;0e?k50;9j74<722c887>5;h1;>5<<g8>j6=44}c0fe?6==3:1<v*>658;e>N39l1C8=64$`195>o5m3:17d=>:188m62=831b?54?::m20d<722wi>h750;794?6|,8<?65o4H53f?M2702.j?7?4i3g94?=n;80;66g<4;29?l5?2900c<:n:188yg4b03:197>50z&221<?i2B?=h5G41:8 d5=92c9i7>5;h12>5<<a:>1<75f3983>>i6<h0;66sm24`94?5=83:p(<8;:53g?M26m2B?<55f3283>>ob?3:17b?;3;29?xd5=h0;6>4?:1y'532=<8n0D9?j;I63<>o4;3:17dk8:188k4242900qo<;9;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi>8750;194?6|,8<?69?k;I62a>N3811b?>4?::kf3?6=3f;??7>5;|`10=<72=0;6=u+1769b4=O<8o0D9>7;h10>5<<a:31<75f14;94?=h9=91<75rb37;>5<4290;w)?94;62`>N39l1C8=64i2194?=nm>0;66a>4283>>{e:==1<7:50;2x 4032o;0D9?j;I63<>o4;3:17d=6:188m43>2900c<:<:188yg42?3:1?7>50z&221<39m1C8<k4H52;?l542900eh950;9l515=831vn?:9:187>5<7s-;=87h>;I62a>N3811b?>4?::k0=?6=3`;>57>5;n377?6=3th99;4?:283>5}#9?>18<j4H53f?M2702c8?7>5;hg4>5<<g8>86=44}c071?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm24794?5=83:p(<8;:53g?M26m2B?<55f3283>>ob?3:17b?;3;29?xd5<=0;694?:1y'532=n81C8<k4H52;?l542900e>750;9j50?=831d=9=50;9~f73329086=4?{%350?26l2B?=h5G41:8m65=831bi:4?::m206<722wi>9=50;694?6|,8<?6k?4H53f?M2702c8?7>5;h1:>5<<a8?26=44o060>5<<uk8>?7>53;294~"6>=0?=i5G40g8L16?3`986=44id594?=h9=91<75rb361>5<3290;w)?94;d2?M26m2B?<55f3283>>o413:17d?:9;29?j73;3:17pl=4083>1<729q/=;:5f09K04c<@=:37d=<:188m6?=831b=8750;9l515=831vn?9m:186>5<7s-;=876l;I62a>N3811/m>4:;h0f>5<<a:;1<75f3983>>o6<00;66a>4`83>>{e:>;1<7:50;2x 4032130D9?j;I63<>"f;3;0e?k50;9j71<722c847>5;n37e?6=3th9;=4?:583>5}#9?>1445G40g8L16?3-k86<5f2d83>>o4<3:17d=7:188k42f2900qo<9f;290?6=8r.::9479:J75`=O<920(l=51:k1a?6=3`9?6=44i2:94?=h9=k1<75rb34f>5<3290;w)?94;::?M26m2B?<55+a282?l4b2900e>:50;9j7=<722e:8l4?::a63b=83>1<7>t$047>=?<@=;n7E:?8:&b7?7<a;o1<75f3583>>o403:17b?;a;29?xd5>j0;694?:1y'532=001C8<k4H52;?!g4281b>h4?::k00?6=3`936=44o06b>5<<uk8=n7>54;294~"6>=0356F;1d9K05><,h91=6g=e;29?l532900e>650;9l51g=831vn?8n:187>5<7s-;=8766;I62a>N3811/m>4>;h0f>5<<a:>1<75f3983>>i6<h0;66sm27;94?2=83:p(<8;:9;8L17b3A>;46*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`17d<72=0;6=u+1769<<=O<8o0D9>7;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg44?3:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj;9n6=4;:183!71<32i7E:>e:J74==#i:0>7d<j:188m6>=831b=9750;9l51g=831vn?=k:187>5<7s-;=876m;I62a>N3811/m>4:;h0f>5<<a:21<75f15;94?=h9=k1<75rb22:>5<4290;w)?94;62`>N39l1C8=64i2194?=nm>0;66a>4283>>{e;921<7=50;2x 4032=;o7E:>e:J74==n;:0;66gj7;29?j73;3:17pl=f883>1<729q/=;:5f09K04c<@=:37d=<:188m6?=831b=8750;9l515=831vn>>8:180>5<7s-;=87:>d:J75`=O<920e>=50;9ja2<722e:8>4?::a6c>=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c132?6=;3:1<v*>65875a=O<8o0D9>7;h10>5<<al=1<75`15194?=zj;l<6=4;:183!71<3l:7E:>e:J74==n;:0;66g<9;29?l7213:17b?;3;29?xd48<0;6>4?:1y'532=<8n0D9?j;I63<>o4;3:17dk8:188k4242900qo<i6;290?6=8r.::94i1:J75`=O<920e>=50;9j7<<722c:944?::m206<722wi?=:50;194?6|,8<?69?k;I62a>N3811b?>4?::kf3?6=3f;??7>5;|`1b0<72=0;6=u+1769b4=O<8o0D9>7;h10>5<<a:31<75f14;94?=h9=91<75rb220>5<4290;w)?94;62`>N39l1C8=64i2194?=nm>0;66a>4283>>{e:o>1<7:50;2x 4032o;0D9?j;I63<>o4;3:17d=6:188m43>2900c<:<:188yg4a;3:187>50z&221<a92B?=h5G41:8m65=831b?44?::k21<<722e:8>4?::a6c4=83>1<7>t$047>c7<@=;n7E:?8:k07?6=3`926=44i07:>5<<g8>86=44}c0e5?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm30594?2=83:p(<8;:9;8L17b3A>;46*n3;38m7c=831b?94?::k0<?6=3f;?m7>5;|`053<72=0;6=u+1769<<=O<8o0D9>7;%c0>4=n:l0;66g<4;29?l5?2900c<:n:188yg56=3:187>50z&221<?12B?=h5G41:8 d5=92c9i7>5;h17>5<<a:21<75`15c94?=zj:;?6=4;:183!71<3227E:>e:J74==#i:0:7d<j:188m62=831b?54?::m20d<722wi?<=50;694?6|,8<?6574H53f?M2702.j?7?4i3g94?=n;=0;66g<8;29?j73i3:17pl<1383>1<729q/=;:5889K04c<@=:37)o<:09j6`<722c887>5;h1;>5<<g8>j6=44}c125?6=<3:1<v*>658;=>N39l1C8=64$`195>o5m3:17d=;:188m6>=831d=9o50;9~f643290?6=4?{%350?>e3A>:i6F;099'e6<23`8n6=44i2:94?=n9=31<75`15c94?=zj:;m6=4::183!71<32h7E:>e:J74==#i:0:7d<j:188m67=831b?54?::k20<<722e:8l4?::a7=>=83?1<7>t$047>=g<@=;n7E:?8:&b7?7<a;o1<75f3083>>o4<3:17d=7:188k42f2900qo=77;291?6=8r.::947a:J75`=O<920(l=51:k1a?6=3`9:6=44i2694?=n;10;66a>4`83>>{e;1<1<7;50;2x 40321k0D9?j;I63<>"f;3;0e?k50;9j74<722c887>5;h1;>5<<g8>j6=44}c1;1?6==3:1<v*>658;e>N39l1C8=64$`195>o5m3:17d=>:188m62=831b?54?::m20d<722wi?5:50;794?6|,8<?65o4H53f?M2702.j?7?4i3g94?=n;80;66g<4;29?l5?2900c<:n:188yg5?;3:197>50z&221<?i2B?=h5G41:8 d5=92c9i7>5;h12>5<<a:>1<75f3983>>i6<h0;66sm39394?3=83:p(<8;:9a8L17b3A>;46*n3;78m7c=831b?<4?::k0<?6=3`;?57>5;n37e?6=3th84=4?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6>5290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<7483>6<729q/=;:540f8L17b3A>;46g<3;29?lc02900c<:<:188yg50<3:1?7>50z&221<39m1C8<k4H52;?l542900eh950;9l515=831vn>8m:187>5<7s-;=87h>;I62a>N3811b?>4?::k0=?6=3`;>57>5;n377?6=3th8;>4?:283>5}#9?>18<j4H53f?M2702c8?7>5;hg4>5<<g8>86=44}c15=?6=<3:1<v*>658e5>N39l1C8=64i2194?=n;00;66g>5883>>i6<:0;66sm36094?5=83:p(<8;:53g?M26m2B?<55f3283>>ob?3:17b?;3;29?xd4>>0;694?:1y'532=n81C8<k4H52;?l542900e>750;9j50?=831d=9=50;9~f61629086=4?{%350?26l2B?=h5G41:8m65=831bi:4?::m206<722wi?;;50;694?6|,8<?6k?4H53f?M2702c8?7>5;h1:>5<<a8?26=44o060>5<<uk9<<7>53;294~"6>=0?=i5G40g8L16?3`986=44id594?=h9=91<75rb240>5<3290;w)?94;d2?M26m2B?<55f3283>>o413:17d?:9;29?j73;3:17pl<6g83>6<729q/=;:540f8L17b3A>;46g<3;29?lc02900c<:<:188yg5193:187>50z&221<a92B?=h5G41:8m65=831b?44?::k21<<722e:8>4?::a73c=8391<7>t$047>17c3A>:i6F;099j76<722cn;7>5;n377?6=3th89k4?:583>5}#9?>1j<5G40g8L16?3`986=44i2;94?=n9<31<75`15194?=zj:<o6=4<:183!71<3>:h6F;1d9K05><a:91<75fe683>>i6<:0;66sm34f94?2=83:p(<8;:g38L17b3A>;46g<3;29?l5>2900e<;6:188k4242900qo=64;291?6=8r.::947a:J75`=O<920(l=51:k1a?6=3`9:6=44i2694?=n;10;66a>4`83>>{e;081<7;50;2x 40321i0D9?j;I63<>"f;3?0e?k50;9j74<722c847>5;h37=?6=3f;?m7>5;|`0=6<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>7::186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd41?0;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2;4>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8554?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6?>290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<9`83>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:kh6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`0eg<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>on:186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd4i00;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2c;>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8m:4?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6g1290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<a483>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:k?6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`0g3<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>m::186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd4k:0;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2a1>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8o<4?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6e7290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<bg83>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:hn6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`0fa<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>ll:186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd4jk0;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2`b>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8n54?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6d0290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<b783>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:h>6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`0f1<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>l<:186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd4j;0;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2`2>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8n=4?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6ga290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<cb83>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:ii6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`0gd<72<0;6=u+1769<d=O<8o0D9>7;%c0>4=n:l0;66g<1;29?l532900e>650;9l51g=831vn>m6:186>5<7s-;=876n;I62a>N3811/m>4>;h0f>5<<a:;1<75f3583>>o403:17b?;a;29?xd4k10;684?:1y'532=0h1C8<k4H52;?!g4281b>h4?::k05?6=3`9?6=44i2:94?=h9=k1<75rb2a4>5<2290;w)?94;:b?M26m2B?<55+a282?l4b2900e>?50;9j71<722c847>5;n37e?6=3th8o94?:483>5}#9?>14l5G40g8L16?3-k86<5f2d83>>o493:17d=;:188m6>=831d=9o50;9~f6d>290>6=4?{%350?>f3A>:i6F;099'e6<63`8n6=44i2394?=n;=0;66g<8;29?j73i3:17pl<ad83>0<729q/=;:58`9K04c<@=:37)o<:09j6`<722c8=7>5;h17>5<<a:21<75`15c94?=zj:ko6=4::183!71<32j7E:>e:J74==#i:0:7d<j:188m67=831b?94?::k0<?6=3f;?m7>5;|`747<72:0;6=u+1769ag=O<8o0D9>7;%c0>a=n1<0;66g66;29?j73;3:17pl<f683>6<729q/=;:5ec9K04c<@=:37)o<:e9j=0<722c2:7>5;n377?6=3th:494?:283>5}#9?>1io5G40g8L16?3-k86<=4i8794?=n1?0;66a>4283>>{e9191<7=50;2x 4032lh0D9?j;I63<>"f;3;87d7::188m<0=831d=9=50;9~f4>529086=4?{%350?ce3A>:i6F;099'e6<6;2c297>5;h;5>5<<g8>86=44}c3;5?6=;3:1<v*>658ff>N39l1C8=64$`1956=n1<0;66g66;29?j73;3:17pl>8183>6<729q/=;:5ec9K04c<@=:37)o<:018m<3=831b5;4?::m206<722wi=:h50;194?6|,8<?6hl4H53f?M2702.j?7?<;h;6>5<<a0<1<75`15194?=zj8=n6=4<:183!71<3oi7E:>e:J74==#i:0:?6g65;29?l?12900c<:<:188yg70l3:1?7>50z&221<bj2B?=h5G41:8 d5=9:1b584?::k:2?6=3f;??7>5;|`231<72:0;6=u+1769ag=O<8o0D9>7;%c0>45<a0?1<75f9783>>i6<:0;66sm16194?5=83:p(<8;:d`8L17b3A>;46*n3;30?l?22900e4850;9l515=831vn<9=:180>5<7s-;=87km;I62a>N3811/m>4>3:k:1?6=3`3=6=44o060>5<<uk;<=7>53;294~"6>=0nn6F;1d9K05><,h91=>5f9483>>o>>3:17b?;3;29?xd6?90;6>4?:1y'532=mk1C8<k4H52;?!g42890e4;50;9j=3<722e:8>4?::a53`=8391<7>t$047>`d<@=;n7E:?8:&b7?743`3>6=44i8494?=h9=91<75rb04f>5<4290;w)?94;ga?M26m2B?<55+a2827>o>=3:17d79:188k4242900qo?9d;297?6=8r.::94jb:J75`=O<920(l=5129j=0<722c2:7>5;n377?6=3th98=4?:483>5}#9?>1ii5G40g8L16?3-k86?h4i8794?=n1?0;66g67;29?l??2900c<:<:188yg55;3:1?7>50z&221<bj2B?=h5G41:8 d5=:k1b584?::k:2?6=3f;??7>5;|`173<72=0;6=u+1769af=O<8o0D9>7;%c0>42<a0?1<75f9783>>o>?3:17b?;3;29?xd5;00;694?:1y'532=mj1C8<k4H52;?!g42820e4;50;9j=3<722c2;7>5;n377?6=3th88l4?:283>5}#9?>1io5G40g8L16?3-k86<l4i8794?=n1?0;66a>4283>>{e;:=1<7=50;2x 4032lh0D9?j;I63<>"f;3;i7d7::188m<0=831d=9=50;9~f7g329086=4?{%350?ce3A>:i6F;099'e6<6j2c297>5;h;5>5<<g8>86=44}c0:5?6=;3:1<v*>658ff>N39l1C8=64$`195g=n1<0;66g66;29?j73;3:17pl<5083>6<729q/=;:5ec9K04c<@=:37)o<:69j=0<722c2:7>5;n377?6=3th8844?:483>5}#9?>1ii5G40g8L16?3-k86<m4i8794?=n1?0;66g67;29?l??2900c<:<:188yg54>3:197>50z&221<bl2B?=h5G41:8 d5=9j1b584?::k:2?6=3`3<6=44i8:94?=h9=91<75rb3c0>5<2290;w)?94;gg?M26m2B?<55+a282g>o>=3:17d79:188m<1=831b554?::m206<722wi>4>50;794?6|,8<?6hj4H53f?M2702.j?7?n;h;6>5<<a0<1<75f9683>>o>03:17b?;3;29?xd4<10;684?:1y'532=mm1C8<k4H52;?!g428i0e4;50;9j=3<722c2;7>5;h;;>5<<g8>86=44}c101?6==3:1<v*>658f`>N39l1C8=64$`195f=n1<0;66g66;29?l?02900e4650;9l515=831vn?o=:186>5<7s-;=87kk;I62a>N3811/m>4>c:k:1?6=3`3=6=44i8594?=n110;66a>4283>>{e:1l1<7;50;2x 4032ln0D9?j;I63<>"f;3;j7d7::188m<0=831b5:4?::k:<?6=3f;??7>5;|`002<72<0;6=u+1769aa=O<8o0D9>7;%c0>4e<a0?1<75f9783>>o>?3:17d77:188k4242900qo=<4;291?6=8r.::94jd:J75`=O<920(l=51b9j=0<722c2:7>5;h;4>5<<a021<75`15194?=zj;k:6=4::183!71<3oo7E:>e:J74==#i:0:o6g65;29?l?12900e4950;9j==<722e:8>4?::a6=c=83?1<7>t$047>`b<@=;n7E:?8:&b7?7f3`3>6=44i8494?=n1>0;66g68;29?j73;3:17pl<4783>0<729q/=;:5ee9K04c<@=:37)o<:0a8m<3=831b5;4?::k:3?6=3`336=44o060>5<<uk98?7>55;294~"6>=0nh6F;1d9K05><,h91=n5f9483>>o>>3:17d78:188m<>=831d=9=50;9~f7g7290>6=4?{%350?cc3A>:i6F;099'e6<6k2c297>5;h;5>5<<a0=1<75f9983>>i6<:0;66sm29f94?3=83:p(<8;:df8L17b3A>;46*n3;3b?l?22900e4850;9j=2<722c247>5;n377?6=3th89=4?:483>5}#9?>1ii5G40g8L16?3-k865j4i8794?=n1?0;66g67;29?l??2900c<:<:188yg44=3:197>50z&221<bl2B?=h5G41:8 d5=02c297>5;h;5>5<<a0=1<75f9983>>i6<:0;66sm16794?5=83:p(<8;:d`8L17b3A>;46*n3;30?l?22900e4850;9l515=831vn<8::180>5<7s-;=87km;I62a>N3811/m>4>3:k:1?6=3`3=6=44o060>5<<uk8h>7>52;294~"6>=0nm6F;1d9K05><,h91;6g65;29?j73;3:17pl=c183>7<729q/=;:5e`9K04c<@=:37)o<:69j=0<722e:8>4?::a6gc=8381<7>t$047>`g<@=;n7E:?8:&b7?1<a0?1<75`15194?=zj;hh6=4=:183!71<3oj7E:>e:J74==#i:0<7d7::188k4242900qo<ma;296?6=8r.::94ja:J75`=O<920(l=57:k:1?6=3f;??7>5;|`1f=<72;0;6=u+1769ad=O<8o0D9>7;%c0>2=n1<0;66a>4283>>{e:k<1<7<50;2x 4032lk0D9?j;I63<>"f;3=0e4;50;9l515=831vn?l;:181>5<7s-;=87kn;I62a>N3811/m>48;h;6>5<<g8>86=44}c15g?6=:3:1<v*>658fe>N39l1C8=64$`193>o>=3:17b?;3;29?xd4>h0;6?4?:1y'532=mh1C8<k4H52;?!g42>1b584?::m206<722wi?;650;094?6|,8<?6ho4H53f?M2702.j?794i8794?=h9=91<75rb245>5<5290;w)?94;gb?M26m2B?<55+a284?l?22900c<:<:188yg51<3:1>7>50z&221<bi2B?=h5G41:8 d5=?2c297>5;n377?6=3th8:?4?:383>5}#9?>1il5G40g8L16?3-k86:5f9483>>i6<:0;66sm37294?4=83:p(<8;:dc8L17b3A>;46*n3;58m<3=831d=9=50;9~f63b29096=4?{%350?cf3A>:i6F;099'e6<03`3>6=44o060>5<<uk8ho7>52;294~"6>=0nm6F;1d9K05><,h91;6g65;29?j73;3:17pl<7783>7<729q/=;:5e`9K04c<@=:37)o<:69j=0<722e:8>4?::a52g=83>1<7>t$047>`e<@=;n7E:?8:&b7?7c3`3>6=44i8494?=n1>0;66a>4283>>{e9?k1<7:50;2x 4032li0D9?j;I63<>"f;3;o7d7::188m<0=831b5:4?::m206<722wi=:850;694?6|,8<?6hm4H53f?M2702.j?7?k;h;6>5<<a0<1<75f9683>>i6<:0;66sm17494?2=83:p(<8;:da8L17b3A>;46*n3;3g?l?22900e4850;9j=2<722e:8>4?::a52d=83?1<7>t$047>`b<@=;n7E:?8:&b7?713`3>6=44i8494?=n1>0;66g68;29?j73;3:17pl>6c83>0<729q/=;:5ee9K04c<@=:37)o<:048m<3=831b5;4?::k:3?6=3`336=44o060>5<<uk;<o7>56;294~"6>=0ni6F;1d9K05><,h91=h5f9483>>o>>3:17d78:188m<>=831b544?::m206<722wi=;m50;494?6|,8<?6hk4H53f?M2702.j?7?j;h;6>5<<a0<1<75f9683>>o>03:17d76:188k4242900qo?88;292?6=8r.::94je:J75`=O<920(l=51d9j=0<722c2:7>5;h;4>5<<a021<75f9883>>i6<:0;66sm17:94?0=83:p(<8;:dg8L17b3A>;46*n3;3f?l?22900e4850;9j=2<722c247>5;h;:>5<<g8>86=44}c07e?6=;3:1<v*>658ff>N39l1C8=64$`195g=n1<0;66g66;29?j73;3:17pl=f`83>6<729q/=;:5ec9K04c<@=:37)o<:0`8m<3=831b5;4?::m206<722wi>9l50;194?6|,8<?6hl4H53f?M2702.j?7?m;h;6>5<<a0<1<75`15194?=zj;li6=4<:183!71<3oi7E:>e:J74==#i:0:n6g65;29?l?12900c<:<:188yg43k3:1?7>50z&221<bj2B?=h5G41:8 d5=9k1b584?::k:2?6=3f;??7>5;|`1bf<72:0;6=u+1769ag=O<8o0D9>7;%c0>4d<a0?1<75f9783>>i6<:0;66sm25f94?5=83:p(<8;:d`8L17b3A>;46*n3;3a?l?22900e4850;9l515=831vn?hk:180>5<7s-;=87km;I62a>N3811/m>4>b:k:1?6=3`3=6=44o060>5<<uk8?i7>53;294~"6>=0nn6F;1d9K05><,h91=o5f9483>>o>>3:17b?;3;29?xd5nl0;6>4?:1y'532=mk1C8<k4H52;?!g428h0e4;50;9j=3<722e:8>4?::a61`=8391<7>t$047>`d<@=;n7E:?8:&b7?7e3`3>6=44i8494?=h9=91<75rb3de>5<4290;w)?94;ga?M26m2B?<55+a282f>o>=3:17d79:188k4242900qo<:0;297?6=8r.::94jb:J75`=O<920(l=51c9j=0<722c2:7>5;n377?6=3th8<=4?:283>5}#9?>1io5G40g8L16?3-k86<l4i8794?=n1?0;66a>4283>>{e:<;1<7=50;2x 4032lh0D9?j;I63<>"f;3;i7d7::188m<0=831d=9=50;9~f66629086=4?{%350?ce3A>:i6F;099'e6<6j2c297>5;h;5>5<<g8>86=44}c06b?6==3:1<v*>658f`>N39l1C8=64$`1975=n1<0;66g66;29?l?02900e4650;9l515=831vn?o6:184>5<7s-;=87ki;I62a>N3811/m>460:k:1?6=3`3=6=44i8594?=n110;66g69;29?l?f2900c<:<:188yg42:3:1?7>50z&221<bj2B?=h5G41:8 d5=9k1b584?::k:2?6=3f;??7>5;|`047<72:0;6=u+1769ag=O<8o0D9>7;%c0>4d<a0?1<75f9783>>i6<:0;66sm26g94?3=83:p(<8;:df8L17b3A>;46*n3;:e?l?22900e4850;9j=2<722c247>5;n377?6=3th9;i4?:683>5}#9?>1ik5G40g8L16?3-k8695f9483>>o>>3:17d78:188m<>=831b544?::k:e?6=3f;??7>5;|`064<72=0;6=u+1769af=O<8o0D9>7;%c0>4?<a0?1<75f9783>>o>?3:17b?;3;29?xd4:90;6:4?:1y'532=mo1C8<k4H52;?!g42l1b584?::k:2?6=3`3<6=44i8:94?=n100;66g6a;29?j73;3:17pl=3b83>0<729q/=;:5ee9K04c<@=:37)o<:078m<3=831b5;4?::k:3?6=3`336=44o060>5<<uk;<;7>55;294~"6>=0nh6F;1d9K05><,h91=;5f9483>>o>>3:17d78:188m<>=831d=9=50;9~f400290>6=4?{%350?cc3A>:i6F;099'e6<6>2c297>5;h;5>5<<a0=1<75f9983>>i6<:0;66sm3dd94?0=83:p(<8;:dg8L17b3A>;46*n3;:f?l?22900e4850;9j=2<722c247>5;h;:>5<<g8>86=44}c34=?6=?3:1<v*>658fb>N39l1C8=64$`1952=n1<0;66g66;29?l?02900e4650;9j=<<722c2m7>5;n377?6=3th::44?:683>5}#9?>1ik5G40g8L16?3-k86<94i8794?=n1?0;66g67;29?l??2900e4750;9j=d<722e:8>4?::a704=8391<7>t$047>`d<@=;n7E:?8:&b7?1<a0?1<75f9783>>i6<:0;66sm34194?5=83:p(<8;:d`8L17b3A>;46*n3;58m<3=831b5;4?::m206<722wi>8j50;094?6|,8<?6h;4H53f?M2702c287>5;n377?6=3th8ii4?:7494?6|,8<?6<:m;I62a>N3811Q>i48{0d965<>2o0i6<?5118~j=0=82.n>7=4$d197>"f;380(4l53:&:g?5<,0n1?6*6e;18 <`=;2.j<7=4$07a>=1<,h81?6*n4;18 d3=;2.j:7=4$`597>"f0390(l753:&be?5<,hh1?6*nc;18 db=;2.ji7=4$`d97>"e8390(o?53:&a6?5<,k91?6*m4;18 g3=;2.i:7=4$c597>"e0390(o753:&ae?5<,kh1?6*mc;18 gb=;2.ii7=4$cd97>"d8390(n?53:&`6?5<,j91?6*l4;18 f3=;2.h:7=4$b597>"d0390(n753:&`e?5<,jh1?6*lc;18 fb=;2.hi7=4$bd97>"c8390(i?53:&g6?5<,m91?6*k4;18 a3=;2.o:7=4$e597>"c0390(i753:&ge?5<,mh1?6*kc;18 ab=;2.oi7=4$ed97>"b8390(h?53:&f0?73:2.:9n4>439'50b=9<k0(l?53:&225<53`;>:7>5;h62e?6=3`;>;7>5;h62f?6=3`;>47>5;h355?6=3`;=?7>5H07f?>o6>;0;6E?:e:9j503=83.:854>559m511=821b=8=50;&20=<6==1e=9951:9j504=83.:854>559m511=:21b=8?50;&20=<6==1e=9953:9j506=83.:854>559m511=<21b=9h50;&20=<6==1e=9955:9j51c=83.:854>559m511=>21b=9j50;&20=<6==1e=9957:9j51e=83.:854>559m511=021b8<750;&20=<3911e=9950:9j041=83.:854;199m511=921b8<850;&20=<3911e=9952:9j043=83.:854;199m511=;21b8<:50;&20=<3911e=9954:9j045=83.:854;199m511==21b8<<50;&20=<3911e=9956:9j047=83.:854;199m511=?21b8<>50;&20=<3911e=9958:9j16<72-;?47;=;o373?6<3`?:6=4+15:917=i9==1=65f4g83>!7303?97c?;7;08?l2b290/=965539m511=;21b8i4?:%37<?353g;?;7:4;h6`>5<#9=219?5a15591>=n<k0;6)?;8;71?k73?3<07d:n:18'51>==;1e=9957:9j0<<72-;?47;=;o373?><3`>36=4+15:917=i9==1565f4683>!7303?97c?;7;c8?l21290/=965539m511=j21b894?:%37<?353g;?;7m4;h60>5<#9=219?5a1559`>=n<;0;6)?;8;71?k73?3o07d:>:18'51>==;1e=995f:9j05<72-;?47;=;o373?7732c8j7>5$06;>04<f8><6<?4;h1f>5<#9=219?5a155957=<a:n1<7*>49866>h6<>0:?65f3b83>!7303?97c?;7;37?>o4j3:1(<:7:408j42028?07d;n:18'51>==;1e=9951798m0?=83.:854:2:l202<6?21b954?:%37<?353g;?;7?7;:k63?6=,8>368<4n064>4?<3`?=6=4+15:917=i9==1=l54i4794?"6<10>>6`>4682f>=n==0;6)?;8;71?k73?3;h76g:0;29 42?2<80b<:8:0f8?l22290/=965539m511=9l10e>o50;&20=<2:2d:8:4>f:9j1c<72-;?47;j;o373?6<3`?o6=4+15:91`=i9==1=65f5b83>!7303?n7c?;7;08?l3e290/=9655d9m511=;21d;44?:%37<?1?3g;?;7>4;n54>5<#9=21;55a15595>=h?<0;6)?;8;5;?k73?3807b9;:18'51>=?11e=9953:9l36<72-;?4797;o373?2<3f=96=4+15:93==i9==1965`7083>!7303=37c?;7;48?j17290/=965799m511=?21d:k4?:%37<?1?3g;?;764;n4f>5<#9=21;55a1559=>=h>m0;6)?;8;5;?k73?3k07b8l:18'51>=?11e=995b:9l2d<72-;?4797;o373?e<3f<26=4+15:93==i9==1h65`6983>!7303=37c?;7;g8?j00290/=965799m511=n21d:;4?:%37<?1?3g;?;7??;:m51?6=,8>36:64n064>47<3f<?6=4+15:93==i9==1=?54o7194?"6<10<46`>46827>=h>;0;6)?;8;5;?k73?3;?76a91;29 42?2>20b<:8:078?j>7290/=965799m511=9?10c:h50;&20=<002d:8:4>7:9l3`<72-;?4797;o373?7?32e<h7>5$06;>2><f8><6<74;n5`>5<#9=21;55a15595d=<g>h1<7*>4984<>h6<>0:n65`7`83>!7303=37c?;7;3`?>i0>3:1(<:7:6:8j42028n07b8m:18'51>=?11e=9951d98k36=83.:85488:l202<6n21d484?:%37<?>33g;?;7>4;n:0>5<#9=21495a15595>=h0;0;6)?;8;:7?k73?3807b6>:18'51>=0=1e=9953:9j05`=83.:854;0d9m511=821b8=j50;&20=<38l1e=9951:9j05e=83.:854;0d9m511=:21b8=l50;&20=<38l1e=9953:9~w06c2902wS;?d:?105<>0279?;467:?17<<>?279?8465:?11c<>=279m4465:?17f<>0278ik467:p15d=83?2wS:<5:\762=Y=8<0R8?;;_612>X2991U8n<4^436?[24;2T???5Q4238Z1573W>9j6P;2d9]07b<V=8h7S:=b:\665=Y=8l0R8?j;_72`>X29j1U9<l4^43b?[3612T>=55Q51c8Z06>3W?;46P:069]150<V<:>7S;?4:\646=Y=98019?i:80896`b2:201>h<:2:896`c2:201>hl:2:896`e2:2019>9:2:891622:201?68:2;897>12:301?6::2;897>32:301?6<:2;897?f2:301?76:2;897??2:301?78:2;897?12:301><k:2;8964d2:301><m:2;8964f2:301><6:2;896272:301>=i:2;8965b2:301>=k:2;8965d2:301?m>:2;897da2:301?lk:2;897de2:301?l6:2;897d02:301?l::2;897d42:301?:>:218972628?270<i1;10?84a93;>563<2580<>;4>k08563<6880=>;4>>08563<6480=>;4>:08563<6080=>;4=o08563<5e80=>;4mm0:95523df91c=:;ln19i523df91f=:;ln19o5rs42e>5<4sW?;j63<fd820<=:;o91=974}r6a=?6=:rT?n45222g951g<uz?9>7>55z\667=:;<;158523429=0=:;<8158523419=0=z{<::6=49dz\644=:;o?1>h523g696`=:;o91>h523gf96`=:;oi1>h523g`96`=::h=1>h521dg96`=:9ln1>h521da96`=:9lh1>h521dc96`=:9l31>h521d:96`=:9l=1>h521d496`=::;i1>h5223`96`=::;k1>h5223;96`=::;21>h5223596`=::;<1>h5223796`=::;>1>h5220c96`=::831>h5220:96`=::8=1>h5220496`=::8?1>h5220696`=::891>h5220096`=:9k:1>h521`d96`=:9ho1>h521`f96`=:9hi1>h521``96`=:9hk1>h521`;96`=:9h21>h522eg96`=::mn1>h522ea96`=::mh1>h522ec96`=::m31>h522e596`=::m<1>h522e:96`=::o:1>h522dd96`=::lo1>h522df96`=::li1>h522d`96`=::lk1>h522d;96`=::l21>h5226`96`=::>;1>h5226296`=::?l1>h5227g96`=::?n1>h5227a96`=::?h1>h5227c96`=::?31>h5222c96`=:::=1>h5222g96`=:::n1>h523b496`=:;j?1>h523b196`=:;j81>h523b396`=:;j:1>h523cd96`=:;ko1>h523cf96`=:;ki1>h523c`96`=:;kk1>h523c:96`=:;k=1>h523c496`=:;k?1>h523c696`=:;k91>h523c096`=:;k;1>h523c296`=:;hl1>h523ba96`=:;jh1>h523bc96`=:;j31>h523b:96`=:;j=1>h523b696`=:;k31>h523`g96`=:;hn1>h523df9500<uz>ij7>52z\7fc=:;=o1=9o4}r6`7?6=:rT?o>5226`951g<uz?:;7>550y]141<5=:;6?k4=2df>7c<5:lm6?k4=525>7c<5=:>6?k4=26f>7c<5:>o6?k4=0;5>7c<583>6?k4=0;7>7c<58386?k4=0;1>7c<583:6?k4=0;3>7c<582m6?k4=0:f>7c<58n?6?k4=0f0>7c<58n96?k4=0f2>7c<58n;6?k4=0ae>7c<58in6?k4=0ag>7c<58ih6?k4=0a1>7c<58i:6?k4=0a3>7c<58hm6?k4=0`f>7c<58ho6?k4=0``>7c<58hi6?k4=0`b>7c<5;:36?k4=324>7c<5;:=6?k4=326>7c<5;:?6?k4=320>7c<5;:96?k4=322>7c<5;:;6?k4=234>7c<5:;=6?k4=236>7c<5:;?6?k4=230>7c<5:;96?k4=232>7c<5:8?6?k4=23e>7c<5:236?k4=2:4>7c<5:2=6?k4=2:6>7c<5:2?6?k4=2:0>7c<5:2:6?k4=2:3>7c<5:296?k4=2;7>7c<5:396?k4=2;0>7c<5:3>6?k4=2;5>7c<5:3<6?k4=2;;>7c<5:326?k4=2;b>7c<5:kh6?k4=2ca>7c<5:kj6?k4=2c:>7c<5:k36?k4=2c4>7c<5:k=6?k4=2c6>7c<5:k?6?k4=2gg>17f3ty?i?4?:3y]0`4<5:;m6<:n;|q7f5<72;qU84>4=2a5>42f3ty?mh4?:3y]0=`<5:i>6<:n;|q7ef<72;qU85j4=2a0>42f3ty?mo4?:3y]0=e<5:i96<:n;|q7ed<72;qU85l4=2a2>42f3ty?m44?:3y]0=g<5:i;6<:n;|q7e=<72;qU8574=2`e>42f3ty?m:4?:3y]0=><5:hn6<:n;|q7e3<72;qU8594=2`g>42f3ty?m84?:3y]0=0<5:hh6<:n;|q7e1<72;qU85;4=2`a>42f3ty?m>4?:3y]0=2<5:hj6<:n;|q7e4<72;qU85<4=2`;>42f3ty?m=4?:3y]0=7<5:h<6<:n;|q7=c<72;qU85>4=2`5>42f3ty?5h4?:3y]02`<5:h>6<:n;|q7=a<72;qU8:k4=2`7>42f3ty?5n4?:3y]02b<5:h86<:n;|q7=g<72;qU8:m4=2`1>42f3ty?5l4?:3y]02d<5:h:6<:n;|q7=<<72;qU8:o4=2`3>42f3ty?554?:3y]02?<5:km6<:n;|q7f2<72;qU8484=2a`>42f3ty?n;4?:3y]0<3<5:ii6<:n;|q7f0<72;qU84:4=2ab>42f3ty?n94?:3y]0<5<5:i26<:n;|q7f6<72;qU84<4=2a;>42f3ty?n?4?:3y]0<7<5:i<6<:n;|q7f4<72;qU85k4=2a7>42f3ty?mi4?:3y]0=5<5:h26<:n;|q7e7<72;qU8:64=2cf>42f3ty?5:4?:3y]021<5:ko6<:n;|q72c<72;qU89h4=2gg>05<uz>=h7>52z\70`=:;ln19<5rs54a>5<5sW>?o63<ee87b>{t<?k1<7<t^56a?85bl3>n7p};6883>7}Y<=k01>kk:5f8yv2103:1>vP;489>7`b=<j1v988:181[230278ii4;b:p030=838pR9:8;<1f`?2f3ty?:84?:3y]010<5:oo6974}r650?6=:rT?88523df90==z{=<86=4={_670>;4mm0?;6s|47094?4|V=>870=jd;65?xu3>90;6?uQ453896cc2=>0q~::f;296~X3<916?hj5429~w13b2909wS:<f:?0aa<3:2wx88j50;0xZ15b349nh7:>;|q71f<72;qU8>j4=2gg>16<uz>>n7>52z\77f=:;ln1?k5rs57b>5<5sW>8n63<ee80a>{t<<31<7<t^51b?85bl39o7p};5983>7}Y<:301>kk:2a8yv22?3:1>vP;399>7`b=;k1v999:181[22=278ii4:a:p023=838pR9;;;<1f`?3>3ty?;94?:3y]005<5:oo6864}r647?6=:rT?9?523df912=z{==96=4={_665>;4mm0>:6s|46394?4|V=?;70=jd;76?xu3?90;6?uQ45f896cc2<>0q~:9c;296~X3<;16?hj5519~w1062909wS:<7:?0aa<3=2wx88850;0xZ151349nh7=n;|qe0?6=ir7?>=4>449>6=5=;:16>485329>77?=;:16?>m5329>6f5=;:16>o=5329>73b=;:16?8j5329>7`b=<8h0q~=i4;297~;4n<08463<f5820d=:;o91?<5rs2d6>5<5s49m97?;a:?0b2<>=2wx?kh50;1x91672:201>hj:23896`a28>j7p};0183>7}:<9:1=9o4=521><3<uz9m?7>53z?0b1<40278j>4>4`9>7c1=1?1v>hj:18085am3;?m63<fg80<>;38;02:6s|3g494?2|5:lo6<:6;<1eg?731278jo4>489>7c1=9=90q~=ia;2967}:;on1=9o4=3c4>42>348oi7=;;<0g`?53348oo7=;;<0gf?53348om7=;;<0g=?53348o;7=;;<0g2?731279h54<4:?1b5<4<279ik4<4:?1a`<4<279ii4<4:?1af<4<279io4<4:?1ad<4<279i44<4:?1a=<4<279;o4>489>627=;=16>:>5359>63`=;=16>;k5359>63b=;=16>;m5359>63d=;=16>;o5359>63?=;=16>>o5359>661=;=16>>k515;8975c28>27p}<f883>73|5:lh6<:n;<3fa?5334;nh7=;;<3fg?5334;nn7=;;<3fe?5334;n57=;;<3f<?5334;n;7=;;<3f2?533489o7=;;<01f?533489m7=;;<01=?53348947=;;<013?533489:7=;;<011?53348987=;;<02e?53348:57=;;<02<?53348:;7=;;<022?53348:97=;;<020?53348:?7=;;<026?5334;i<7=;;<3bb?5334;ji7=;;<3b`?5334;jo7=;;<3bf?5334;jm7=;;<3b=?5334;j47=;;|q0b=<72;9p1>hm:06b?85d>39?70=l5;17?85d;39?70=l2;17?85d939?70=l0;17?85en39?70=me;17?85el39?70=mc;17?85ej39?70=ma;17?85e039?70=m7;17?85e>39?70=m5;17?85e<39?70=m3;17?85e:39?70=m1;17?85e839?70=nf;17?85dk39?70=lb;17?85di39?70=l9;17?85d039?70=l7;17?85d<39?70=m9;17?85fm39?70=nd;17?85bn33370=jd;355>{t<9;1<7=t=525>42>34>;97?;9:?747<6<:1v9>;:1813~;38?0:8l5235g951?<5:>o6<:6;<123?53349::7=;;<121?53349:87=;;<127?53349:>7=;;<125?53349987?;9:?05c<6<016?565359>7=1=;=16?585359>7=3=;=16?5:5359>7=5=;=16?5?515;896>72:>01>6=:26896?32:>01>7=:06:?85>;39?70=65;17?85>>39?70=67;17?85>039?70=69;17?85>i39?70=nc;17?85fj39?70=na;17?85f139?70=n8;17?85f?39?70=n6;17?85f=39?70=n4;17?xu38:0;6?;t=526>42f34;2:7=;;<3:1?5334;287=;;<3:7?5334;2>7=;;<3:5?5334;2<7=;;<3;b?5334;3i7=;;<3g0?5334;o?7=;;<3g6?5334;o=7=;;<3g4?5334;hj7=;;<3`a?5334;hh7=;;<3`g?5334;h>7=;;<3`5?5334;h<7=;;<3ab?5334;ii7=;;<3a`?5334;io7=;;<3af?5334;im7=;;<03<?53348;;7=;;<032?53348;97=;;<030?53348;?7=;;<036?53348;=7=;;<034?533ty9m54?:3y>6d1=;116>l751518yv4f?3:1;v3=a6820d=:::?15;5224d9=3=::h315;5222a9=3=:;ll1585224f9=1=z{:>m6=4<{<17a?5?349?h7=7;<164?73;2wx?9j50;4x962c28>j70==3;;5?852933=70=:0;;5?852:33=70=:3;;5?xu50;0;6?u2295976=::1<1=9=4}r0;g?6=:r794:4>589>6<7=9=90q~<n5;296~;50>0:8>522`;9=d=z{;2:6=4={<0;2?54348397?;3:p6=d=838p1?69:07:?84>83;??6s|29294?4|5;2>6>=4=3:7>4243ty94l4?:3y>6=3=9<301?6i:060?xu5?o0;6?u2296976=::191=9=4}r0;=?6=:r79494>589>6=c=9=90q~<78;296~;50:0:945229f9515<uz8297>52z?1=d<4;279544>429~w7?a2909w0<6a;36=>;5i=0:8>5rs3c5>5<5s482m7?;3:?1e<<>12wx>4:50;0x97?>2:901?77:060?xu51l0;6?u228;950?<5;k86<:<;|q1=6<72;q6>465329>6<1=9=90q~<6d;296~;5110:94522`09515<uz82>7>52z?1=2<4;2795;4>429~w7?d2909w0<67;36=>;5i80:8>5rs3;a>5<5s482:7?:9:?1e5<6<:1v><7:181855l39870==c;377>{t;:81<7<t=20g>43>3498;7?;3:p71d=838p1><k:060?85283337p}<2683>7}:;;i1?>5233`9515<uz98=7>52z?06f<6=016?>851518yv55>3:1>v3<2c807>;4:h0:8>5rs213>5<5s499n7?:9:?070<6<:1v><::181855i39870==9;377>{t;;l1<7<t=20b>43>349887?;3:p77c=838p1><6:07:?854;3;??6s|32`94?4|5:>;6>=4=21e>4243ty8884?:3y>716=9<301>:n:060?xu4<j0;6?u23529515<5:?;6494}r10e?6=:r78?k4<3:?07`<6<:1v>:;:181854n3;>563<488206=z{:926=4={<10a?543498h7?;3:p715=838p1>=j:07:?85303;??6s|32:94?4|5:9o6>=4=21`>4243ty88?4?:3y>76b=9<301>:8:060?xu4<80;6?u232a950?<5:>=6<:<;|q2gg<72>q6=485399>5a2=9=k01<8::848940120<01<87:8;8940020<01<86:848yv7?l3:18v3>97820d=:;=k15;523259=3=:;9815;5rs0;4>5<5s4;297=7;<351?73;2wx=5m50;6x94?228>j70=;9;;5?854>33=70=?1;;5?xu6110;6?u218697==:9?<1=9=4}r3;f?6=<r7:594>4`9>71?=1116?>85999>756=1?1v<76:18187>;39370?97;377>{t91k1<7:t=0;0>42f349?4779;<101??1348mj779;|q2=d<72<q6=4<5399>53g=1>16=;l5999>53e=1016=;651518yv7?13:18v3>93820d=:;=2155523279===::oo15;5rs0;a>5<5s4;2=7=7;<35=?73;2wx=5650;6x94?628>j70=;7;;5?854<33=70<id;;5?xu61j0;6?u218297==:9?k1=9=4}r3;3?6=<r7:5=4>4`9>711=1116?>:5999>6ce=1?1v<7k:18187?n39370?9b;377>{t91<1<7:t=0:e>42f349?:779;<107??1348mn779;|q2=`<72;q6=5k5399>53e=9=90q~?75;290~;60l0:8l523549===:;:9155522gc9=3=z{;886=48{<3fa?5?3489o7?;a:?230<>>27:;;466:?23=<>127:;:466:?23<<>>2wx=h;50;6x94cb28>j70<n4;;6?84>933>70<:2;;6?xu6mo0;6?u21df97==:9>?1=9=4}r3f0?6==r7:ii4>4`9>61?=;016>l=5979>6<6=1?16>8?5949~w4`72909w0?jc;1;?870>3;??6s|1d194?3|58oh6<:n;<07<?5>348j?777;<0:4??2348><77:;|q2b4<72;q6=hl5399>521=9=90q~?j2;291~;6mk0:8l5225597<=::h815;5229d9=3=::=l1585rs0d1>5<2s4;nm7=7;<34e??034;<n777;<34g??>34;<47?;3:p5`7=83?p1<kn:06b?843>39270<n2;;;?84?n33>70<;e;;6?xu6n:0;6?u21d;97==:9>31=9=4}r3f4?6==r7:i44>4`9>613=;016>l?5979>6=c=1?16>9j5949~w4`32909w0?j8;1;?870i3;??6s|1ed94?3|58o36<:n;<070?5>348j=777;<0;a??2348?o77:;|q2b0<72;q6=h95399>52d=9=90q~?ke;291~;6m>0:8l5225197<=::h:15;5229f9=3=::=h1585rs0d5>5<5s4;n:7=7;<34g?73;2wx=ij50;7x94c128>j70<;2;1:?84f833370<7d;;6?843i33>7p}=1083>7}::;i1?55220c951g<uz8:<7>52z?16g<40279=44>4`9~w745290=w0<=b;37e>;6?<02963>778:1>;6?102463>768:1>;6?00296s|21d94?4|5;8j6>64=33;>42f3ty9><4?:4y>67g=9=k01<99:858941?20=01<98:858941>20=0q~<?e;296~;5:008463=16820d=z{;8;6=4;{<01=?73i27:;5466:?232<>027:;4468:p65b=838p1?<7:2:8977128>j7p}=1g83>6}::;21=9o4=05;><3<58=26474}r03g?6=:r79>:4<8:?150<6<h1v??j:186845?3;?m63>7`8:2>;6?k02;63>7b8:<>;6?002m6s|21`94?4|5;8=6>64=337>42f3ty9=i4?:5y>670=9=k01<9n:878941e20<01<9l:858yv47i3:1>v3=2480<>;59:0:8l5rs33`>5<4s48997?;a:?23g<>=27:;n466:p65?=838p1?<;:2:8977528>j7p}=1c83>7}::;>1=9o4=05`><3<uz;i57>52z?2`1<4027:o?4>4`9~w4d?2909w0?k3;1;?87d93;?m6s|1bc94?0|58n86<:n;<351??234;=:77:;<35<???34;=;77:;<35=??23ty:n:4?:3y>5a4=;116=n>515c8yv7d13:19v3>d3820d=:9?<15:5217:9=2=:9?=15:5217;9=2=z{8h=6=4={<3g5?5?34;ij7?;a:p5f>=83>p1<j>:06b?871033=70?97;;;?87113337p}>b483>7}:9m:1?5521cg951g<uz;h;7>53z?2`5<6<h16=;65949>53?=101v<l;:18187dn39370?md;37e>{t9j<1<7;t=0ae>42f34;=m779;<35f??034;=o777;<35=??f3ty:n>4?:3y>5fc=;116=om515c8yv7d=3:18v3>cd820d=:9?k1585217`9=3=:9?i15:5rs0`1>5<5s4;hh7=7;<3af?73i2wx=n:50;1x94ec28>j70?9b;;6?871k33=7p}>b083>7}:9ji1?5521cc951g<uz;h?7>52z?2gf<6<h16=;m5949~w4`a2909w0<>a;1;?84703;?m6s|1gg94?4|5;;26>64=324>42f3ty:ji4?:3y>64>=;116>=8515c8yv7ak3:1>v3=1680<>;58<0:8l5rs0da>5<5s48::7=7;<030?73i2wx=ko50;0x97722:201?><:06b?xu6n00;6?u220697==::981=9o4}r3e<?6=:r79=>4<8:?144<6<h1v<h8:181846:39370<?0;37e>{t9h=1<7<t=0a1>6><58h;6<:n;|q2e3<72;q6=n?5399>5d`=9=k0q~?n5;296~;6k908463>ad820d=z{8k?6=4={<3ab?5?34;jh7?;a:p5d5=838p1<lj:2:894gd28>j7p}>a383>7}:9kn1?5521``951g<uz;j=7>52z?2ff<4027:ml4>4`9~w4g72909w0?mb;1;?87f13;?m6s|18d94?4|58hj6>64=0c;>42f3ty9i:4?:7y>5g6=;116>k>515c8940c20?01?7>:848973520<01>kk:076?xu6l<0;6?u21`d97==:9?n1=9=4}r3g2?6=:r7:mh4<8:?22`<6<:1v<j8:18187fl39370?9f;377>{t9m21<7<t=0c`>6><58=;6<:<;|q2`<<72;q6=ll5399>527=9=90q~?ka;296~;6ih08463>738206=z{8ni6=4={<3b=?5?34;<?7?;3:p5ae=838p1<o7:2:8941328>87p}<a283>1}::921?5523`a951g<58=o64;4=2gg>17>3ty9>i4?:3y>651=;116=:j51518yv45m3:1>v3=0780<>;6?l0:8>5rs30e>5<5s48;97=7;<34b?73;2wx>>>50;0x97632:201<6?:060?xu5;80;6?u221197==:91;1=9=4}r006?6=:r79<?4<8:?2<7<6<:1v?=<:181847939370?73;377>{t::>1<7<t=323>6><582?6<:<;|q0b5<7289p1?jj:23897bc2:;01?jl:23897be2:;01?jn:23897b>2:;01?j8:23897b12:;01?j7:23897`72:;01?ki:23897cb2:;01?kk:23897cd2:;01?km:23897cf2:;01?k6:23897c?2:;01?=::060?xu5j;0;6?u22eg97==::jh1=9=4}r0g1?6=<r79hh4>4`9>6c6=;116>l:5979>6fe=1<1v?l>:18184cl39370<la;377>{t:m>1<7:t=3fg>42f348nj7=7;<0b7??2348h>77:;|q1f5<72;q6>im5399>6f?=9=90q~<k3;290~;5lj0:8l522dg97==::h915:522b29=0=z{;km6=4={<0gf?5?348h47?;3:p6a4=83>p1?jm:06b?84bl39370<n2;;6?84em33>7p}=ad83>7}::mk1?5522b59515<uz8o=7>54z?1`d<6<h16>hm5399>6d4=1>16>om5949~w7gc2909w0<k9;1;?84d>3;??6s|2e294?2|5;n26<:n;<0ff?5?348j=77:;<0ae??23ty9mo4?:3y>6a1=;116>n:51518yv4dm3:18v3=d6820d=::l31?5522`29=0=::k<1585rs3cb>5<5s48o:7=7;<0`7?73;2wx>nj50;6x97b128>j70<j8;1;?84f833<70<m4;;6?xu5ij0;6?u22e:97==::j?1=9=4}r0`b?6=<r79h54>4`9>6`g=;116>l?5969>6g>=1<1v?m>:18184dj39870<l1;377>{t:ji1<7<t=3aa>`1<5;ih6<:<;|q1fc<72:q6>no5329>6f7=;:16>oh51518yv4d:3:1?v3=c`8f3>;5k80:94522b09515<uz8ih7>53z?1g<<4;279nk4<3:?1fa<6<:1v?m?:18084d13o<70<mf;36=>;5k90:8>5rs3`a>5<4s48h47=<;<0a`?54348in7?;3:p6gc=839p1?m7:d5897dc28?270<me;377>{t:k31<7=t=3a4>65<5;hi6>=4=3`:>4243ty9nn4?:2y>6f1=m>16>ol514;897dd28>87p}=b683>6}::j<1?>522c;976=::k=1=9=4}r0ae?6=;r79o;4j7:?1f<<6=016>oo51518yv4e=3:1?v3=c4807>;5j>08?63=b48206=z{;h36=4<{<0`1?c0348i;7?:9:?1f=<6<:1v?l<:18084d<39870<m5;10?84e;3;??6s|2c494?5|5;i?6h94=3`6>43>348i:7?;3:p6g2=839p1?m<:d5897d428?270<m4;377>{t:l<1<78t=3ge>42f34;=i77:;<35`??13482<777;<065??1349nh7?:3:p6`3=83<p1?kj:06b?871n33>70?9e;;5?84>833<70<:0;;5?85bl3;>>6s|2d694?0|5;oo6<:n;<344??234;=j779;<0;b???348?j779;<1f`?7292wx>h=50;4x97cd28>j70?81;;6?870833=70<7f;;4?843m33=70=jd;364>{t:l81<78t=3ga>42f34;<>77:;<345??13483i777;<07`??1349nh7?;f:p6`7=83<p1?kn:06b?870;33>70?82;;5?84?m33<70<;c;;5?85bl3;?i6s|2d294?0|5;o26<:n;<340??234;<?779;<0;`???348?n779;<1f`?73l2wx>ih50;7x97c?28>j70?84;;5?84?l33<70<;a;;5?85bl3;?o6s|25;94?4|5;?i6>=4=36:>4243ty99?4?:3y>60d=m>16>8<51518yv40i3:1>v3=5c8206=::>;1?55rs36;>5<4s48>m7=<;<07=?54348?47?;3:p607=839p1?;n:d58972>28?270<:1;377>{t:>31<7<t=37b>424348<<7=7;|q102<72:q6>875329>61>=;:16>9951518yv4283:1?v3=588f3>;5<10:94522429515<uz8<47>52z?11<<6<:16>;h5399~w7212908w0<:8;10?843?39870<;6;377>{t:=l1<7=t=37;>`1<5;><6<;6;<07b?73;2wx>:950;0x973?28>870<9e;1;?xu5<<0;6>u2245976=::=<1?>522579515<uz8?i7>53z?112<b?2798;4>589>61c=9=90q~<86;296~;5=>0:8>5227f97==z{;>?6=4<{<062?54348?97=<;<070?73;2wx>9j50;1x97312l=01?:::07:?843l3;??6s|26794?4|5;?=6<:<;<05g?5?3ty98>4?:2y>603=;:16>9:5329>615=9=90q~<;c;297~;5=<0n;63=45821<=::=i1=9=4}r040?6=:r79984>429>63d=;11v?:=:180842<39870<;3;10?843:3;??6s|25`94?5|5;??6h94=360>43>348?n7?;3:p625=838p1?;;:060?841i3937p}=4083>6}::<91?>52250976=::=;1=9=4}r07e?6=;r799>4j7:?107<6=016>9o51518yv40:3:1>v3=528206=::?31?55rs37f>5<5s48?=7=6;<06b?73;2wx>8m50;0x971e2:;01?;k:060?xu5?j0;6?u226`97==::>n1=9=4}r05<?6=:r79;<4>4`9>62b=101v?88:18184083;?m63=7e8:<>{t:?<1<7<t=34e>42f348<h778;|q120<72;q6>;k515c8971c20?0q~<94;296~;5>m0:8l5226g9=0=z{;<86=4={<05g?73i279;h468:p634=838p1?8m:06b?840l33=7p}=6083>7}::?k1=9o4=35f><0<uz8=<7>52z?12<<6<h16>:k5969~w75e2909w0<<a;1;?844k3;??6s|22c94??|5;9j6<:n;<074??03488:779;<00=??234889778;<06b??0348j5778;<00g??2349nj776;|q17=<72;q6>>95399>66?=9=90q~<<7;29=~;5;>0:8l522529=3=:::<1585222;9=3=:::?1555224d9===::h31555222a9=2=:;ll15;5rs31e>5<4s488i7=7;<00`?5?348?<7?;3:p66b=838p1?=k:06b?843833>7p}=f883>7}:;931?>522g;9515<uz9;>7>52z?04<<b?278<?4>429~w67b2909w0=?9;377>;49>0846s|2g:94?5|5::36>=4=3d:>65<5;l36<:<;|q044<72:q6?=65e69>6c?=9<301>>>:060?xu49m0;6?u231:9515<5:;=6>64}r1:4?6==r79j44<9:?0=<<6<h16?ll5399>760=1<16?=?5949~w7`02908w0=?7;10?84a039870<i7;377>{t;9:1<7=t=224>`1<5;l36<;6;<134?73;2wx?<m50;0x966028>870=>5;1;?xu40o0;68u22g:97<=:;021=9o4=2cb>6><5:9=6494=223><3<uz8m:7>53z?043<4;279j:4<3:?1b3<6<:1v?hi:180857>3o<70<i7;36=>;5no0:8>5rs23a>5<5s49;:7?;3:?051<402wx?5k50;7x97`02:301>78:06b?85f139370=<5;;6?84an33>7p}=f483>6}:;9?1?>522g4976=::o?1=9=4}r0ea?6=;r78<84j7:?1b3<6=016>kk51518yv56i3:1>v3<048206=:;891?55rs2:g>5<2s48m:7=6;<1:2?73i278m54<8:?070<>?279jh465:p6c2=839p1>>;:21897`22:901?h;:060?xu5nm0;6>u23169a2=::o?1=874=3dg>4243ty8=44?:3y>752=9=901>?=:2:8yv5?k3:19v3=f480=>;41<0:8l523`597==:;:>158522gf9=0=z{;l86=4<{<137?54348m87=<;<0e7?73;2wx>km50;1x96642l=01?h;:07:?84ak3;??6s|30:94?4|5::86<:<;<125?5?3ty84o4?:4y>6c2=;016?4:515c896g12:201>=;:85897`d20?0q~<i2;296~;5n:08?63=f38206=z{:2j6=4:{<0e7?5>3492?7?;a:?0e0<40278?>465:?1bg<>=2wx>kl50;0x97`428?270<ib;377>{t:o;1<7<t=3d1>65<5;l:6<:<;|q0<<<72<q6>k<5389>7<4=9=k01>o;:2:8965420=01?hn:878yv4ai3:1>v3=f3821<=::ok1=9=4}r165?6=:r79j<4<9:?017<6<:1v>??:181856?3;?m63<218:2>{t;9l1<7<t=235>42f3499<77n;|q04`<72;q6?<;515c8964720=0q~=?d;296~;49=0:8l523329===z{::h6=4={<127?73i278><465:p75d=838p1>?=:06b?855933=7p}<0`83>7}:;8;1=9o4=202><1<uz9987>53z?061<6<h16??=5949>776=1<1v><=:181856n39:70==3;377>{t;;:1<7<t=23e>6><5:8;6<:<;|q017<728ip1>67:23896>02:;01>69:23896>22:;01>6;:23896>42:;01>6>:23896>72:;01>6=:23896?32:;01>7=:23896?42:;01>7::23896?12:;01>78:23896??2:;01>76:23896?f2:;01>ol:23896ge2:;01>on:23896g>2:;01>o7:23896g02:;01>o9:23896g22:;01>o;:238963428>87p}<5b83>7}:;121?5523679515<uz9<j7>54z?0<=<6<h16?4o5399>71g=1<16?:85949~w63e2909w0=77;1;?850<3;??6s|36g94?2|5:2<6<:n;<1:=?5?349?577:;<15g??23ty89l4?:3y>7=0=;116?:=51518yv50l3:18v3<87820d=:;021?55235;9=2=:;?k1585rs27:>5<5s49397=7;<146?73;2wx?:m50;6x96>228>j70=67;1;?853033>70=98;;6?xu4=10;6?u239697==:;>;1=9=4}r14f?6=<r78494>4`9>7<0=;116?965969>730=1<1v>;8:18185?;39370=80;377>{t;>k1<7:t=2:0>42f349297=7;<173??2349=877:;|q010<72;q6?5?5399>73c=9=90q~=88;290~;4080:8l5238197==:;=<158523729=0=z{:??6=4={<1;4?5?349=h7?;3:p721=83>p1>6?:06b?85>:39370=;6;;4?852m33>7p}<5783>7}:;181?55237d9515<uz9<57>54z?0<7<6<h16?4:5399>711=1>16?;<5949~w60e2909w0=85;10?851j3;??6s|36494?4|5:=>6h94=255>4243ty8:44?:2y>722=;:16?;l5329>73?=9=90q~=9c;297~;4?=0n;63<6c821<=:;?i1=9=4}r153?6=;r78;>4<3:?02<<4;278::4>429~w60f2908w0=83;g4?85113;>563<6`8206=z{:<>6=4<{<146?54349=;7=<;<151?73;2wx?;650;1x96152l=01>88:07:?85103;??6s|37194?5|5:=:6>=4=246>65<5:<86<:<;|q023<72:q6?:?5e69>733=9<301>89:060?xu4>80;6>u2362976=:;?91?>523739515<uz9=87>53z?035<b?278:>4>589>732=9=90q~=:f;297~;4>o08?63<60807>;4=o0:8>5rs241>5<4s49=j7k8;<155?721278:?4>429~w63c2908w0=9e;10?852n39870=:d;377>{t;?:1<7=t=24f>`1<5:?m6<;6;<154?73;2wx?8k50;1x960c2l=01>;k:07:?852m3;??6s|38394?2|5:3j6<:n;<1bg?5?3498;77:;<136??23ty8m?4?:5y>7dd=9=k01<9j:878941c20<01>kk:534?xu4i80;69u23`c951g<58=m64;4=05f><0<5:oo69?9;|q0e5<72=q6?l7515c894>720?01<9i:84896cc2=;>7p}<9g83>1}:;h21=9o4=0:2><3<582;6484=2gg>1733ty85h4?:5y>7d1=9=k01<6=:87894>620<01>kk:530?xu41m0;69u23`4951g<582864;4=0:1><0<5:oo69?=;|q0=f<72=q6?l;515c894>320?01<6<:84896cc2=;:7p}<9c83>6}:;h>1=9o4=0:7><0<5:oo69??;|q0b4<72;;p1>m9:23896e22:;01>m<:23896e52:;01>m>:23896e72:;01>li:23896db2:;01>lk:23896dd2:;01>lm:23896df2:;01>l7:23896d02:;01>l9:23896d22:;01>l;:23896d42:;01>l=:23896d62:;01>l?:23896ga2:;01>ml:23896ee2:;01>mn:23896e>2:;01>m7:23896e02:;01>m;:23896d>2:;01>oj:23896gc2:;01?=9:060?xu4m<0;6?u23b497==:;ln1;45rs2g7>5<5s49h97=7;<1f`?103ty8i?4?:3y>7f5=;116?hj5749~w6c62909w0=l2;1;?85bl3=?7p}<e183>7}:;j;1?5523df936=z{:nm6=4={<1`4?5?349nh79=;|q0``<72;q6?oh5399>7`b=?81v>jk:18185em39370=jd;53?xu4lj0;6?u23cf97==:;ln1:k5rs2fa>5<5s49io7=7;<1f`?0b3ty8hl4?:3y>7gd=;116?hj56e9~w6b>2909w0=ma;1;?85bl3<h7p}<d683>7}:;k21?5523df92d=z{:n=6=4={<1a3?5?349nh786;|q0`0<72;q6?o85399>7`b=>11v>j;:18185e=39370=jd;44?xu4l:0;6?u23c697==:;ln1:;5rs2f1>5<5s49i?7=7;<1f`?023ty8h<4?:3y>7g4=;116?hj5659~w6b72909w0=m1;1;?85bl3<87p}<cg83>7}:;k:1?5523df927=z{:in6=4={<1bb?5?349nh78>;|q0af<72;q6?nm5399>7`b=091v>km:18185dj39370=jd;5e?xu4mh0;6?u23bc97==:;ln1;h5rs2g:>5<5s49h57=7;<1f`?1c3ty8i54?:3y>7f>=;116?hj57b9~w6c02909w0=l7;1;?85bl3=i7p}<e783>7}:;j>1?5523df93d=z{:o86=4={<1a=?5?349nh799;|q0`=<72;q6?lk5399>7`b=>k1v>mk:18185fl39370=jd;43?xu4n;0;68u23439515<5:oo69>i;<1f`?27l278ii4;0b9>7`b=<9h0q~?<5;296~;5?l0:8>5226f9=d=z{89=6=4={<115?73;278>=469:p7`c=838p1>ki:060?85bl3;>;6srs54e>5<5sW>?j63=c;67b>"38>0:?:5rs54g>5<5sW>?i63=c;67a>"38>0:?55rs54a>5<5sW>?o63=c;67g>"38>0:?45rs54b>5<5sW>?n63=c;67f>"38>0:?l5rs54:>5<5sW>?m63=c;67e>"38>0m96s|47:94?4|V=>270<l:56:?!27?3l=7p};6683>7}Y<=201?m545:8 16028:;7p};6783>7}Y<==01?m54558 16028:i7p};6483>7}Y<=<01?m54548 16028;=7p};6583>7}Y<=?01?m54578 16028;n7p};6283>7}Y<=>01?m54568 16028;m7p};6383>7}Y<=901?m54518 160288;7p};6183>7}Y<=;01?m54538 160288:7p};5g83>7}Y<=:01?m54528 16028897p};5d83>7}Y<:l01?m542d8 16028887p};5e83>7}Y<:o01?m542g8 160288?7p};5b83>7}Y<:n01?m542f8 160288>7p};5c83>7}Y<:i01?m542a8 160288=7p};5`83>7}Y<:h01?m542`8 160288<7p};5883>7}Y<:k01?m542c8 16028837p};5983>7}Y<:301?m542;8 16028827p};5683>7}Y<:201?m542:8 160288j7p};7783>7}Y<<?01?m54478 160288i7p};7483>7}Y<<>01?m54468 160288h7p};7583>7}Y<<901?m54418 160288o7p};7283>7}Y<<801?m54408 160288n7p};7383>7}Y<<;01?m54438 160288m7p};7083>7}Y<<:01?m54428 160289;7p};7183>7}Y<=n01?m545f8 160289:7p};6b83>7}Y<=801?m54508 16028997p};6083>7}Y<:=01?m54258 16028987p};5783>7}Y<:<01?m54248 160289?7p}:0083>7}Y=9;01?m55138 160289i7p}:0e83>7}Y=9n01?m551f8 160289h7p}:0g83>7}Y=9l01?m551d8 160289o7p}:1683>7}Y=8=01?m55058 160289n7p}:2383>7}Y=;801?m55308 160289m7p};b183>7}Y<0:01?m54828 1602o=0q~:ne;296~X30o16>n4;8g9'051=n11v9ol:181[2?l279o7:7d:&742<a12wx8ll50;0xZ1>d348h696l;%633?`f3ty?ml4?:3y]0=d<5;i185l4$524>cd<uz>j57>52z\7<d=::j0?4l5+4159bf=z{=k36=4={_6;=>;5k3>356*;068e`>{t<h=1<7<t^5:;?84d2=237):?7;df?xu3i?0;6?uQ495897e=<1=0(9>8:gd8yv2f=3:1>vP;879>6f<30?1/8=951138yv2f<3:1>vP;849>6f<30<1/8=951108yv2f;3:1>vP;859>6f<30=1/8=951118yv2f93:1>vP;839>6f<30;1/8=951168yv2f83:1>vP;809>6f<3081/8=951178yv2>n3:1>vP;819>6f<3091/8=951148yv2>m3:1>vP;7g9>6f<3?o1/8=951158yv2>l3:1>vP;7d9>6f<3?l1/8=9511:8yv2>k3:1>vP;7e9>6f<3?m1/8=9511;8yv2>j3:1>vP;7b9>6f<3?j1/8=9511c8yv2>i3:1>vP;7c9>6f<3?k1/8=9511a8yv2>13:1>vP;7`9>6f<3?h1/8=9511f8yv2>03:1>vP;789>6f<3?01/8=9511g8yv2e?3:1>vP;979>6f<31?1/8=9511d8yv2e>3:1>vP;949>6f<31<1/8=951028yv2e=3:1>vP;959>6f<31=1/8=951038yv2e<3:1>vP;929>6f<31:1/8=951008yv2e;3:1>vP;939>6f<31;1/8=951018yv2e:3:1>vP;909>6f<3181/8=951068yv2e93:1>vP;8d9>6f<30l1/8=951078yv2fl3:1>vP;829>6f<30:1/8=951058yv2f:3:1>vP;799>6f<3?11/8=9510:8yv2>?3:1>vP;769>6f<3?>1/8=9510;8yv2e13:1>vP;b89>6f<3j01/8=9510c8yv2en3:1>vP;bg9>6f<3jo1/8=9510`8yv2d;3:1>vP;c29>6f<3k:1/8=9510a8yv2b:3:1>vP;e39>6f<3m;1/8=9510f8yxhfj;0;6?uG41:8ykge;3:1>vF;099~jdd32909wE:?8:meg3=838pD9>7;|lbf3<72;qC8=64}oca3?6=:rB?<55rn``;>5<5sA>;46saac;94?4|@=:37p`nb`83>7}O<920qcomb;296~N3811vblll:181M2702wemoj50;0xL16?3tdjnh4?:3yK05><ugkij7>52zJ74==zfhi;6=4={I63<>{iij;1<7<tH52;?xhfk;0;6?uG41:8ykgd;3:1>vF;099~jde32909wE:?8:mef3=838pD9>7;|lbg3<72;qC8=64}oc`3?6=:rB?<55rn`a;>5<5sA>;46saab;94?4|@=:37p`nc`83>7}O<920qcolb;296~N3811vblml:181M2702wemnj50;0xL16?3tdjoh4?:3yK05><ugkhj7>52zJ74==zfhn;6=4={I63<>{iim;1<7<tH52;?xhfl;0;6?uG41:8ykgc;3:1>vF;099~jdb32909wE:?8:mea3=838pD9>7;|lb`3<72;qC8=64}ocg3?6=:rB?<55rn`f;>5<5sA>;46saae;94?4|@=:37p`nd`83>7}O<920qcokb;296~N3811vbljl:181M2702wemij50;0xL16?3tdjhh4?:3yK05><ugkoj7>52zJ74==zfho;6=4={I63<>{iil;1<7<tH52;?xhfm;0;6?uG41:8ykgb;3:1>vF;099~jdc32909wE:?8:me`3=838pD9>7;|lba3<72;qC8=64}ocf3?6=:rB?<55rn`g;>5<5sA>;46saad;94?4|@=:37p`ne`83>7}O<920qcojb;296~N3811vblkl:181M2702wemhj50;0xL16?3tdjih4?:3yK05><ugknj7>52zJ74==zfhl;6=4={I63<>{iio;1<7<tH52;?xhfn;0;6?uG41:8ykga;3:1>vF;099~jd`32909wE:?8:mec3=838pD9>7;|lbb3<72;qC8=64}oce3?6=:rB?<55rn`d;>5<5sA>;46saag;94?4|@=:37p`nf`83>7}O<920qc6je;295~N3811vb4=8:182M2702we5>650;3x c6==890D9>7;|l:7<<728q/j=4:129K05><ug38m7>51z&e4?36;2B?<55rn81a>5<6s-l;68?<;I63<>{i1:i1<7?t$g29145<@=:37p`63e83>4}#n90>=>5G41:8yk?4m3:1=vF;099~j<5a290:wE:?8:m=16=83;pD9>7;|l:04<728qC8=64}o;76?6=9rB?<55rn860>5<6sA>;46sa95694?7|@=:37p`64483>4}O<920qc7;6;295~N3811vb4:8:182M2702we59650;3xL16?3td2844?:0yK05><ug3?m7>51zJ74==zf0>i6=4>{I63<>{i1=i1<7?tH52;?xh><m0;6<uG41:8yk?3m3:1=vF;099~j<2a290:wE:?8:m=06=83;pD9>7;|l:14<728qC8=64}o;66?6=9rB?<55rn870>5<6sA>;46sa94694?7|@=:37p`65483>4}O<920qc7:6;295~N3811vb4;8:182M2702we58650;3xL16?3td2944?:0yK05><ug3>m7>51zJ74==zf0?i6=4>{I63<>{i1<i1<7?tH52;?xh>=m0;6<uG41:8yk?2m3:1=vF;099~j<3a290:wE:?8:m=36=83;pD9>7;|l:24<728qC8=64}o;56?6=9rB?<55rn840>5<6sA>;46sa97694?7|@=:37p`66483>4}O<920qc796;295~N3811vb488:182M2702we5;650;3xL16?3td2:44?:0yK05><ug3=m7>51zJ74==zf0<i6=4>{I63<>{i1?i1<7?tH52;?xh>>m0;6<uG41:8yk?1m3:1=vF;099~j<0a290:wE:?8:m=26=83;pD9>7;|l:34<728qC8=64}o;46?6=9rB?<55rn850>5<6sA>;46sa96694?7|@=:37p`67483>4}O<920qc786;295~N3811vb498:182M2702we5:650;3xL16?3td2;44?:0yK05><ug3<m7>51zJ74==zf0=i6=4>{I63<>{i1>i1<7?tH52;?xh>?m0;6<uG41:8yk?0m3:1=vF;099~j<1a290:wE:?8:m==6=83;pD9>7;|l:<4<728qC8=64}o;;6?6=9rB?<55rn8:0>5<6sA>;46sa99694?7|@=:37p`68483>4}O<920qc776;295~N3811vb468:182M2702we55650;3xL16?3td2444?:0yK05><ug33m7>51zJ74==zf02i6=4>{I63<>{i11i1<7?tH52;?xh>0m0;6<uG41:8yk??m3:1=vF;099~j<>a290:wE:?8:m=<6=83;pD9>7;|l:=4<728qC8=64}o;:6?6=9rB?<55rn8;0>5<6sA>;46sa98694?7|@=:37p`69483>4}#n90>=>5G41:8yk?>>3:1=v*i0;727>N3811vb478:182M2702we54650;3x c6==890D9>7;|l:=<<728qC8=64}o;:e?6=9r.m<7;>3:J74==zf03i6=4>{I63<>{i10i1<7?t$g29145<@=:37p`69e83>4}O<920qc76e;295~"a83?:?6F;099~j<?a290:wE:?8:m=d6=83;p(k>55018L16?3td2m<4?:0yK05><ug3j>7>51z&e4?36;2B?<55rn8c0>5<6sA>;46sa9`694?7|,o:19<=4H52;?xh>i<0;6<uG41:8yk?f>3:1=v*i0;727>N3811vb4o8:182!`72<;87E:?8:m=d>=83;pD9>7;|l:e<<728q/j=4:129K05><ug3jm7>51zJ74==zf0ki6=4>{%d3>0743A>;46sa9`a94?7|@=:37p`6ae83>4}#n90>=>5G41:8yk?fm3:1=vF;099~j<ga290:w)h?:430?M2702we5o>50;3xL16?3td2n<4?:0y'b5<29:1C8=64}o;a6?6=9rB?<55rn8`0>5<6s-l;68?<;I63<>{i1k>1<7?tH52;?xh>j<0;6<u+f18656=O<920qc7m6;295~N3811vb4l8:182M2702we5o650;3xL16?3td2n44?:0yK05><ug3im7>51zJ74==zf0hi6=4>{I63<>{i1ki1<7?tH52;?xh>jm0;6<uG41:8yk?em3:1=vF;099~j<da290:wE:?8:m=f6=83;pD9>7;|l:g4<728qC8=64}o;`6?6=9rB?<55rn8a0>5<6sA>;46sa9b694?7|@=:37p`6c483>4}O<920qc7l6;295~N3811vb4m8:182M2702we5n650;3xL16?3td2o44?:0yK05><ug3hm7>51zJ74==zf0ii6=4>{I63<>{i1ji1<7?tH52;?xh>km0;6<uG41:8yk?dm3:1=vF;099~j<ea290:wE:?8:m=a6=83;pD9>7;|l:`4<728qC8=64}o;g6?6=9rB?<55rn8f0>5<6sA>;46sa9e694?7|@=:37p`6d483>4}O<920qc7k6;295~N3811vb4j8:182M2702we5i650;3xL16?3td2h44?:0yK05><ug3om7>51zJ74==zf0ni6=4>{I63<>{i1mi1<7?tH52;?xh>lm0;6<uG41:8yk?cm3:1=vF;099~j<ba290:wE:?8:m=`6=83;pD9>7;|l:a4<728qC8=64}o;f6?6=9rB?<55rn8g0>5<6sA>;46sa9d694?7|@=:37p`6e483>4}O<920qc7j6;295~N3811vb4k8:182M2702we5h650;3xL16?3td2i44?:0yK05><ug3nm7>51zJ74==zf0oi6=4>{I63<>{i1li1<7?tH52;?xh>mm0;6<uG41:8yk?bm3:1=vF;099~j<ca290:wE:?8:m=c6=83;pD9>7;|l:b4<728qC8=64}o;e6?6=9rB?<55rn8d0>5<6sA>;46sa9g694?7|@=:37p`6f483>4}O<920qc7i6;295~N3811vb4h8:182M2702we5k650;3xL16?3td2j44?:0yK05><ug3mm7>51zJ74==zf0li6=4>{I63<>{i1oi1<7?tH52;?xh>nm0;6<uG41:8yk?am3:1=vF;099~j<`a290:wE:?8:me56=83;pD9>7;|lb44<728qC8=64}oc36?6=9rB?<55rn`20>5<6sA>;46saa1694?7|@=:37p`n0483>4}O<920qco?6;295~N3811vbl>8:182M2702wem=650;3xL16?3tdj<44?:0yK05><ugk;m7>51zJ74==zfh:i6=4>{I63<>{ii9i1<7?tH52;?xhf8m0;6<uG41:8ykg7m3:1=vF;099~jd6a290:wE:?8:me46=83;pD9>7;|lb54<728qC8=64}oc26?6=9rB?<55rn`30>5<6sA>;46saa0694?7|@=:37p`n1483>4}O<920qco>6;295~N3811vbl?8:182M2702wem<650;3xL16?3tdj=44?:0yK05><ugk:m7>51zJ74==zfh;i6=4>{I63<>{ii8i1<7?tH52;?xhf9m0;6<uG41:8ykg6m3:1=vF;099~jd7a290:wE:?8:me76=83;pD9>7;|lb64<728qC8=64}oc16?6=9rB?<55rn`00>5<6sA>;46saa3694?7|@=:37p`n2483>4}O<920qco=6;295~N3811vbl<8:182M2702wem?650;3xL16?3tdj>44?:0yK05><ugk9m7>51zJ74==zfh8i6=4>{I63<>{ii;i1<7?tH52;?xhf:m0;6<uG41:8ykg5m3:1=vF;099~jd4a290:wE:?8:me66=83;pD9>7;|lb74<728qC8=64}oc06?6=9rB?<55rn`10>5<6sA>;46saa2694?7|@=:37p`n3483>4}O<920qco<6;295~N3811vbl=8:182M2702wem>650;3xL16?3tdj?44?:0yK05><ugk8m7>51zJ74==zfh9i6=4>{I63<>{ii:i1<7?tH52;?xhf;m0;6<uG41:8ykg4m3:1=vF;099~jd5a290:wE:?8:me16=83;pD9>7;|lb04<728qC8=64}oc76?6=9rB?<55rn`60>5<6sA>;46saa5694?7|@=:37p`n4483>4}O<920qco;6;295~N3811vbl:8:182M2702wem9650;3xL16?3tdj844?:0yK05><ugk?m7>51zJ74==zfh>i6=4>{I63<>{ii=i1<7?tH52;?xhf<m0;6<uG41:8ykg3m3:1=vF;099~jd2a290:wE:?8:me06=83;pD9>7;|lb14<728qC8=64}oc66?6=9rB?<55rn`70>5<6sA>;46saa4694?7|@=:37p`n5483>4}O<920qco:6;295~N3811vbl;8:182M2702wem8650;3xL16?3tdj944?:0yK05><ugk>m7>51zJ74==zfh?i6=4>{I63<>{ii<i1<7?tH52;?xhf=m0;6<uG41:8ykg2m3:1=vF;099~jd3a290:wE:?8:me36=83;pD9>7;|lb24<728qC8=64}oc56?6=9rB?<55rn`40>5<6sA>;46saa7694?7|@=:37p`n6483>4}O<920qco96;295~N3811vbl88:182M2702wem;650;3xL16?3tdj:44?:0yK05><ugk=m7>51zJ74==zfh<i6=4>{I63<>{ii?i1<7?tH52;?xhf>m0;6<uG41:8ykg1m3:1=vF;099~jd0a290:wE:?8:me26=83;pD9>7;|lb34<728qC8=64}oc46?6=9rB?<55rn`50>5<6sA>;46saa6694?7|@=:37p`n7483>4}O<920qco86;295~N3811vbl98:182M2702wem:650;3xL16?3tdj;44?:0yK05><ugk<m7>51zJ74==zfh=i6=4>{I63<>{ii>i1<7?tH52;?xhf?m0;6<uG41:8ykg0m3:1=vF;099~jd1a290:wE:?8:me=6=83;pD9>7;|lb<4<728qC8=64}oc;6?6=9rB?<55rn`:0>5<6sA>;46saa9694?7|@=:37p`n8483>4}O<920qco76;295~N3811vbl68:182M2702wem5650;3xL16?3tdj444?:0yK05><ugk3m7>51zJ74==zfh2i6=4>{I63<>{ii1i1<7?tH52;?xhf0m0;6<uG41:8ykg?m3:1=vF;099~jd>a290:wE:?8:me<6=83;pD9>7;|lb=4<728qC8=64}oc:6?6=9rB?<55rn`;0>5<6sA>;46saa8694?7|@=:37p`n9483>4}O<920qco66;295~N3811vbl78:182M2702wem4650;3xL16?3tdj544?:0yK05><ugk2m7>51zJ74==zfh3i6=4>{I63<>{ii0i1<7?tH52;?xhf1m0;6<uG41:8ykg>m3:1=vF;099~jd?a290:wE:?8:med6=83;pD9>7;|lbe4<728qC8=64}ocb6?6=9rB?<55rn`c0>5<6sA>;46saa`694?7|@=:37p`na483>4}O<920qcon6;295~N3811vblo8:182M2702weml650;3xL16?3tdjm44?:0yK05><ugkjm7>51zJ74==zfhki6=4>{I63<>{iihi1<7?tH52;?xhfim0;6<uG41:8ykgfm3:1=vF;099~jdga290:wE:?8:meg6=83;pD9>7;|lbf4<728qC8=64}|~DEE|kj:1n98<492;yEFEs9wKL]ur@A
/trunk/npi_vga_v1_00_b/netlist/fifo_v4_32.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:20:27 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc4vfx12
SET devicefamily = virtex4
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = sf363
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -12
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_v4_32
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=32
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: d3e6bad
 
/trunk/npi_vga_v1_00_b/netlist/fifo_v5_32.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:22:23 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc5vlx20t
SET devicefamily = virtex5
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = ff323
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_v5_32
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=32
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: df3ce904
 
/trunk/npi_vga_v1_00_b/netlist/fifo_v4_64.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$70040<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>=;137?5>0N81:?6?!00d855<NFY__6}|`g^gntqX|axne26:1<12>772@D[YY4rne\ahvsqV~c~h}g_`qpawr;13:5>;5>0;KMTPR=x{elShctx]wlwct`Vdnklzj<883:4e<990DYY^ZT;pg[agsiVidycz39;2=0>74NO;?7<;5IORVP?GCL[K7=94?>06850<NFY__6LJKRC>20?69928:>6==:HLSQQ<CAH68=7>113906?OIX\^1HDL33083:45<;;0DYY^ZT;FLE956294:?6==:NWWTPR=LFH7?<4?>06877<H]]Z^X7j`uu>05?69<298>><4393807=398;087GAPTV9@LVF4:0;2<?44;KMTPR=L@ZI0>4?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>d97rc~6:zl8:>#>009557=1<3CE\XZ5dhl?26<76;1=59599847?3?F9;1=I<584::7642<012;=67;;823=7=>>=12:;7>d:;9MKVR\3zycjQiumn\pmtb{a636=0=4:;9MKVR\3zycjQiumn\pmtb{aUj~k}t=:94;43300BB][[:qplcZ`rdeUdk|h^lfcdrb410;2<649;MVPUSS2mkmRm`uov?<?699k126B[[PTV9swYci}kTob{at=:94;><IMNYM1>19:CG@WG;99427LJKR@>25;?<IMNYM1?=>89B@ATF4895n6OKDSC?51<7601JHI\N<06=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF975601JHI\M<01=f>GCL[H7=94?>89B@ATE48>546OKDS@?5;><IMNYN1<18:CG@WD;;720MIJ]B=6=<>GCL[H79364AEFQF90902KOH_L37?:8EABUJ52546OKDS@?=;?<IZSEOR\JG09A7>DR:11IY^QFNGM2?F2<K9N;86MN8@c8GDUDIMOBBLo4C@Q@EACNFKh0OL]LAEGLQQGe3JKXOLJJOTVA0>EDLJ80OH?=;BJFGNYKAJOE_HQ[YQG1?FO33JF@M95LLJ@0?FJU12IDA@G[TDF20>EHZLULICK]AUKLJZOINF=0O_KNTDF2?A4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=908;EKB8439?2NBM1?9>69GMD:6?7=0HDO319<4?AOF4835:6JFA=3=3>BNI58;2:5KI@>15;1<L@K7>?08;EKB8759?2NBM1<;>69GMD:5=7=0HDO327<4?AOF4;=5;6JFA=0;:2=CAH695384DHC?6;1<L@K7?=06;EKB867=87=0HDO330<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:17:FJF9726>1OEO2>6?58@LD;9>4<7IGM<0:=3>BNJ5;22;5KIC>2:2=CAK69<394DH@?64803MCI0?<17:FJF9446>1OEO2=4?58@LD;:<4<7IGM<34=3>BNJ58<2:5KIC>1<;1<L@H7>409;EKA87803MCI0>>19:FJF956294<7IGM<23=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535;6JFP@>3:2=CAYK7=394DHRB878>3MC[M1=50?58@LVF4:4<7IG_B=2=3>BNXK6:2:5KIQ@?6;?<L@ZI0>4?>69GMUD;;7<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;1<LFK7=908;EMB8439?2NDM1?9>69GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1<LFK7>?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;1<LFK7?=06;EMB867=87=0HBO330<5?AIF4:4=7IAN<5<5?AIF4<4=7IAN<7<5?AIF4>4=7IAN<9<5?AIF404<7IAN_SGD2>BHJ5:5;6J@B=33:2=CGK6:=394DN@?57803MEI0<=17:FLF9736>1OCO2>5?58@JD;9?4<7IAM<05=3>BHJ5;32:5KOC>2=;0<LFH7=394DN@?65803MEI0??17:FLF9456>1OCO2=3?58@JD;:=4<7IAM<37=3>BHJ58=2:5KOC>13;1<LFH7>508;EMA87?9>2NDN1<17:FLF957601OCO2<1;2=3>BHJ59:2;5KOC>0:3=CGK6?2;5KOC>6:3=CGK6=2;5KOC>4:3=CGK632;5KOC>::2=CGKUYIJ94DNRB85803ME[M1?17:FLTD:5601OC]O33;2=3>BHXH682:5KOQ@?4;1<LFZI0<08;EMSF94912ND\O2<:1<4?AIWJ595?6KAA29FJG4<N1?0JLB\E39E@6=ALJ>0JIMJ3:DGA6=ALY>0JI^J4:DE652<NO?<86HIE@78Bdjtm81L?6IAD09J6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL6;HLJPZ@NDL90ECX>2:KMR@TSWJF@SIAN_SGD57=NF_OYXRMCK^FLFZTBO:1BCO<4LH08HJ0<DFKOII94LNEJGDJ33E__=95CUU05?ISS:VF?7A[[379OQQ5XD=1GYY:9;MWW0ZJ23DYLCC;4MTZ@]0=J]QL>o6CnjnpUawunggi0Ad``rWgqwlii:2D:?6@>029M545<F88?7C?=759M57>43G;886@>3168J455<2D:?>=4N067?K73>=1E=99;;O37<1=I9=387C?:4:L2152<F8?:86@>5368J434<2D:99:4N0760>H6=?>0B<;84:L21=2<F8?2?6@>659M53633G;==95A1707?K71;=1E=;:;;O3511=I9?<?7C?9759M53>33G;=5>5A1668J417<2D:;<:4N0510>H6?:>0B<9;4:L2302<F8==86@>7668J41?<2D:;4=4N0:7?K7?9=1E=5<<;O3:7>H58:1E><=4N300?K44;2D98>5A2418J7043G8<?6@=829M6<5<F::87C=>3:L066=I;:90B>:<;O167>H4>:1E?:=4N2:0?K5>;2D?<>5A4018J1443G>8?6@;429M005<F=<87C:83:L7<6=I<090B8><;O727>H2::1E9>=4N460?K32;2D>:>5A5618J0>43G?2>6@93:L546=I>890B;<<;O407>H1<:1E:8=4N740?K00;2D=4>5A6808J24<F180B4h4NC]AQVVNFVZYC]]8;OGWSJTL<2DDBH?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_9;SCNF40a3[KFSHV[EOQJKKYFn2XJARKWTDLPMJHXJj1YILJPWHFWLZGd3[OJHRYFDUJ\F3=UMNINM;5]EFAFF4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF77=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2344YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1230ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678<UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=8PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0124[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv5670VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<=Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?013\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4565W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;?R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?05]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3453XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:=S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?7^Pfwpjs9;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6:2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2=>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>0:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:36;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6>2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec29>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>4:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:?6;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag622?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ>219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^014>S7'nxm"h gbz-gim'{nT|cz}_ckm[6473\:$kh!rg-dg}(ddbr$~iQnup\flhX<;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU>>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR8=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_603?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\<76<]9%l~k }f.e`|+ekcq%yhR~ats]amkY>:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?0358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw6789;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012262=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;=<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34545?2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>=1348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678:8<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?011163=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;8?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234175>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>:269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567=88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?0141g>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:==<Q\W10`?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<:=R]X1358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?89:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012462=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;<<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2?>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=3=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`878582_;#j|i.sd,cf~)keas#jPpovq[be;;78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6?2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1;1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<7<14>S7'nxm"h gbz-gim'{nT|cz}_fa?3;473\:$kh!rg-dg}(ddbr$~iQnup\cf:?6;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k535=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS=?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ6279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:76;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?1;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc919:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij27>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;178>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_106?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W88>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_306?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W:8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_506?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W<8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_706?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W>8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_906?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W0827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?5;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?32?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7?3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;<7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?1;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?36?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7;3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;07827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?=;4?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P13:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U9>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z5502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_50;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T9?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y1:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^51<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S5<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X1;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv5679;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567?;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?8338Q5)`zo$yj"ilx/aoo})pzVzexQmio>3:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:66;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag692??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>7:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:26;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6=2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>;:76<]9%l~k }f.e`|+ekcq%|~R~ats]amkY7:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV;9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS?<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P3328Q5)`zo$yj"ilx/aoo})pzVzexQmio]765=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ3582_;#j|i.sd,cf~)keas#z|Ppovq[goiW?8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT;?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ7279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv5678;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?01312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89::>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12354413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=<=7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq45659;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0204?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt78999>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123071<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<9?=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4562:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?5005?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789<9o6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012554YT_98h7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01425ZUP9;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?07012>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:<>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12334473\:$kh!rg-dg}(ddbr${Qnup\cf:76;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg939:91^<"i}f/pe+be&jf`t"y}_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7;3<?;T2,cw`)zo%lou lljz,swYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^22b>S7'nxm"h gbz-gim'~xT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ46n2_;#j|i.sd,cf~)keas#z|Ppovq[beX;8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT9<h4U1-dvc(un&mht#mcky-tvZvi|{UloR8>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^:12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^21<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S<<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X:;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]06==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R:=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W<837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\27><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q8299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V2996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01211>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01011>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01611>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789?996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01411>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789=9m6[?/fpe*w`(oe:%~i!hr0,qbr`s'[KFS_JPEO]ESCR6:01^<"i}f/pe+bj7&{n$k?!rguep*TFEVXNKB[[_DL276=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al89=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>-Hl0<>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce=<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf173=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al;'Bb>64U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe0.Mk76:11^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_g`13>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~Te?k4U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZoXg{:;<=<i;T2,cw`)zo%l`= }d.eq5+tao~$i`~{y^dtbqYnWfx;<=>>3g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw30?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=3=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?6;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}959;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;<79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5?5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7:3=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1913g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw38?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=;=04=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww8469<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[iss4;4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xd|~7?3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Ugyy2;>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=7=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww838382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;?7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6329>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x171409V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<02=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq848382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;:7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{6829>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vrd~1:1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<4<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pxnp?2;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]{kw:06=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5258=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey040=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/SCN[@KW\PUMNRgav248Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-QEHYBEY^RSKLPiot2[LHQW9927X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$midzsugg[rtXxg~ySjPp`f?4;5f3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(im`~ykk_vp\tkruW{nT|lj30?304>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>24;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`979:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;:78m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl595>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn783<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjh1;12g9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|lj36?0e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=5=6c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f?<;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`9?9:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX9;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW8:9i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU9>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT??k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS9<j;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhR;=e:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQ92d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP73g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_90f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^;0<>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a67896:<3=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd1234979;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>32?14?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`5678595?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?0;503\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Zgcl9:;<1;1369V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljPaef3456;>79<7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflVkoh=>?0=5=72=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\eab789:743=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd12349?9:k1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&zycjQjmqvz[l513\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j[fkwWeo;<=><8:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/qplcZcjx}sTeRmbp^nf4567::927X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$|ah_dosp|YnWjg{Sak?0121075>3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(x{elShctx]j[fkwWeo;<=>=441g?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,twi`Wlg{xtQf_bos[ic789:988Q\W112?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,vaYwf}xTecxPp`f?5;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(zmU{by|Piot\tdbX9Vddx=>?00]JJSY7:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=2=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1?1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|585>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp959:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]4U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5969::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7=3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=0=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;;7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1:12c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\4Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^3\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T8Road123444>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o<!laspzj`r;:7827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95;5>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?32?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5959::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';783<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^2\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P1^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R<Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T?Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V>Tmcj?012265=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6582_;#j|i.sd,ci6)zm%l~l}!rrvp+fijx8827X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[l573\:$kh!rg-dh5(ul&mym~ }suq,vdkXmdzuRhm_h]lv5678:;0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;=?=4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|88?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{2368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by:=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp0433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d:?:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov461=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}2986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at80:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7<3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;87Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:66;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>2:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=0=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1<1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0>0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4:4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;<78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?0;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>6:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2:>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1812b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5<5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4>49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az828Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?<;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw38?]qp7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:46;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?0;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<4<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6<2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;078j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8<85l2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=;=[wr6n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:768l0Y=!hrg,qb*ak8'xo#~ats-`kphs484:j6[?/fpe*w`(oe:%~i!}povq+firf}692<h4U1-dvc(un&mg<#|k/srmpw)dg|d0>0>f:W3+bta&{l$ka>!re-qtkru'je~by2;>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<4<2b>S7'nxm"h gm2-va)uxg~y#naznu>5:4`<]9%l~k }f.eo4+tc'{zex!lotlw8286n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:?68l0Y=!hrg,qb*ak8'xo#~ats-`kphs404:i6[?/fpe*w`(oe:%~i!}povq+firf}U;=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T=<k4U1-dvc(un&mg<#|k/srmpw)dg|dS??j;T2,cw`)zo%l`= }d.psjqt(kfexR=>e:W3+bta&{l$ka>!re-qtkru'je~byQ;1d9V4*aun'xm#jb?.sf,vuhsz&idyczP50g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_73f?P6(o{l%~k!hl1,q`*twf}x$ob{at^52a>S7'nxm"h gm2-va)uxg~y#naznu];5`=R8&myj#|i/fn3*wb(zyd~"m`uov\=74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;87897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=0=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:46;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;783<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><4<16>S7'nxm"h gm2-va)uxg~y#naznu]g5909:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?38?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28<8592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R?=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^015>S7'nxm"h gm2-va)uxg~y#naznu]g5Z5592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V>9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R;=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^415>S7'nxm"h gm2-va)uxg~y#naznu]g5Z1592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V29=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R7<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2?>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<0<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>1:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8684n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:36:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4<48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6=2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0:0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot27>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=3=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww878382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;;7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6?29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x1;1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<7<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?3;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:?6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5358=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey0<0;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|32?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>0:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv929<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4<4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx7:3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc28>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=:=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq8<85n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:66;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4;49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim682?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko090=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2:>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<7<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>4:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8=85n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:>6;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW88n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV89i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU8>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT8?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS8<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR8=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ82d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP83g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_814?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785;5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?6;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<1=1369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;<79<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=7=72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:7:3=8;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhRokd1234919;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>38?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`5678535>l5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXa:80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYj}q:;<>=7;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?01121ZUP8:20Y=!hrg,qb*ak8'}y#jyns/esb+quxo%{~biPftno[lYj}q:;<>?:_RU27d=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*vugnUmyabPi^ov|567;8?T_Z?PF2:8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy234672WZ]9?95Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?32206>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_omw4566;:1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&zycjQiumn\mZhh|9:;=<<7;T2,cw`)zo%l`= xr.etev(`xo$|~}h ws]qwqYc9;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>3:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2>>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}692?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:46;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX5X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR>V"jc|.lq17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:76;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0<0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64:49?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2;>3`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_3]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q<_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S9Qaou23457512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<1<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0<0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs4;4956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw8685n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28585;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><3<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:46;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:090=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]3[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_0]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q=_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S>Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U?Sca{0123576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6:91^<"i}f/pe+bj7&~x$kzo|.vqww*ehey8946[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^da[l423\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSd<l;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[lYhz9:;<?j4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZiu89:;=<j4U1-dvc(un&mg<#y}/scn[rtXmgUb=<h4U1-dvc(un&mg<#y}/scn[rtXmgUb=R?>f:W3+bta&{l$ka>!ws-qehYpzVoeSd?P20d8Q5)`zo$yj"ic0/uq+wgjW~xTicQf1^117>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex><;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw072<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu410>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{83;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:76Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}979:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<3<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;;7Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:36;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;?78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?3;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?4;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<0<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1<12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{682?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;<78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8085i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=4=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc28>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx743<k;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu414T~y?i;T2,cw`)zo%l`= xr.usjqt(kfex1>11g9V4*aun'xm#jb?.vp,suhsz&idycz31?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=0=5c=R8&myj#|i/fn3*rt(yd~"m`uov?7;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9299o1^<"i}f/pe+bj7&~x${}`{r.alqkr;=7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5<5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7;3?i;T2,cw`)zo%l`= xr.usjqt(kfex1611d9V4*aun'xm#jb?.vp,suhsz&idyczP00g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_03f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^02a>S7'nxm"h gm2-sw)pxg~y#naznu]05`=R8&myj#|i/fn3*rt(yd~"m`uov\04c<]9%l~k }f.eo4+qu'~zex!lotlw[07b3\:$kh!rg-dh5(pz&}{by| cnwmpZ06m2_;#j|i.sd,ci6){%||cz}/bmvjqY09l1^<"i}f/pe+bj7&~x${}`{r.alqkrX0;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><0<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?34?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28085:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95<5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<28>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?<;463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W98:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[6463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W=8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S8<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[2463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W1897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<5<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18=8592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V:9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R?=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^015>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z5592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V>9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R;=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^415>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z1592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V2::6[?/fpe*w`(elg$hb{{_h]353=R8&myj#|i/lgn+air|VcT=<94U1-dvc(un&gna"j`uu]j[466?2_;#j|i.sd,i`k(lfSdQ>1058Q5)`zo$yj"cjm.flqqYnW88:;6[?/fpe*w`(elg$hb{{_h]2741<]9%l~k }f.ofi*bh}}UbS<:>7:W3+bta&{l$ahc dnww[lY6=8=0Y=!hrg,qb*kbe&ndyyQf_0423>S7'nxm"h mdo,`jssW`U:;<94U1-dvc(un&gna"j`uu]j[4>6?2_;#j|i.sd,i`k(lfSdQ>9048Q5)`zo$yj"cjm.flqqYnW;;<7X> gsd-vc)jmd%ocxzPi^0352=R8&myj#|i/lgn+air|VcT><?8;T2,cw`)zo%fi`!kotv\mZ459>1^<"i}f/pe+hcj'me~xRgP2234?P6(o{l%~k!bel-gkprXaV8?=:5Z0.eqb+ta'dof#iazt^k\60703\:$kh!rg-nah)cg|~TeR<9169V4*aun'xm#`kb/emvpZoX:>;<7X> gsd-vc)jmd%ocxzPi^0;52=R8&myj#|i/lgn+air|VcT>4?9;T2,cw`)zo%fi`!kotv\mZ56?2_;#j|i.sd,i`k(lfSdQ<0058Q5)`zo$yj"cjm.flqqYnW:;::6[?/fpe*w`(elg$hb{{_h]753=R8&myj#|i/lgn+air|VcT9<84U1-dvc(un&gna"j`uu]j[3713\:$kh!rg-nah)cg|~TeR9>6:W3+bta&{l$ahc dnww[lY?9?1^<"i}f/pe+hcj'me~xRgP90;8Q5)`zo$yj"cjm.flqqYjmd6;2<o4U1-dvc(un&gna"j`uu]nah:687;j7X> gsd-vc)jmd%ocxzPmdo?5486i2_;#j|i.sd,i`k(lfS`kb<00=5d=R8&myj#|i/lgn+air|Vgna1?<>0c8Q5)`zo$yj"cjm.flqqYjmd6:83?n;T2,cw`)zo%fi`!kotv\i`k;9<4:m6[?/fpe*w`(elg$hb{{_lgn84099h1^<"i}f/pe+hcj'me~xRcjm=34:4g<]9%l~k }f.ofi*bh}}Ufi`2>8?3b?P6(o{l%~k!bel-gkprXelg7=40>9:W3+bta&{l$ahc dnww[hcj484:m6[?/fpe*w`(elg$hb{{_lgn87699h1^<"i}f/pe+hcj'me~xRcjm=02:4g<]9%l~k }f.ofi*bh}}Ufi`2=2?3b?P6(o{l%~k!bel-gkprXelg7>>0>a:W3+bta&{l$ahc dnww[hcj4;>5=l5Z0.eqb+ta'dof#iazt^ofi94268k0Y=!hrg,qb*kbe&ndyyQbel>12;7f3\:$kh!rg-nah)cg|~Tahc326<2e>S7'nxm"h mdo,`jssWdof0?611`9V4*aun'xm#`kb/emvpZkbe5822<74U1-dvc(un&gna"j`uu]nah:568k0Y=!hrg,qb*kbe&ndyyQbel>04;7f3\:$kh!rg-nah)cg|~Tahc330<2=>S7'nxm"h mdo,`jssWdof0>0>9:W3+bta&{l$ahc dnww[hcj4=4:56[?/fpe*w`(elg$hb{{_lgn808612_;#j|i.sd,i`k(lfS`kb<7<2=>S7'nxm"h mdo,`jssWdof0:0>9:W3+bta&{l$ahc dnww[hcj414:56[?/fpe*w`(elg$hb{{_lgn8<80>2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^6Z&{kf"!y4^llmkos&{kf#^J_.RKMCICXX[CF"^J_779V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>]/pbi+t(~=Uecd`ft/pbi*UCX'YBBJBJ_QPJI+UCX890Y=!hrg,qb*tfeVxoSh`>7:W3+bta&{l$~lcPrdelqqYbf890Y=!hrg,qb*tfeV}ySh`>d:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hi=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd003?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g64e<]9%l~k }f.pppgjl'{nT~~zPcnoa5a=R8&myj#|i/sqwfim(zmUyyQlol`25`=R8&myj#|i/sqwfim(zmUyyQ}ef>2:4c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$kh!rg-qwqdkc&xoS}{_sgd[47c3\:$kh!rg-qwqdkc&xoS}{_sgd[77c3\:$kh!rg-qwqdkc&}yS}{_`qqab473\:$kh!rg-qwqdkc&}yS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5:5=h5Z0.eqb+ta'{ynae ws]qwqYumn6:2<j4U1-dvc(un&xxxobd/vp\vvrXzlmT<<j4U1-dvc(un&xxxobd/vp\vvrXzlmT=l5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC13^OJ0=09;VGB84813^OJ0?07;VGB86<76?1\IL2<>79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:4i7Z\FTD]EMWUSk2]YEYKPMNFF[De<_[C_IRC@DD]A`>QUA]OT[DJ[H^Cg?RTN\LU\EIZG_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdzuo5nllmppZ`rde<0nlmduqf8fdel}yUfmejr29`hnb<lh~jSnaznu*3-a=ci}kTob{at)3*`>bf|hUhcx`{(3+g?agsiVidycz'3(f8`drfWje~by&;)e9geqgXkfex%;&d:fbpdYdg|d$;'k;ecweZeh}g~#;$j4d`vb[firf}"3%k5kauc\gjsi|521<394dckwawt13mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%:5kio*06,1<l`d#?>'8;ekm,62.?2nbb%=:)69gmk.4> =0hd`'36+4?aoi :2";6jfn)1:-3=cag"?%:5kio*74,1<l`d#8<'8;ekm,14.?2nbb%:<)69gmk.3< =0hd`'44+4?aoi =<";6jfn)64-2=cag"?4$94dhl+0</13mce$8'8;ekm,06.?2nbb%;>)69gmk.2: =0hd`'52+4?aoi <>";6jfn)76-2=cag">:$94dhl+12/03mce$86&7:fjj-3>!?1oec&9)69gmk.18 =0hd`'60+4?aoi ?8";6jfn)40-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;1<l`d7?808;ekm8609?2nbb1=8>69gmk:407=0hd`338<5?aoi4:4<7iga<52=3>bnf5>:2:5kio>76;1<l`d78>08;ekm8129?2nbb1::>69gmk:3>7=0hd`346<4?aoi4=25;6jfn=6::3=cag6?2:5kio>64;1<l`d79<08;ekm8049?2nbb1;<>69gmk:2<7=0hd`354<4?aoi4<<5;6jfn=74:2=cag6>4394dhl?1<813mce0808;ekm8369?2nbb18>>69gmk:1:730hd`36283:2=cag6=?384dhl?2;0<l`d7;384dhl?<;0<l`d75394dnww,5/03me~x%?&8:flqq.68 20hb{{(03*<>bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,><lf$<9&8:flqq.60 20hb{{(0;*3>bh}}"9%55kotv+65/?3me~x%<>)99gkpr/:;#37iazt)00-==cg|~#>9'7;emvp-42!11ocxz'27+;?air|!8<%55kotv+6=/?3me~x%<6)69gkpr/; 20hb{{(22*<>bh}}"8=$94dnww,1/03me~x%;&7:flqq.1!>1ocxz'7(58`jss 1#<7iazt);*3>bh}}6;255kotv?558?3me~x1?>>99gkpr;9;437iazt=30:==cg|~7=907;emvp972611ocxz317<;?air|5;<255kotv?5=8?3me~x1?6>69gkpr;9720hb{{<32=<>bh}}69=364dnww874902ndyy2=3?:8`jss4;>546j`uu>11;><lf0?818:flqq:5?720hb{{<3:=<>bh}}695394dnww878?3me~x1=?>`9gkpr;;80;255kotv?74803me~x1=17:flqq:36>1ocxz35?58`jss4?4<7iazt=5=3>bh}}632:5kotv?=;><mmcm>cik5:gntq5n2lbjbQheogqeqiuW>T?!.Wimkm|%EHZL*Lick}aumq$46)9h1mekaPv5]507><n`ldS{:P65.emciXoldn~lz`r^t7[6*IGGO'BB@J3c68bl`hW>T:9"iigm\c`hbzh~d~Rx;_2.xgZnf{VcexRmck<2/gZnf{Vyyy3?,b]q`Z`umx7: nQgar]q`Zbf|hUhcx`{=1.`[aoiW~coxe394-a\lduX{UomyoPcnwmp86+kVl~`aQil`ep[wusWkg1<"l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;7$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1><#c^opcjhX~hf6=!mPre]gauro58:98!mPh`q\qkbbzofd{0>#c^jbwZgkefySk{cl<2/gZnf{Vxxx0?#c^fbpdYdg|dSzgkti?:(fYoizU|~Rolk<2/gZstmVoho0>#c^alihiiWjfdof3?,b]tvZgdcVfd{0>#c^pg[uhszV}bhyf211.`[pubWo}mxRjnt`]`kphs59&hSeo|_`nnkvrXmdzu0>#c^pg[agsiVidyczPwhfwl877$jUdzh|ilnu\hjq:8%iT{Qnup\slbs`43'oR~}of]fiur~W}s{i0?#c^uq[agsiVidyczPwhfwl8?+kVzyiaand^pfcv;6$jUocxzPrrv\rdj:8%iTdl}Pd`vb[firf}7; nQrne\bpjkW}byi~fPndebp`Yqie7884"l_vp\``vs`4?:>!mPpsmd[`kw|pUdk|h^lfcdrbWkg1<>>,b]svjaXmdzuRzgrdqk[dutm{~Tzlb2112/gZnf{V|j`dj20-a\twi`Wog`Rzgrdqk[dutm{~Tzlb2342/gjkwggoexR`nmd?emciX~=U=8!mPv`nj`Zjh4:'oR~}il]emciX|pzn18?=x60/gZvugnUmyabPtxrf94*dWdofcwPtxrf94*z:=1mekaPv5]50Z`nnfUlick}aumq[s2X;VrxxR?;;gwoh2=nf}Uh`f64nfaaqljc02e}ihcovc8twi`Wlg{xt?;;qplcZcjx}sTxe|jsi*3-42<x{elShctx]wlwct`!;"=95rne\ahvsqV~c~h}g(3+20>vugnUna}zv_ujqavn/; ;?7}|`g^gntqX|axne&;)068twi`Wlg{xtQ{hsgpl-3.9=1{~biPelrw}Zrozlyc$;'>4:rqkbYbey~rSyf}erj+3,733yxdkRkbpu{\pmtb{a"3%<:4psmd[`kw|pUdk|h);*53=wzfmTi`~{y^vkv`uo400;2<l4psmd[`kw|pUdk|h^cpw`ts 9#:n6~}of]fiur~W}byi~fParqfvq.6!8h0|ah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShctx]wlwct`Vkxh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx$;'>b:rqkbYbey~rSyf}erj\evubz}"<%<l4psmd[`kw|pUdk|h^cpw`ts 1#:n6~}of]fiur~W}byi~fParqfvq.>!8n0|ah_dosp|Ys`{oxdRo|sdpw8<<768h0|ah_dosp|Ys`{oxdR`jg`vf,5/6j2zycjQjmqvz[qnumzbTbhintd*2-4d<x{elShctx]wlwct`Vdnklzj(3+2f>vugnUna}zv_ujqavnXflmjxh&<)0`8twi`Wlg{xtQ{hsgplZhboh~n$9'>b:rqkbYbey~rSyf}erj\j`af|l">%<l4psmd[`kw|pUdk|h^lfcdrb ?#:n6~}of]fiur~W}byi~fPndebp`.0!8h0|ah_dosp|Ys`{oxdR`jg`vf,=/6j2zycjQjmqvz[qnumzbTbhintd*:-4b<x{elShctx]wlwct`Vdnklzj<883:<=wzfmTjxbc129svjaXn|fgSyf}erj+4,743yxdkRhzlm]wlwct`!;"=>5rne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeUdk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd%9&129svjaXn|fgSyf}erj+<,723yxdkRhzlm]wlwct`521<3?n;qplcZ`rdeUdk|h^cpw`ts 9#:m6~}of]eqijX|axneQnsrgqp-7.9h1{~biPftno[qnumzbTm~}jru*1-4g<x{elSk{cl^vkv`uoWhyxiz'3(3b?uthoVl~`aQ{hsgplZgt{lx$9'>a:rqkbYa}efTxe|jsi]bwvcu|!?"=l5rne\bpjkW}byi~fParqfvq.1!8k0|ah_gwohZrozlycSl}|esv+3,7f3yxdkRhzlm]wlwct`Vkxh|{(9+2g>vugnUmyabPtipfwmYf{zoyx1650?3b?uthoVl~`aQ{hsgplZhboh~n$='>a:rqkbYa}efTxe|jsi]mabgsm!;"=l5rne\bpjkW}byi~fPndebp`.5!8k0|ah_gwohZrozlycSckhaug+7,7f3yxdkRhzlm]wlwct`Vdnklzj(5+2e>vugnUmyabPtipfwmYimnki%;&1`9svjaXn|fgSyf}erj\j`af|l"=%<o4psmd[cskdV~c~h}g_ogdeqc/? ;j7}|`g^dvhiYs`{oxdR`jg`vf,=/6k2zycjQiumn\pmtb{aUeijo{e=:94;0<zmUh`f??;sf\`drfWje~by&?)028vaYci}kTob{at)3*55=ulVnjxlQlotlw,7/682xoSio{a^alqkr/; ;;7jPd`vb[firf}"?%<>4re]geqgXkfex%;&119q`Zbf|hUhcx`{(7+24>tcWmkmRm`uov+3,773{nThlzn_bmvjq.?!8:0~iQkauc\gjsi|!3"==5}d^fbpdYdg|d0=0>2:pg[agsiVidycz39;2=1>tcWld=7jPrrv0?wus?2yieyk}r59pvvr6>2~f|"Qlg.djbjYq<V<?"ci`4:vqq`?<}gnn~kb`w49ueioc>2}ySlmd6:uq[fjl991|~Rjnt`]`kphs 9#:<6y}_ecweZeh}g~#=$??;vp\`drfWje~by&=)028swYci}kTob{at)1*55=pzVnjxlQlotlw,1/682}ySio{a^alqkr/= ;;7z|Pd`vb[firf}"=%<>4ws]geqgXkfex%9&119tvZbf|hUhcx`{(9+26>quWmkmRm`uov?<?69=2}ySh`9;vp\vvrzHIznj=5O@y425?@=<3;p_;855c193?74:?=947=>21`xj1ba281e8h>54:&7`a<3l>1v_;:55c193?74:?=947=>21`8W40f2<hh6=4>33446=<49;:i7^8;:4``>5<6;;<<>54<132e?a3e>3:1=7?tS7491g5=?3;8>;9=8;1265d<~];m;7>51;395cb|[?<19o=57;306315039:>=l4$5a4>40e3_>oi7<tu055>4=r9>=1<6s+1d:95c=e=k<1<786:285=~N3k<1Q984={06964<z,8lh68l9;%6gg?3e=2e>i=4?::k54f<722e>nh4?::k564<722e>nl4?::m6f1<722c==;4?::k54`<722e>4o4?:%3fe?3fi2d:i44?;:m6<d<72-;nm7;na:l2a<<632e>454?:%3fe?3fi2d:i44=;:m6<2<72-;nm7;na:l2a<<432e>4;4?:%3fe?3fi2d:i44;;:m6<0<72-;nm7;na:l2a<<232e>494?:%3fe?3fi2d:i449;:m6<6<72-;nm7;na:l2a<<032e>4?4?:%3fe?3fi2d:i447;:m6<4<72-;nm7;na:l2a<<>32e>4=4?:%3fe?3fi2d:i44n;:m63c<72-;nm7;na:l2a<<e32e>;i4?:%3fe?3fi2d:i44l;:m63f<72-;nm7;na:l2a<<c32e>;o4?:%3fe?3fi2d:i44j;:m63d<72-;nm7;na:l2a<<a32e>;44?:%3fe?3fi2d:i44>0:9l12>=83.:il4:a`9m5`?=9810c898:18'5`g==hk0b<k6:008?j30>3:1(<kn:4cb?k7b13;876a:7483>!7bi3?jm6`>e8820>=h=>>1<7*>e`86ed=i9l31=854o4;2>5<#9lk19lo4n0g:>40<3f?2<7>5$0gb>0gf3g;n57?8;:m6<c<72-;nm7;na:l2a<<6021d95k50;&2ad<2ih1e=h751898k0>c290/=ho55`c8j4c>28k07b;7c;29 4cf2<kj7c?j9;3a?>i2000;6)?ja;7be>h6m00:o65`56g94?"6mh0>ml5a1d;95a=<g<=86=4+1dc91dg<f8o26<k4;n746?6=,8oj68on;o3f=?7a32c>>i4?:%3fe?31k2d:i44?;:k66f<72-;nm7;9c:l2a<<632c>>o4?:%3fe?31k2d:i44=;:k66d<72-;nm7;9c:l2a<<432c>>54?:%3fe?31k2d:i44;;:k662<72-;nm7;9c:l2a<<232c>>;4?:%3fe?31k2d:i449;:k660<72-;nm7;9c:l2a<<032c>>94?:%3fe?31k2d:i447;:k666<72-;nm7;9c:l2a<<>32c>>?4?:%3fe?31k2d:i44n;:k664<72-;nm7;9c:l2a<<e32c>>=4?:%3fe?31k2d:i44l;:k65c<72-;nm7;9c:l2a<<c32c>=i4?:%3fe?31k2d:i44j;:k65f<72-;nm7;9c:l2a<<a32c>=o4?:%3fe?31k2d:i44>0:9j14g=83.:il4:6b9m5`?=9810e8?6:18'5`g==?i0b<k6:008?l3603:1(<kn:44`?k7b13;876g:1683>!7bi3?=o6`>e8820>=n=8<1<7*>e`862f=i9l31=854i436>5<#9lk19;m4n0g:>40<3`?:87>5$0gb>00d3g;n57?8;:k657<72-;nm7;9c:l2a<<6021b9<?50;&2ad<2>j1e=h751898m077290/=ho557a8j4c>28k07d;?f;29 4cf2<<h7c?j9;3a?>o28l0;6)?ja;75g>h6m00:o65f51f94?"6mh0>:n5a1d;95a=<a<:h6=4+1dc913e<f8o26<k4;h73f?6=,8oj688l;o3f=?7a32c><l4?:%3fe?31k2d:i44=0:9j15?=83.:il4:6b9m5`?=:810e8>8:18'5`g==?i0b<k6:308?l37>3:1(<kn:44`?k7b138876g:0483>!7bi3?=o6`>e8810>=n=9>1<7*>e`862f=i9l31>854i420>5<#9lk19;m4n0g:>70<3`?;>7>5$0gb>00d3g;n57<8;:k644<72-;nm7;9c:l2a<<5021b9=>50;&2ad<2>j1e=h752898m1`a290/=ho557a8j4c>2;k07d:ie;29 4cf2<<h7c?j9;0a?>o3nj0;6)?ja;75g>h6m009o65f4g`94?"6mh0>:n5a1d;96a=<a=lj6=4+1dc913e<f8o26?k4;h6e=?6=,8oj688l;o3f=?4a32c?j54?:%3fe?31k2d:i44<0:9j0c1=83.:il4:6b9m5`?=;810e9h9:18'5`g==?i0b<k6:208?l2a=3:1(<kn:44`?k7b139876g;f583>!7bi3?=o6`>e8800>=n<o91<7*>e`862f=i9l31?854i413>5<#9lk19;m4n0g:>60<3`?9j7>5$0gb>00d3g;n57=8;:k66`<72-;nm7;9c:l2a<<4021b9?750;&2ad<2>j1e=h753898m07b290/=ho557a8j4c>2:k07d;>3;29 4cf2<<h7c?j9;1a?>o2810;6)?ja;75g>h6m008o65f4gf94?"6mh0>:n5a1d;97a=<a=l96=4+1dc913e<f8o26>k4;h6e5?6=,8oj688l;o3f=?5a32c>jk4?::`7g3<7280;6=uG4b78 4`d2=i=7b?j7;29?xd69;0;6<4?:1yK0f3<,8lh6<?=;n325?6=3th>87>5ce82=d<6nmqC8n;4Z47953}?2;o1n7753081`?732;;1>k4<0;c975<493;?6o46:3396c<5m38o6l47:|&2bf<2j01/=;951028 0`==k=0(;;55c:8 4c528o=7b:j1;29?l07l3:17d;l8;29 4cf2<i27c?j9;28?l3d?3:1(<kn:4a:?k7b13;07d;l6;29 4cf2<i27c?j9;08?l3d=3:1(<kn:4a:?k7b13907d;l4;29 4cf2<i27c?j9;68?l3d;3:1(<kn:4a:?k7b13?07d;l2;29 4cf2<i27c?j9;48?l3d93:1(<kn:4a:?k7b13=07d;l0;29 4cf2<i27c?j9;:8?l3en3:1(<kn:4a:?k7b13307b;j0;29?l0683:17b8>4;29?l3a;3:1(<kn:4d7?k7b13:07d;i2;29 4cf2<l?7c?j9;38?l3a93:1(<kn:4d7?k7b13807d;i0;29 4cf2<l?7c?j9;18?l3bn3:1(<kn:4d7?k7b13>07d;je;29 4cf2<l?7c?j9;78?l3bl3:1(<kn:4d7?k7b13<07d;jc;29 4cf2<l?7c?j9;58?l3bj3:1(<kn:4d7?k7b13207d8?c;29?j3el3:17b;me;29?l2b<3:17b8?9;29 4cf2?:i7c?j9;28?j0703:1(<kn:72a?k7b13;07b8?7;29 4cf2?:i7c?j9;08?j07>3:1(<kn:72a?k7b13907b8?5;29 4cf2?:i7c?j9;68?j07<3:1(<kn:72a?k7b13?07b8?3;29 4cf2?:i7c?j9;48?j07:3:1(<kn:72a?k7b13=07b8?1;29 4cf2?:i7c?j9;:8?j0783:1(<kn:72a?k7b13307d;k3;29 4cf2<n?7c?j9;28?l3c:3:1(<kn:4f7?k7b13;07d;k1;29 4cf2<n?7c?j9;08?l3c83:1(<kn:4f7?k7b13907d;lf;29 4cf2<n?7c?j9;68?l3dm3:1(<kn:4f7?k7b13?07d;ld;29 4cf2<n?7c?j9;48?l3dk3:1(<kn:4f7?k7b13=07d;lb;29 4cf2<n?7c?j9;:8?l3di3:1(<kn:4f7?k7b13307d8=1;29?j06n3:1(<kn:703?k7b13:07b8>e;29 4cf2?8;7c?j9;38?j06l3:1(<kn:703?k7b13807b8>c;29 4cf2?8;7c?j9;18?j06j3:1(<kn:703?k7b13>07b8>a;29 4cf2?8;7c?j9;78?j0613:1(<kn:703?k7b13<07b8>8;29 4cf2?8;7c?j9;58?j06?3:1(<kn:703?k7b13207b;ma;29?j3e<3:17d;j9;29 4cf2<oj7c?j9;28?l3b03:1(<kn:4gb?k7b13;07d;j7;29 4cf2<oj7c?j9;08?l3b>3:1(<kn:4gb?k7b13907d;j5;29 4cf2<oj7c?j9;68?l3b<3:1(<kn:4gb?k7b13?07d;j3;29 4cf2<oj7c?j9;48?l3b:3:1(<kn:4gb?k7b13=07d;j1;29 4cf2<oj7c?j9;:8?l06>3:17d;mb;29?l2b=3:17d8=2;29?j07n3:17b8>5;29?j06;3:17d8?e;29?j3?j3:1(<kn:4cb?k7b13:07b;7a;29 4cf2<kj7c?j9;38?j3?03:1(<kn:4cb?k7b13807b;77;29 4cf2<kj7c?j9;18?j3?>3:1(<kn:4cb?k7b13>07b;75;29 4cf2<kj7c?j9;78?j3?<3:1(<kn:4cb?k7b13<07b;73;29 4cf2<kj7c?j9;58?j3?:3:1(<kn:4cb?k7b13207b;71;29 4cf2<kj7c?j9;;8?j3?83:1(<kn:4cb?k7b13k07b;8f;29 4cf2<kj7c?j9;`8?j30l3:1(<kn:4cb?k7b13i07b;8c;29 4cf2<kj7c?j9;f8?j30j3:1(<kn:4cb?k7b13o07b;8a;29 4cf2<kj7c?j9;d8?j3013:1(<kn:4cb?k7b13;;76a:7983>!7bi3?jm6`>e8825>=h=>=1<7*>e`86ed=i9l31=?54o455>5<#9lk19lo4n0g:>45<3f?<97>5$0gb>0gf3g;n57?;;:m631<72-;nm7;na:l2a<<6=21d94?50;&2ad<2ih1e=h751798k0?7290/=ho55`c8j4c>28=07b;7f;29 4cf2<kj7c?j9;3;?>i20l0;6)?ja;7be>h6m00:565`59f94?"6mh0>ml5a1d;95d=<g<2h6=4+1dc91dg<f8o26<l4;n7;=?6=,8oj68on;o3f=?7d32e>;h4?:%3fe?3fi2d:i44>d:9l125=83.:il4:a`9m5`?=9l10c89=:18'5`g==hk0b<k6:0d8?l35l3:1(<kn:44`?k7b13:07d;=c;29 4cf2<<h7c?j9;38?l35j3:1(<kn:44`?k7b13807d;=a;29 4cf2<<h7c?j9;18?l3503:1(<kn:44`?k7b13>07d;=7;29 4cf2<<h7c?j9;78?l35>3:1(<kn:44`?k7b13<07d;=5;29 4cf2<<h7c?j9;58?l35<3:1(<kn:44`?k7b13207d;=3;29 4cf2<<h7c?j9;;8?l35:3:1(<kn:44`?k7b13k07d;=1;29 4cf2<<h7c?j9;`8?l3583:1(<kn:44`?k7b13i07d;>f;29 4cf2<<h7c?j9;f8?l36l3:1(<kn:44`?k7b13o07d;>c;29 4cf2<<h7c?j9;d8?l36j3:1(<kn:44`?k7b13;;76g:1`83>!7bi3?=o6`>e8825>=n=831<7*>e`862f=i9l31=?54i43;>5<#9lk19;m4n0g:>45<3`?:;7>5$0gb>00d3g;n57?;;:k653<72-;nm7;9c:l2a<<6=21b9<;50;&2ad<2>j1e=h751798m073290/=ho557a8j4c>28=07d;>2;29 4cf2<<h7c?j9;3;?>o2980;6)?ja;75g>h6m00:565f50294?"6mh0>:n5a1d;95d=<a<:m6=4+1dc913e<f8o26<l4;h73a?6=,8oj688l;o3f=?7d32c><i4?:%3fe?31k2d:i44>d:9j15e=83.:il4:6b9m5`?=9l10e8>m:18'5`g==?i0b<k6:0d8?l37i3:1(<kn:44`?k7b138;76g:0883>!7bi3?=o6`>e8815>=n=9=1<7*>e`862f=i9l31>?54i425>5<#9lk19;m4n0g:>75<3`?;97>5$0gb>00d3g;n57<;;:k641<72-;nm7;9c:l2a<<5=21b9==50;&2ad<2>j1e=h752798m065290/=ho557a8j4c>2;=07d;?1;29 4cf2<<h7c?j9;0;?>o2890;6)?ja;75g>h6m009565f4gd94?"6mh0>:n5a1d;96d=<a=ln6=4+1dc913e<f8o26?l4;h6eg?6=,8oj688l;o3f=?4d32c?jo4?:%3fe?31k2d:i44=d:9j0cg=83.:il4:6b9m5`?=:l10e9h6:18'5`g==?i0b<k6:3d8?l2a03:1(<kn:44`?k7b139;76g;f683>!7bi3?=o6`>e8805>=n<o<1<7*>e`862f=i9l31??54i5d6>5<#9lk19;m4n0g:>65<3`>m87>5$0gb>00d3g;n57=;;:k7b6<72-;nm7;9c:l2a<<4=21b9>>50;&2ad<2>j1e=h753798m04a290/=ho557a8j4c>2:=07d;=e;29 4cf2<<h7c?j9;1;?>o2:00;6)?ja;75g>h6m008565f50g94?"6mh0>:n5a1d;97d=<a<;86=4+1dc913e<f8o26>l4;h73<?6=,8oj688l;o3f=?5d32c?ji4?:%3fe?31k2d:i44<d:9j0c4=83.:il4:6b9m5`?=;l10e9h>:18'5`g==?i0b<k6:2d8?j2b:3:17d:j3;29?l3al3:1(<kn:4df?k7b13:07d;ic;29 4cf2<ln7c?j9;38?l3aj3:1(<kn:4df?k7b13807d;ia;29 4cf2<ln7c?j9;18?l3a13:1(<kn:4df?k7b13>07d;i8;29 4cf2<ln7c?j9;78?l3a?3:1(<kn:4df?k7b13<07d;i6;29 4cf2<ln7c?j9;58?l3a=3:1(<kn:4df?k7b13207b:je;29 4cf2=om7c?j9;28?j2bl3:1(<kn:5ge?k7b13;07b:jc;29 4cf2=om7c?j9;08?j2bj3:1(<kn:5ge?k7b13907b:ja;29 4cf2=om7c?j9;68?j2b13:1(<kn:5ge?k7b13?07b:j8;29 4cf2=om7c?j9;48?j2b?3:1(<kn:5ge?k7b13=07b:j6;29 4cf2=om7c?j9;:8?l3cm3:1(<kn:4fe?k7b13:07d;kd;29 4cf2<nm7c?j9;38?l3ck3:1(<kn:4fe?k7b13807d;kb;29 4cf2<nm7c?j9;18?l3ci3:1(<kn:4fe?k7b13>07d;k9;29 4cf2<nm7c?j9;78?l3c03:1(<kn:4fe?k7b13<07d;k7;29 4cf2<nm7c?j9;58?l3c>3:1(<kn:4fe?k7b13207d;k5;29 4cf2<nm7c?j9;;8?j2a83:17d;if;29?g2ci3:1=7>50z&2bf<69;1C8i74H5a6?j7693:17pl;dc83>4<729q/=km54b48L1b>3A>h96a>e683>>{e<k>1<7=50;2x 4`d28:<7E:k9:J7g0=O=;1/=?>51:k62?6=3`<<6=44o0g`>5<<uk>ih7>53;294~"6nj0:<:5G4e;8L1e23A?97)?=0;38m00=831b::4?::m2af<722wi8o=50;194?6|,8lh6<>8;I6g=>N3k<1C9?5+13295>o2>3:17d88:188k4cd2900qo:mb;291?6=8r.:jn4>0b9K0a?<@=i>7E;=;%314?7<a<<1<75f5d83>>o1?3:17d?jb;29?j7bk3:17pl;bb83>6<729q/=km51158L1b>3A>h96F:2:&265<63`?=6=44i7594?=h9li1<75rb5`1>5<2290;w)?ic;33g>N3l01C8n;4H408 447281b9;4?::k6a?6=3`<<6=44i0ga>5<<g8oh6=44}c6ae?6=<3:1<v*>fb824g=O<m30D9m:;%314?7<a<<1<75f6683>>o6mk0;66a>eb83>>{e<k31<7:50;2x 4`d28:i7E:k9:J7g0=#9;:1=6g:6;29?l002900e<km:188k4cd2900qo:l3;290?6=8r.:jn4>0c9K0a?<@=i>7)?=0;38m00=831b::4?::k2ag<722e:in4?::a0f4=83>1<7>t$0d`>46e3A>o56F;c49'576=92c>:7>5;h44>5<<a8oi6=44o0g`>5<<uk9>>7>54;294~"6nj0:<o5G4e;8L1e23-;9<784i4494?=n>>0;66g>ec83>>i6mj0;66sm41f94?2=83:p(<hl:02a?M2c12B?o85+13295>o2>3:17d88:188m4ce2900c<kl:188yg27k3:187>50z&2bf<68k1C8i74H5a6?!7583;0e8850;9j22<722c:io4?::m2af<722wi?><50;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th8?<4?:583>5}#9oi1=::4H5f:?M2d=2c=<7>5;h4;>5<<a8l?6=44o0g6>5<<uk98<7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:8m6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;;o1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd4<<0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg53<3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f624290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a714=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`004<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c1fg?6=<3:1<v*>fb8231=O<m30D9m:;h43>5<<a?21<75f1g694?=h9l?1<75rb2ga>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm3dc94?2=83:p(<hl:057?M2c12B?o85f6183>>o103:17d?i4;29?j7b=3:17pl<e883>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo=j8;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn>hi:187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi?kk50;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th8ji4?:583>5}#9oi1=::4H5f:?M2d=2c=<7>5;h4;>5<<a8l?6=44o0g6>5<<uk9mo7>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:li6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e:8l1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn??j:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c02`?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd59j0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi><l50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb33b>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg4613:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9=54?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm20594?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f7>1290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8397>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=8583>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a6=5=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;296=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<71;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1<5<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:>l1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?9j:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c0`a?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5km0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>nm50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb3aa>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg4di3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9o44?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm2b:94?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f7e0290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8h:7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=6c83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a63g=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;<26=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<98;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`122<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:?<1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?8::187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c050?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5>:0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>om50;694?6|,8lh6<>7;I6g=>N3k<1C9?5+13295>o2>3:17d8<:188m31=831d=hm50;9~f7de290?6=4?{%3eg?7702B?h45G4b78L04<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<ma;290?6=8r.:jn4>099K0a?<@=i>7E;=;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5j00;694?:1y'5ce=9920D9j6;I6`1>N2:2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm2c:94?2=83:p(<hl:02;?M2c12B?o85G539'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;h<6=4;:183!7ak3;;46F;d89K0f3<@<80(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c0a2?6=<3:1<v*>fb824==O<m30D9m:;I71?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th9n84?:583>5}#9oi1==64H5f:?M2d=2B>>6*>2182?l312900e;=50;9j22<722e:in4?::a6g2=83>1<7>t$0d`>46?3A>o56F;c49K17=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?;6:187>5<7s-;mo7??8:J7`<=O<j?0D8<4$003>4=n=?0;66g93;29?l002900c<kl:188yg4203:187>50z&2bf<6811C8i74H5a6?M353-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=5683>1<729q/=km511:8L1b>3A>h96F:2:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:<<1<7:50;2x 4`d28:37E:k9:J7g0=O=;1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb376>5<3290;w)?ic;33<>N3l01C8n;4H408 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk8>87>54;294~"6nj0:<55G4e;8L1e23A?97)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`116<72=0;6=u+1ga955><@=n27E:l5:J66>"6:90:7d;9:188m35=831b::4?::m2af<722wi>8<50;694?6|,8lh6<>7;I6g=>N3k<1C9?5+13295>o2>3:17d8<:188m31=831d=hm50;9~f736290?6=4?{%3eg?7702B?h45G4b78L04<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<:0;290?6=8r.:jn4>099K0a?<@=i>7E;=;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5<<0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>9:50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb360>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg43:3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th98<4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm25294?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f75a290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk88i7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl=3e83>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a66e=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj;kj6=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo<n9;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1e=<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:h=1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?o9:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c0b1?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd5i=0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi>l=50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb3c1>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg5?n3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c1;a?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo=7c;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk93n7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg5?l3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c1;e?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo=79;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk93;7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg5?>3:197>50z&2bf<68j1C8i74H5a6?!7583<0e8850;9j1`<722c=;7>5;h3ff?6=3f;no7>5;|`0<=<72<0;6=u+1ga955?<@=n27E:l5:&265<63`?=6=44i4g94?=n>:0;66g97;29?j7bk3:17pl<7`83>6<729q/=km54e:8L1b>3A>h96g90;29?l7103:17b?j5;29?xd4?00;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl<6g83>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo=88;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg51l3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f61029086=4?{%3eg?2c02B?h45G4b78m36=831b=;650;9l5`3=831vn>8m:187>5<7s-;mo7?84:J7`<=O<j?0e;>50;9j2=<722c:j94?::m2a0<722wi?:850;194?6|,8lh69j7;I6g=>N3k<1b:=4?::k22=<722e:i84?::a73?=83>1<7>t$0d`>4133A>o56F;c49j25<722c=47>5;h3e0?6=3f;n97>5;|`030<72:0;6=u+1ga90a><@=n27E:l5:k54?6=3`;=47>5;n3f1?6=3th8::4?:583>5}#9oi1=::4H5f:?M2d=2c=<7>5;h4;>5<<a8l?6=44o0g6>5<<uk9<87>53;294~"6nj0?h55G4e;8L1e23`<;6=44i04;>5<<g8o>6=44}c151?6=<3:1<v*>fb8231=O<m30D9m:;h43>5<<a?21<75f1g694?=h9l?1<75rb250>5<4290;w)?ic;6g<>N3l01C8n;4i7294?=n9?21<75`1d794?=zj:<86=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;>81<7=50;2x 4`d2=n37E:k9:J7g0=n>90;66g>6983>>i6m<0;66sm37394?2=83:p(<hl:057?M2c12B?o85f6183>>o103:17d?i4;29?j7b=3:17pl<7083>6<729q/=km54e:8L1b>3A>h96g90;29?l7103:17b?j5;29?xd4=o0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg5f;3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c1b6?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo=n1;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk9j<7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg5>n3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c1:a?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo=6d;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk92o7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg5>j3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c1:e?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo<i6;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg4an3:1?7>50z&2bf<3l11C8i74H5a6?l072900e<87:188k4c22900qo<jc;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn?hj:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f7ce290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a6cb=8391<7>t$0d`>1b?3A>o56F;c49j25<722c::54?::m2a0<722wi>ho50;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th9jn4?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`1a<<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c0ef?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk8n47>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj;lj6=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb3g4>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm2g;94?5=83:p(<hl:5f;?M2c12B?o85f6183>>o6>10;66a>e483>>{e:l<1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd5n10;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl=e483>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo<i7;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg4b<3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f7c4290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a774=83?1<7>t$0d`>46d3A>o56F;c49'576=>2c>:7>5;h7f>5<<a?=1<75f1d`94?=h9li1<75rb22e>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg56?3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th8=;4?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm30794?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f673290?6=4?{%3eg?7702B?h45G4b78 447281b9;4?::k57?6=3`<<6=44o0g`>5<<uk9:?7>54;294~"6nj0:<55G4e;8L1e23-;9<7?4i4494?=n>:0;66g97;29?j7bk3:17pl<1383>1<729q/=km511:8L1b>3A>h96*>2182?l312900e;=50;9j22<722e:in4?::a747=83>1<7>t$0d`>46?3A>o56F;c49'576=92c>:7>5;h40>5<<a?=1<75`1da94?=zj:;;6=4;:183!7ak3;;46F;d89K0f3<,88;6<5f5783>>o1;3:17d88:188k4cd2900qo=?e;290?6=8r.:jn4>099K0a?<@=i>7)?=0;38m00=831b:>4?::k53?6=3f;no7>5;|`1`f<72=0;6=u+1ga955><@=n27E:l5:&265<63`?=6=44i7194?=n>>0;66a>eb83>>{e:m31<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn?k?:187>5<7s-;mo7??b:J7`<=O<j?0(<<?:79j13<722c=;7>5;h3ff?6=3f;no7>5;|`1`c<72=0;6=u+1ga955d<@=n27E:l5:&265<13`?=6=44i7594?=n9lh1<75`1da94?=zj:hn6=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb2`g>5<4290;w)?ic;6g<>N3l01C8n;4i7294?=n9?21<75`1d794?=zj:kh6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;ki1<7=50;2x 4`d2=n37E:k9:J7g0=n>90;66g>6983>>i6m<0;66sm3``94?2=83:p(<hl:057?M2c12B?o85f6183>>o103:17d?i4;29?j7b=3:17pl<bc83>6<729q/=km54e:8L1b>3A>h96g90;29?l7103:17b?j5;29?xd4ih0;694?:1y'5ce=9>>0D9j6;I6`1>o183:17d87:188m4`32900c<k::188yg5ei3:1?7>50z&2bf<3l11C8i74H5a6?l072900e<87:188k4c22900qo=n9;290?6=8r.:jn4>759K0a?<@=i>7d8?:188m3>=831b=k:50;9l5`3=831vn>l6:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f6g?290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a7g>=8391<7>t$0d`>1b?3A>o56F;c49j25<722c::54?::m2a0<722wi?l950;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th8n:4?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`0e3<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c1a2?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk9j97>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj:k?6=4;:183!7ak3;<86F;d89K0f3<a?:1<75f6983>>o6n=0;66a>e483>>{e;m:1<7:50;2x 4`d28:37E:k9:J7g0=#9;:1=6g:6;29?l042900e;950;9l5`e=831vn>mi:187>5<7s-;mo7??8:J7`<=O<j?0(<<?:09j13<722c=?7>5;h44>5<<g8oh6=44}c1`a?6=<3:1<v*>fb824==O<m30D9m:;%314?7<a<<1<75f6283>>o1?3:17b?jc;29?xd4km0;694?:1y'5ce=9920D9j6;I6`1>"6:90:7d;9:188m35=831b::4?::m2af<722wi?nm50;694?6|,8lh6<>7;I6g=>N3k<1/=?>51:k62?6=3`<86=44i7594?=h9li1<75rb2aa>5<3290;w)?ic;33<>N3l01C8n;4$003>4=n=?0;66g93;29?l002900c<kl:188yg5di3:187>50z&2bf<6811C8i74H5a6?!7583;0e8850;9j26<722c=;7>5;n3fg?6=3th8o44?:583>5}#9oi1==64H5f:?M2d=2.:>=4>;h75>5<<a?91<75f6683>>i6mj0;66sm3b:94?2=83:p(<hl:02;?M2c12B?o85+13295>o2>3:17d8<:188m31=831d=hm50;9~f6c4290?6=4?{%3eg?77j2B?h45G4b78 4472?1b9;4?::k53?6=3`;nn7>5;n3fg?6=3th8hl4?:483>5}#9oi1==m4H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6683>>o6mk0;66a>eb83>>{e<=21<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a011=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm45494?3=83:p(<hl:02:?M2c12B?o85+13295>o2>3:17d;j:188m35=831b::4?::m2af<722wi89;50;794?6|,8lh6<>6;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7194?=n>>0;66a>eb83>>{e<=>1<7;50;2x 4`d28:27E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;=50;9j22<722e:in4?::a015=83?1<7>t$0d`>46>3A>o56F;c49'576=92c>:7>5;h7f>5<<a?91<75f6683>>i6mj0;66sm45394?3=83:p(<hl:02`?M2c12B?o85+13292>o2>3:17d;j:188m31=831b=hl50;9l5`e=831vn9:?:186>5<7s-;mo7??9:J7`<=O<j?0(<<?:09j13<722c>i7>5;h40>5<<a?=1<75`1da94?=zj=>96=4::183!7ak3;;56F;d89K0f3<,88;6<5f5783>>o2m3:17d8<:188m31=831d=hm50;9~f15229086=4?{%3eg?2c02B?h45G4b78m36=831b=;650;9l5`3=831vn9=;:180>5<7s-;mo7:k8:J7`<=O<j?0e;>50;9j53>=831d=h;50;9~f14e290?6=4?{%3eg?70<2B?h45G4b78m36=831b:54?::k2b1<722e:i84?::a065=8391<7>t$0d`>1b?3A>o56F;c49j25<722c::54?::m2a0<722wi8?750;694?6|,8lh6<9;;I6g=>N3k<1b:=4?::k5<?6=3`;m87>5;n3f1?6=3th???4?:283>5}#9oi18i64H5f:?M2d=2c=<7>5;h35<?6=3f;n97>5;|`762<72=0;6=u+1ga9522<@=n27E:l5:k54?6=3`<36=44i0d7>5<<g8o>6=44}c605?6=;3:1<v*>fb87`==O<m30D9m:;h43>5<<a8<36=44o0g6>5<<uk>997>54;294~"6nj0:;95G4e;8L1e23`<;6=44i7:94?=n9o>1<75`1d794?=zj=9;6=4<:183!7ak3>o46F;d89K0f3<a?:1<75f17:94?=h9l?1<75rb500>5<3290;w)?ic;340>N3l01C8n;4i7294?=n>10;66g>f583>>i6m<0;66sm43d94?5=83:p(<hl:5f;?M2c12B?o85f6183>>o6>10;66a>e483>>{e<;;1<7:50;2x 4`d28=?7E:k9:J7g0=n>90;66g98;29?l7a<3:17b?j5;29?xd3:l0;6>4?:1y'5ce=<m20D9j6;I6`1>o183:17d?98;29?j7b=3:17pl;1g83>1<729q/=km51668L1b>3A>h96g90;29?l0?2900e<h;:188k4c22900qo:=d;297?6=8r.:jn4;d99K0a?<@=i>7d8?:188m40?2900c<k::188yg26l3:187>50z&2bf<6?=1C8i74H5a6?l072900e;650;9j5c2=831d=h;50;9~f133290>6=4?{%3eg?7712B?h45G4b78 447281b9;4?::k6a?6=3`<86=44i7594?=h9li1<75rb571>5<2290;w)?ic;33g>N3l01C8n;4$003>3=n=?0;66g:e;29?l002900e<km:188k4cd2900qo::3;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk>>97>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg22>3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c663?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo::8;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk>>57>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg22i3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c65g?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo:9b;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk>=m7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg2113:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c65<?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo:97;291?6=8r.:jn4>089K0a?<@=i>7)?=0;38m00=831b9h4?::k57?6=3`<<6=44o0g`>5<<uk>=:7>55;294~"6nj0:<45G4e;8L1e23-;9<7?4i4494?=n=l0;66g93;29?l002900c<kl:188yg21=3:197>50z&2bf<6801C8i74H5a6?!7583;0e8850;9j1`<722c=?7>5;h44>5<<g8oh6=44}c650?6==3:1<v*>fb824<=O<m30D9m:;%314?7<a<<1<75f5d83>>o1;3:17d88:188k4cd2900qo:76;290?6=8r.:jn4>0`9K0a?<@=i>7)?=0;38m00=831b9h4?::k53?6=3f;no7>5;|`7<0<72=0;6=u+1ga955g<@=n27E:l5:&265<63`?=6=44i4g94?=n>>0;66a>eb83>>{e<191<7:50;2x 4`d28:j7E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;950;9l5`e=831vn96=:187>5<7s-;mo7??a:J7`<=O<j?0(<<?:09j13<722c>i7>5;h44>5<<g8oh6=44}c6;5?6=<3:1<v*>fb824d=O<m30D9m:;%314?7<a<<1<75f5d83>>o1?3:17b?jc;29?xd3090;694?:1y'5ce=99k0D9j6;I6`1>"6:90:7d;9:188m0c=831b::4?::m2af<722wi8:h50;694?6|,8lh6<>n;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7594?=h9li1<75rb55f>5<3290;w)?ic;33e>N3l01C8n;4$003>4=n=?0;66g:e;29?l002900c<kl:188yg20l3:187>50z&2bf<68h1C8i74H5a6?!7583;0e8850;9j1`<722c=;7>5;n3fg?6=3th?;n4?:583>5}#9oi1==o4H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6683>>i6mj0;66sm46`94?2=83:p(<hl:02b?M2c12B?o85+13295>o2>3:17d;j:188m31=831d=hm50;9~f11f290?6=4?{%3eg?77i2B?h45G4b78 447281b9;4?::k6a?6=3`<<6=44o0g`>5<<uk><47>54;294~"6nj0:<l5G4e;8L1e23-;9<7?4i4494?=n=l0;66g97;29?j7bk3:17pl;7683>1<729q/=km511c8L1b>3A>h96*>2182?l312900e8k50;9j22<722e:in4?::a020=83>1<7>t$0d`>46f3A>o56F;c49'576=92c>:7>5;h7f>5<<a?=1<75`1da94?=zj==>6=4;:183!7ak3;;m6F;d89K0f3<,88;6<5f5783>>o2m3:17d88:188k4cd2900qo:84;290?6=8r.:jn4>0`9K0a?<@=i>7)?=0;38m00=831b9h4?::k53?6=3f;no7>5;|`736<72=0;6=u+1ga955g<@=n27E:l5:&265<63`?=6=44i4g94?=n>>0;66a>eb83>>{e<>81<7:50;2x 4`d28:j7E:k9:J7g0=#9;:1=6g:6;29?l3b2900e;950;9l5`e=831vn99>:187>5<7s-;mo7??a:J7`<=O<j?0(<<?:09j13<722c>i7>5;h44>5<<g8oh6=44}c644?6=<3:1<v*>fb824d=O<m30D9m:;%314?7<a<<1<75f5d83>>o1?3:17b?jc;29?xd3>o0;694?:1y'5ce=99k0D9j6;I6`1>"6:90:7d;9:188m0c=831b::4?::m2af<722wi85m50;694?6|,8lh6<>n;I6g=>N3k<1/=?>51:k62?6=3`?n6=44i7594?=h9li1<75rb5:a>5<3290;w)?ic;33e>N3l01C8n;4$003>4=n=?0;66g:e;29?l002900c<kl:188yg2?i3:187>50z&2bf<68h1C8i74H5a6?!7583;0e8850;9j1`<722c=;7>5;n3fg?6=3th?444?:583>5}#9oi1==o4H5f:?M2d=2.:>=4>;h75>5<<a<o1<75f6683>>i6mj0;66sm49:94?2=83:p(<hl:02b?M2c12B?o85+13295>o2>3:17d;j:188m31=831d=hm50;9~f1>0290?6=4?{%3eg?77i2B?h45G4b78 447281b9;4?::k6a?6=3`<<6=44o0g`>5<<uk>387>54;294~"6nj0:<l5G4e;8L1e23-;9<7?4i4494?=n=l0;66g97;29?j7bk3:17pl;7883>1<729q/=km511c8L1b>3A>h96*>2182?l312900e8k50;9j22<722e:in4?::a03c=83>1<7>t$0d`>46f3A>o56F;c49'576=92c>:7>5;h7f>5<<a?=1<75`1da94?=zj=<o6=4;:183!7ak3;;m6F;d89K0f3<,88;6<5f5783>>o2m3:17d88:188k4cd2900qo:mf;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;34?l76<3:17d?>5;29?j7b=3:17pl;b783>6<729q/=km517f8L1b>3A>h96*>21823>o69=0;66g>1483>>i6m<0;66sm29:94?5=83:p(<hl:04g?M2c12B?o85+132965=n98>1<75f10794?=h9l?1<75rb302>5<4290;w)?ic;35`>N3l01C8n;4$003>76<a8;?6=44i036>5<<g8o>6=44}c03`?6=;3:1<v*>fb822a=O<m30D9m:;%314?473`;:87>5;h321?6=3f;n97>5;|`14f<72:0;6=u+1ga953b<@=n27E:l5:&265<582c:=94?::k250<722e:i84?::a65d=8391<7>t$0d`>40c3A>o56F;c49'576=:91b=<:50;9j543=831d=h;50;9~f76f29086=4?{%3eg?71l2B?h45G4b78 4472;:0e<?;:188m4722900c<k::188yg4713:1?7>50z&2bf<6>m1C8i74H5a6?!75838;7d?>4;29?l76=3:17b?j5;29?xd5810;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:909<6g>1583>>o69<0;66a>e483>>{e:9=1<7=50;2x 4`d28<o7E:k9:J7g0=#9;:1>=5f10694?=n98?1<75`1d794?=zj;:=6=4<:183!7ak3;=h6F;d89K0f3<,88;6?>4i037>5<<a8;>6=44o0g6>5<<uk8;97>53;294~"6nj0::i5G4e;8L1e23-;9<7<?;h320?6=3`;:97>5;n3f1?6=3th9<94?:283>5}#9oi1=;j4H5f:?M2d=2.:>=4=0:k251<722c:=84?::m2a0<722wi>==50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5219j542=831b=<;50;9l5`3=831vn?>=:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:328m4732900e<?::188k4c22900qo<?1;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;03?l76<3:17d?>5;29?j7b=3:17pl=0183>6<729q/=km517f8L1b>3A>h96*>21814>o69=0;66g>1483>>i6m<0;66sm1gd94?5=83:p(<hl:04g?M2c12B?o85+132965=n98>1<75f10794?=h9l?1<75rb0df>5<4290;w)?ic;35`>N3l01C8n;4$003>76<a8;?6=44i036>5<<g8o>6=44}c3e`?6=;3:1<v*>fb822a=O<m30D9m:;%314?473`;:87>5;h321?6=3f;n97>5;|`1<d<72=0;6=u+1ga953`<@=n27E:l5:&265<5j2c:=94?::k250<722c:=;4?::m2a0<722wi>?=50;694?6|,8lh6<8i;I6g=>N3k<1/=?>52c9j542=831b=<;50;9j540=831d=h;50;9~f7be290?6=4?{%3eg?71n2B?h45G4b78 4472;?0e<?;:188m4722900e<?9:188k4c22900qo<7c;291?6=8r.:jn4>709K0a?<@=i>7)?=0;00?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl=2483>0<729q/=km51638L1b>3A>h96*>21817>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm2d094?3=83:p(<hl:052?M2c12B?o85+13291==n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb3ff>5<2290;w)?ic;345>N3l01C8n;4$003>72<a8;?6=44i036>5<<a8;=6=44i034>5<<g8o>6=44}c1f6?6=;3:1<v*>fb822a=O<m30D9m:;%314?343`;:87>5;h321?6=3f;n97>5;|`1`=<72=0;6=u+1ga953`<@=n27E:l5:&265<6>2c:=94?::k250<722c:=;4?::m2a0<722wi>5k50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5219j542=831b=<;50;9l5`3=831vn?<8:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:328m4732900e<?::188k4c22900qo<60;290?6=8r.:jn4>6g9K0a?<@=i>7)?=0;0a?l76<3:17d?>5;29?l76>3:17b?j5;29?xd5:00;694?:1y'5ce=9?l0D9j6;I6`1>"6:909n6g>1583>>o69<0;66g>1783>>i6m<0;66sm28094?3=83:p(<hl:052?M2c12B?o85+132966=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb30a>5<2290;w)?ic;345>N3l01C8n;4$003>75<a8;?6=44i036>5<<a8;=6=44i034>5<<g8o>6=44}c110?6=;3:1<v*>fb822a=O<m30D9m:;%314?413`;:87>5;h321?6=3f;n97>5;|`74<<72:0;6=u+1ga953b<@=n27E:l5:&265<512c:=94?::k250<722e:i84?::a7c0=8391<7>t$0d`>40c3A>o56F;c49'576=:01b=<:50;9j543=831d=h;50;9~f62a290>6=4?{%3eg?7092B?h45G4b78 4472;k0e<?;:188m4722900e<?9:188m4702900c<k::188yg54k3:197>50z&2bf<6?81C8i74H5a6?!75838<7d?>4;29?l76=3:17d?>6;29?l76?3:17b?j5;29?xd3810;684?:1y'5ce=9>;0D9j6;I6`1>"6:909m6g>1583>>o69<0;66g>1783>>o69>0;66a>e483>>{e;o?1<7;50;2x 4`d28=:7E:k9:J7g0=#9;:1>l5f10694?=n98?1<75f10494?=n98=1<75`1d794?=zj:>n6=4::183!7ak3;<=6F;d89K0f3<,88;6?o4i037>5<<a8;>6=44i035>5<<a8;<6=44o0g6>5<<uk98n7>55;294~"6nj0:;<5G4e;8L1e23-;9<7<8;h320?6=3`;:97>5;h322?6=3`;:;7>5;n3f1?6=3th?<:4?:483>5}#9oi1=:?4H5f:?M2d=2.:>=4=a:k251<722c:=84?::k253<722c:=:4?::m2a0<722wi?k:50;794?6|,8lh6<9>;I6g=>N3k<1/=?>52`9j542=831b=<;50;9j540=831b=<950;9l5`3=831vn>:k:186>5<7s-;mo7?81:J7`<=O<j?0(<<?:3c8m4732900e<?::188m4712900e<?8:188k4c22900qo=<a;291?6=8r.:jn4>709K0a?<@=i>7)?=0;04?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl;0783>0<729q/=km51638L1b>3A>h96*>2181e>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm3g194?3=83:p(<hl:052?M2c12B?o85+13296d=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb26`>5<2290;w)?ic;345>N3l01C8n;4$003>7g<a8;?6=44i036>5<<a8;=6=44i034>5<<g8o>6=44}c10=?6==3:1<v*>fb8234=O<m30D9m:;%314?403`;:87>5;h321?6=3`;::7>5;h323?6=3f;n97>5;|`755<72:0;6=u+1ga953b<@=n27E:l5:&265<a3`;:87>5;h321?6=3f;n97>5;|`00g<72=0;6=u+1ga953`<@=n27E:l5:&265<602c:=94?::k250<722c:=;4?::m2a0<722wi?>650;694?6|,8lh6<8i;I6g=>N3k<1/=?>5199j542=831b=<;50;9j540=831d=h;50;9~f162290>6=4?{%3eg?7092B?h45G4b78 4472;k0e<?;:188m4722900e<?9:188m4702900c<k::188yg5a:3:197>50z&2bf<6?81C8i74H5a6?!75838j7d?>4;29?l76=3:17d?>6;29?l76?3:17b?j5;29?xd4==0;694?:1y'5ce=9?l0D9j6;I6`1>"6:90:<85f10694?=n98?1<75f10494?=h9l?1<75rb52e>5<2290;w)?ic;345>N3l01C8n;4$003>46c3`;:87>5;h321?6=3`;::7>5;h323?6=3f;n97>5;|`1`2<72<0;6=u+1ga9527<@=n27E:l5:&265<6=2c:=94?::k250<722c:=;4?::k252<722e:i84?::a726=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi?;k50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a73e=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi?;o50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a73>=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi?;850;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a732=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi?;<50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a736=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi8?m50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a07g=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi8?650;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a070=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi8?:50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a074=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi8?>50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a04c=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi?:l50;094?6|,8lh6<8l;I6g=>N3k<1/=?>5f:k251<722e:i84?::a060=8381<7>t$0d`>40d3A>o56F;c49'576=n2c:=94?::m2a0<722wi>hk50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5289j542=831b=<;50;9l5`3=831vn>ok:180>5<7s-;mo7?9d:J7`<=O<j?0(<<?:3;8m4732900e<?::188k4c22900qo<jf;297?6=8r.:jn4>6e9K0a?<@=i>7)?=0;0:?l76<3:17d?>5;29?j7b=3:17pl<ad83>6<729q/=km517f8L1b>3A>h96*>2181=>o69=0;66g>1483>>i6m<0;66sm2g294?5=83:p(<hl:04g?M2c12B?o85+13296<=n98>1<75f10794?=h9l?1<75rb2ce>5<4290;w)?ic;35`>N3l01C8n;4$003>7?<a8;?6=44i036>5<<g8o>6=44}c0e5?6=;3:1<v*>fb822a=O<m30D9m:;%314?4>3`;:87>5;h321?6=3f;n97>5;|`0f5<72:0;6=u+1ga953b<@=n27E:l5:&265<512c:=94?::k250<722e:i84?::a755=83?1<7>t$0d`>4163A>o56F;c49'576==m1b=<:50;9j543=831b=<850;9j541=831d=h;50;9~f7`529086=4?{%3eg?71l2B?h45G4b78 4472;30e<?;:188m4722900c<k::188yg5e93:1?7>50z&2bf<6>m1C8i74H5a6?!7583827d?>4;29?l76=3:17b?j5;29?xd5n:0;6>4?:1y'5ce=9?n0D9j6;I6`1>"6:90956g>1583>>o69<0;66a>e483>>{e;k81<7=50;2x 4`d28<o7E:k9:J7g0=#9;:1>45f10694?=n98?1<75`1d794?=zj;l?6=4<:183!7ak3;=h6F;d89K0f3<,88;6?74i037>5<<a8;>6=44o0g6>5<<uk9i?7>53;294~"6nj0::i5G4e;8L1e23-;9<7<6;h320?6=3`;:97>5;n3f1?6=3th9j84?:283>5}#9oi1=;j4H5f:?M2d=2.:>=4=9:k251<722c:=84?::m2a0<722wi?o:50;194?6|,8lh6<8k;I6g=>N3k<1/=?>5289j542=831b=<;50;9l5`3=831vn?<i:186>5<7s-;mo7?81:J7`<=O<j?0(<<?:318m4732900e<?::188m4712900e<?8:188k4c22900qo<<1;291?6=8r.:jn4>709K0a?<@=i>7)?=0;0`?l76<3:17d?>5;29?l76>3:17d?>7;29?j7b=3:17pl=9783>0<729q/=km51638L1b>3A>h96*>21817>o69=0;66g>1483>>o69?0;66g>1683>>i6m<0;66sm28:94?3=83:p(<hl:052?M2c12B?o85+13296f=n98>1<75f10794?=n98<1<75f10594?=h9l?1<75rb2fe>5<2290;w)?ic;345>N3l01C8n;4$003>46b3`;:87>5;h321?6=3`;::7>5;h323?6=3f;n97>5;|`0a5<72<0;6=u+1ga9527<@=n27E:l5:&265<23`;:87>5;h321?6=3`;::7>5;h323?6=3f;n97>5;|`1aa<72:0;6=u+1ga953b<@=n27E:l5:&265<512c:=94?::k250<722e:i84?::a7g3=8391<7>t$0d`>40c3A>o56F;c49'576=:01b=<:50;9j543=831d=h;50;9~f6bc290?6=4?{%3eg?70=2B?h45G4b78m4732900e<?::188m4`32900c<k::188yg5cm3:197>50z&2bf<6?81C8i74H5a6?!7583i0e<?;:188m4722900e<?9:188m4702900c<k::188yg55?3:197>50z&2bf<6?81C8i74H5a6?!7583;;j6g>1583>>o69<0;66g>1783>>o69>0;66a>e483>>{e;;<1<7;50;2x 4`d28=:7E:k9:J7g0=#9;:19:5f10694?=n98?1<75f10494?=n98=1<75`1d794?=zj=;:6=4<:183!7ak3;=h6F;d89K0f3<,88;6k5f10694?=n98?1<75`1d794?=zj=;96=4<:183!7ak3;=h6F;d89K0f3<,88;6k5f10694?=n98?1<75`1d794?=zj=;86=4<:183!7ak3;=h6F;d89K0f3<,88;6k5f10694?=n98?1<75`1d794?=zj:::6=4=:183!7ak3;=86F;d89K0f3<a8;86=44o0g6>5<<uk9957>52;294~"6nj0::95G4e;8L1e23`;:?7>5;n3f1?6=3th?mi4?:b094?6|,8lh6<kk;I6g=>N3k<1Q984l{e8f>46=980:57?n:0`95f<6:3;86<j51d8~ja0=82dm47>4$042>7=#9?81>6*>1980?!761390(<?n:29'54d=;2.:=n4<;%32`?5<,8l>6<>9;%3e<?4<,88:6>5+13097>"6::087)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75k390(<<k:29'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k390(<=k:29'56c=;2.:?k4<;%374?5<,8>:6>5+15097>"6<:087)?;4;18 4222:1/=9853:&202<43-;?47=4$06:>6=#9=k1?6*>4c80?!73k390(<:k:29'51c=;2.:8k4<;%364?5<,8?:6>5+14097>"6=:087)?:4;18 4322:1/=8853:&212<43-;>47=4$07:>6=#9<k1?6*>5c80?!72k390(<;k:29'50c=;2.:9k4<;%354?5<,8<86<k;;%351?77>2.::;4>079'5`c=9l>0(<ki:0g7?k7a83;97c?i1;31?!7a>3;n86*>1d80?!76n390(9j<:5f1?!2c<3>o>6`;d4810>h3l?0986*>f881?l3>2900e8o50;9j24<722c=>7>5;h337?6=3`;m>7>5;h3e7?6=3`;;87>5;h3ee?6=3`;mn7>5;n7a>5<<g<i1<75f3983>!7bi39<7c?j9;28?l51290/=ho5369m5`?=921b?84?:%3fe?503g;n57<4;h17>5<#9lk1?:5a1d;97>=n;:0;6)?ja;14?k7b13>07d:>:18'5`g=;>1e=h755:9j05<72-;nm7=8;o3f=?0<3`9m6=4+1dc972=i9l31;65f3d83>!7bi39<7c?j9;:8?l5c290/=ho5369m5`?=121b?n4?:%3fe?503g;n57o4;h1a>5<#9lk1?:5a1d;9f>=n;h0;6)?ja;14?k7b13i07d=6:18'5`g=;>1e=h75d:9j77<72-;nm7=8;o3f=?c<3`>36=4+1dc902=i9l31<65f4783>!7bi3><7c?j9;38?l22290/=ho5469m5`?=:21b894?:%3fe?203g;n57=4;h60>5<#9lk18:5a1d;90>=n=80;6)?ja;64?k7b13?07d;?:18'5`g=<>1e=h756:9j0c<72-;nm7:8;o3f=?1<3`>n6=4+1dc902=i9l31465f4e83>!7bi3><7c?j9;;8?l2d290/=ho5469m5`?=i21b8o4?:%3fe?203g;n57l4;h6b>5<#9lk18:5a1d;9g>=n<00;6)?ja;64?k7b13n07d:=:18'5`g=<>1e=h75e:9j<7<72-;nm76>;o3f=?6<3`2;6=4+1dc9<4=i9l31=65f7d83>!7bi32:7c?j9;08?l1c290/=ho5809m5`?=;21b;n4?:%3fe?>63g;n57:4;h5a>5<#9lk14<5a1d;91>=n?h0;6)?ja;:2?k7b13<07d96:18'5`g=081e=h757:9j3=<72-;nm76>;o3f=?><3`=<6=4+1dc9<4=i9l31565f7783>!7bi32:7c?j9;c8?l12290/=ho5809m5`?=j21b;>4?:%3fe?>63g;n57m4;h51>5<#9lk14<5a1d;9`>=n?80;6)?ja;:2?k7b13o07d9?:18'5`g=081e=h75f:9j2c<72-;nm76>;o3f=?7732c=i7>5$0gb>=7<f8o26<?4;h4g>5<#9lk14<5a1d;957=<a?i1<7*>e`8;5>h6m00:?65f6c83>!7bi32:7c?j9;37?>o1i3:1(<kn:938j4c>28?07d66:18'5`g=081e=h751798m=>=83.:il471:l2a<<6?21b4:4?:%3fe?>63g;n57?7;:k;2?6=,8oj65?4n0g:>4?<3`2>6=4+1dc9<4=i9l31=l54i9694?"6mh03=6`>e882f>=n0:0;6)?ja;:2?k7b13;h76g8f;29 4cf21;0b<k6:0f8?l13290/=ho5809m5`?=9l10e;750;&2ad<?92d:i44>f:9je6<72-;nm7o=;o3f=?6<3`k:6=4+1dc9e7=i9l31=65f9g83>!7bi3k97c?j9;08?l?b290/=ho5a39m5`?=;21b5i4?:%3fe?g53g;n57:4;h;`>5<#9lk1m?5a1d;91>=n1k0;6)?ja;c1?k7b13<07d7n:18'5`g=i;1e=h757:9j=<<72-;nm7o=;o3f=?><3`336=4+1dc9e7=i9l31565f9683>!7bi3k97c?j9;c8?l?1290/=ho5a39m5`?=j21b594?:%3fe?g53g;n57m4;h;0>5<#9lk1m?5a1d;9`>=n1;0;6)?ja;c1?k7b13o07d7>:18'5`g=i;1e=h75f:9j=5<72-;nm7o=;o3f=?7732c3j7>5$0gb>d4<f8o26<?4;h:f>5<#9lk1m?5a1d;957=<a1n1<7*>e`8b6>h6m00:?65f8b83>!7bi3k97c?j9;37?>o?j3:1(<kn:`08j4c>28?07don:18'5`g=i;1e=h751798md?=83.:il4n2:l2a<<6?21bm54?:%3fe?g53g;n57?7;:kb3?6=,8oj6l<4n0g:>4?<3`k=6=4+1dc9e7=i9l31=l54i`794?"6mh0j>6`>e882f>=ni=0;6)?ja;c1?k7b13;h76gn0;29 4cf2h80b<k6:0f8?l?2290/=ho5a39m5`?=9l10e5o50;&2ad<f:2d:i44>f:9jec<72-;nm7oj;o3f=?6<3`ko6=4+1dc9e`=i9l31=65fab83>!7bi3kn7c?j9;08?lge290/=ho5ad9m5`?=;21bn94?:%3fe?d43g;n57>4;h`1>5<#9lk1n>5a1d;95>=nj80;6)?ja;`0?k7b13807dl?:18'5`g=j:1e=h753:9j0fe=83.:il4;cc9m5`?=821b8no50;&2ad<3kk1e=h751:9j0f?=83.:il4;cc9m5`?=:21b8n650;&2ad<3kk1e=h753:9j0a7=83.:il4;d19m5`?=821b8nh50;&2ad<3l91e=h751:9j0fc=83.:il4;d19m5`?=:21b8nj50;&2ad<3l91e=h753:9lg`<72-;nm7mk;o3f=?6<3fih6=4+1dc9ga=i9l31=65`c`83>!7bi3io7c?j9;08?je>290/=ho5ce9m5`?=;21do54?:%3fe?ec3g;n57:4;na4>5<#9lk1oi5a1d;91>=hk?0;6)?ja;ag?k7b13<07bm::18'5`g=km1e=h757:9lg1<72-;nm7mk;o3f=?><3fi86=4+1dc9ga=i9l31565`c383>!7bi3io7c?j9;c8?je6290/=ho5ce9m5`?=j21dnk4?:%3fe?ec3g;n57m4;n`f>5<#9lk1oi5a1d;9`>=hjm0;6)?ja;ag?k7b13o07bll:18'5`g=km1e=h75f:9lfg<72-;nm7mk;o3f=?7732eim7>5$0gb>fb<f8o26<?4;n`:>5<#9lk1oi5a1d;957=<gk21<7*>e`8``>h6m00:?65`b683>!7bi3io7c?j9;37?>ie>3:1(<kn:bf8j4c>28?07bj::18'5`g=km1e=h751798ka2=83.:il4ld:l2a<<6?21dh>4?:%3fe?ec3g;n57?7;:mg6?6=,8oj6nj4n0g:>4?<3fn:6=4+1dc9ga=i9l31=l54oe294?"6mh0hh6`>e882f>=hko0;6)?ja;ag?k7b13;h76alb;29 4cf2jn0b<k6:0f8?je7290/=ho5ce9m5`?=9l10co;50;&2ad<dl2d:i44>f:9lb5<72-;nm7ki;o3f=?6<3fon6=4+1dc9ac=i9l31=65`eb83>!7bi3om7c?j9;08?jce290/=ho5eg9m5`?=;21dil4?:%3fe?ca3g;n57:4;ng:>5<#9lk1ik5a1d;91>=hm10;6)?ja;ge?k7b13<07bk8:18'5`g=mo1e=h757:9la3<72-;nm7ki;o3f=?><3fo>6=4+1dc9ac=i9l31565`e583>!7bi3om7c?j9;c8?jc4290/=ho5eg9m5`?=j21di<4?:%3fe?ca3g;n57m4;ng3>5<#9lk1ik5a1d;9`>=hlo0;6)?ja;ge?k7b13o07bjj:18'5`g=mo1e=h75f:9l`a<72-;nm7ki;o3f=?7732eoo7>5$0gb>``<f8o26<?4;nfa>5<#9lk1ik5a1d;957=<gmk1<7*>e`8fb>h6m00:?65`d883>!7bi3om7c?j9;37?>ic03:1(<kn:dd8j4c>28?07bh8:18'5`g=mo1e=h751798kc0=83.:il4jf:l2a<<6?21dj84?:%3fe?ca3g;n57?7;:me0?6=,8oj6hh4n0g:>4?<3fl86=4+1dc9ac=i9l31=l54og094?"6mh0nj6`>e882f>=hn80;6)?ja;ge?k7b13;h76ajd;29 4cf2ll0b<k6:0f8?jc5290/=ho5eg9m5`?=9l10ci950;&2ad<bn2d:i44>f:9lba<72-;nm7hl;o3f=?6<3fli6=4+1dc9bf=i9l31=65`f`83>!7bi3lh7c?j9;08?j`>290/=ho5fb9m5`?=;21d==<50;&2ad<6881e=h750:9l556=83.:il4>009m5`?=921djk4?:%3fe?7792d:i44=;:mea?6=,8oj6<>>;o3f=?5<3th?mh4?:b094?6|,8lh6<kk;I6g=>N3k<1Q984l{e8f>46=980:57?n:0`95f<6:3;86<j51d8~ja0=82dm47>4$042>7=#9?81>6*>1980?!761390(<?n:29'54d=;2.:=n4<;%32`?5<,8l>6<>9;%3e<?4<,88:6>5+13097>"6::087)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75k390(<<k:29'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k390(<=k:29'56c=;2.:?k4<;%374?5<,8>:6>5+15097>"6<:087)?;4;18 4222:1/=9853:&202<43-;?47=4$06:>6=#9=k1?6*>4c80?!73k390(<:k:29'51c=;2.:8k4<;%364?5<,8?:6>5+14097>"6=:087)?:4;18 4322:1/=8853:&212<43-;>47=4$07:>6=#9<k1?6*>5c80?!72k390(<;k:29'50c=;2.:9k4<;%354?5<,8<86<k;;%351?77>2.::;4>079'5`c=9l>0(<ki:0g7?k7a83;97c?i1;31?!7a>3;n86*>1d80?!76n390(9j<:5f1?!2c<3>o>6`;d4810>h3l?0986*>f881?l3>2900e8o50;9j24<722c=>7>5;h337?6=3`;m>7>5;h3e7?6=3`;;87>5;h3ee?6=3`;mn7>5;n7a>5<<g<i1<75f3983>!7bi39<7c?j9;28?l51290/=ho5369m5`?=921b?84?:%3fe?503g;n57<4;h17>5<#9lk1?:5a1d;97>=n;:0;6)?ja;14?k7b13>07d:>:18'5`g=;>1e=h755:9j05<72-;nm7=8;o3f=?0<3`9m6=4+1dc972=i9l31;65f3d83>!7bi39<7c?j9;:8?l5c290/=ho5369m5`?=121b?n4?:%3fe?503g;n57o4;h1a>5<#9lk1?:5a1d;9f>=n;h0;6)?ja;14?k7b13i07d=6:18'5`g=;>1e=h75d:9j77<72-;nm7=8;o3f=?c<3`>36=4+1dc902=i9l31<65f4783>!7bi3><7c?j9;38?l22290/=ho5469m5`?=:21b894?:%3fe?203g;n57=4;h60>5<#9lk18:5a1d;90>=n=80;6)?ja;64?k7b13?07d;?:18'5`g=<>1e=h756:9j0c<72-;nm7:8;o3f=?1<3`>n6=4+1dc902=i9l31465f4e83>!7bi3><7c?j9;;8?l2d290/=ho5469m5`?=i21b8o4?:%3fe?203g;n57l4;h6b>5<#9lk18:5a1d;9g>=n<00;6)?ja;64?k7b13n07d:=:18'5`g=<>1e=h75e:9j<7<72-;nm76>;o3f=?6<3`2;6=4+1dc9<4=i9l31=65f7d83>!7bi32:7c?j9;08?l1c290/=ho5809m5`?=;21b;n4?:%3fe?>63g;n57:4;h5a>5<#9lk14<5a1d;91>=n?h0;6)?ja;:2?k7b13<07d96:18'5`g=081e=h757:9j3=<72-;nm76>;o3f=?><3`=<6=4+1dc9<4=i9l31565f7783>!7bi32:7c?j9;c8?l12290/=ho5809m5`?=j21b;>4?:%3fe?>63g;n57m4;h51>5<#9lk14<5a1d;9`>=n?80;6)?ja;:2?k7b13o07d9?:18'5`g=081e=h75f:9j2c<72-;nm76>;o3f=?7732c=i7>5$0gb>=7<f8o26<?4;h4g>5<#9lk14<5a1d;957=<a?i1<7*>e`8;5>h6m00:?65f6c83>!7bi32:7c?j9;37?>o1i3:1(<kn:938j4c>28?07d66:18'5`g=081e=h751798m=>=83.:il471:l2a<<6?21b4:4?:%3fe?>63g;n57?7;:k;2?6=,8oj65?4n0g:>4?<3`2>6=4+1dc9<4=i9l31=l54i9694?"6mh03=6`>e882f>=n0:0;6)?ja;:2?k7b13;h76g8f;29 4cf21;0b<k6:0f8?l13290/=ho5809m5`?=9l10e;750;&2ad<?92d:i44>f:9je6<72-;nm7o=;o3f=?6<3`k:6=4+1dc9e7=i9l31=65f9g83>!7bi3k97c?j9;08?l?b290/=ho5a39m5`?=;21b5i4?:%3fe?g53g;n57:4;h;`>5<#9lk1m?5a1d;91>=n1k0;6)?ja;c1?k7b13<07d7n:18'5`g=i;1e=h757:9j=<<72-;nm7o=;o3f=?><3`336=4+1dc9e7=i9l31565f9683>!7bi3k97c?j9;c8?l?1290/=ho5a39m5`?=j21b594?:%3fe?g53g;n57m4;h;0>5<#9lk1m?5a1d;9`>=n1;0;6)?ja;c1?k7b13o07d7>:18'5`g=i;1e=h75f:9j=5<72-;nm7o=;o3f=?7732c3j7>5$0gb>d4<f8o26<?4;h:f>5<#9lk1m?5a1d;957=<a1n1<7*>e`8b6>h6m00:?65f8b83>!7bi3k97c?j9;37?>o?j3:1(<kn:`08j4c>28?07don:18'5`g=i;1e=h751798md?=83.:il4n2:l2a<<6?21bm54?:%3fe?g53g;n57?7;:kb3?6=,8oj6l<4n0g:>4?<3`k=6=4+1dc9e7=i9l31=l54i`794?"6mh0j>6`>e882f>=ni=0;6)?ja;c1?k7b13;h76gn0;29 4cf2h80b<k6:0f8?l?2290/=ho5a39m5`?=9l10e5o50;&2ad<f:2d:i44>f:9jec<72-;nm7oj;o3f=?6<3`ko6=4+1dc9e`=i9l31=65fab83>!7bi3kn7c?j9;08?lge290/=ho5ad9m5`?=;21bn94?:%3fe?d43g;n57>4;h`1>5<#9lk1n>5a1d;95>=nj80;6)?ja;`0?k7b13807dl?:18'5`g=j:1e=h753:9j0fe=83.:il4;cc9m5`?=821b8no50;&2ad<3kk1e=h751:9j0f?=83.:il4;cc9m5`?=:21b8n650;&2ad<3kk1e=h753:9j0a7=83.:il4;d19m5`?=821b8nh50;&2ad<3l91e=h751:9j0fc=83.:il4;d19m5`?=:21b8nj50;&2ad<3l91e=h753:9lg`<72-;nm7mk;o3f=?6<3fih6=4+1dc9ga=i9l31=65`c`83>!7bi3io7c?j9;08?je>290/=ho5ce9m5`?=;21do54?:%3fe?ec3g;n57:4;na4>5<#9lk1oi5a1d;91>=hk?0;6)?ja;ag?k7b13<07bm::18'5`g=km1e=h757:9lg1<72-;nm7mk;o3f=?><3fi86=4+1dc9ga=i9l31565`c383>!7bi3io7c?j9;c8?je6290/=ho5ce9m5`?=j21dnk4?:%3fe?ec3g;n57m4;n`f>5<#9lk1oi5a1d;9`>=hjm0;6)?ja;ag?k7b13o07bll:18'5`g=km1e=h75f:9lfg<72-;nm7mk;o3f=?7732eim7>5$0gb>fb<f8o26<?4;n`:>5<#9lk1oi5a1d;957=<gk21<7*>e`8``>h6m00:?65`b683>!7bi3io7c?j9;37?>ie>3:1(<kn:bf8j4c>28?07bj::18'5`g=km1e=h751798ka2=83.:il4ld:l2a<<6?21dh>4?:%3fe?ec3g;n57?7;:mg6?6=,8oj6nj4n0g:>4?<3fn:6=4+1dc9ga=i9l31=l54oe294?"6mh0hh6`>e882f>=hko0;6)?ja;ag?k7b13;h76alb;29 4cf2jn0b<k6:0f8?je7290/=ho5ce9m5`?=9l10co;50;&2ad<dl2d:i44>f:9lb5<72-;nm7ki;o3f=?6<3fon6=4+1dc9ac=i9l31=65`eb83>!7bi3om7c?j9;08?jce290/=ho5eg9m5`?=;21dil4?:%3fe?ca3g;n57:4;ng:>5<#9lk1ik5a1d;91>=hm10;6)?ja;ge?k7b13<07bk8:18'5`g=mo1e=h757:9la3<72-;nm7ki;o3f=?><3fo>6=4+1dc9ac=i9l31565`e583>!7bi3om7c?j9;c8?jc4290/=ho5eg9m5`?=j21di<4?:%3fe?ca3g;n57m4;ng3>5<#9lk1ik5a1d;9`>=hlo0;6)?ja;ge?k7b13o07bjj:18'5`g=mo1e=h75f:9l`a<72-;nm7ki;o3f=?7732eoo7>5$0gb>``<f8o26<?4;nfa>5<#9lk1ik5a1d;957=<gmk1<7*>e`8fb>h6m00:?65`d883>!7bi3om7c?j9;37?>ic03:1(<kn:dd8j4c>28?07bh8:18'5`g=mo1e=h751798kc0=83.:il4jf:l2a<<6?21dj84?:%3fe?ca3g;n57?7;:me0?6=,8oj6hh4n0g:>4?<3fl86=4+1dc9ac=i9l31=l54og094?"6mh0nj6`>e882f>=hn80;6)?ja;ge?k7b13;h76ajd;29 4cf2ll0b<k6:0f8?jc5290/=ho5eg9m5`?=9l10ci950;&2ad<bn2d:i44>f:9lba<72-;nm7hl;o3f=?6<3fli6=4+1dc9bf=i9l31=65`f`83>!7bi3lh7c?j9;08?j`>290/=ho5fb9m5`?=;21d==<50;&2ad<6881e=h750:9l556=83.:il4>009m5`?=921djk4?:%3fe?7792d:i44=;:mea?6=,8oj6<>>;o3f=?5<3th8>84?:583>5}#9oi1=:>4H5f:?M2d=2.:>=4;;h320?6=3`;:97>5;h322?6=3f;=57>5;|`0`f<72<0;6=u+1ga9524<@=n27E:l5:&265<502c:=94?::k250<722c:=;4?::k252<722e::44?::a67b=8391<7>t$0d`>40b3A>o56F;c49'576=:91b=<:50;9j543=831d=;750;9~f74b290?6=4?{%3eg?7082B?h45G4b78 4472;80e<?;:188m4722900e<?9:188k40>2900qo<64;297?6=8r.:jn4>6d9K0a?<@=i>7)?=0;03?l76<3:17d?>5;29?j7113:17pl=9483>1<729q/=km51628L1b>3A>h96*>21816>o69=0;66g>1483>>o69?0;66a>6883>>{t>9i1<79t^72`?84cj3;::63=e38252=::mo1=<94=3f;>473348o;7?>4:?046<69=1v;>n:18`a~X3n91U8h<4^736?[06;2T?i<5Q61d8Z0dc3W<:86P;ed9]0`b<V=oh7S:jb:\7ad=Y<l30R9k7;_6f3>X3m?1U:<h4^73f?[06l2T==n5Q60`8Z37f3W<:56P9199]241<V?:27S8?8:\542=Y>9<0R;>:;_430>X18:1U:=<4^722?[07827?hl4>109>0gd=>>168o<5669>0gg=>>168o75669>0f5=>>168n<5669>764=>116?>?5699>766=>116??h5699>77c=>116?9;5699>712=>116?9=5699>714=>116?9?5699>7`e=>116?hl5699>7`g=>116?h75699>7`>=>116?kh5699>7cc=>116?kj5699>7ce=>116?kl5699>73`=>116?;j5699>73d=>116?;75699>731=>116?;;5699>735=>116?;?5699>70`=>116>h:5699>6`5=9o>01>o;:0d7?85b;3<<70:=b;4;?82513<370:=7;4;?825=3<370:=3;4;?82593<370:>f;4;?826l3<370:nd;7:?82fl3?j70:nd;3e6>;3im0:j>524`f95cg<5=ko6<hm;<6b`?5?34>jh7=l;<6b`?5e34>jh7=n;<6b`?5>34>jh7==;<6b`?2?34>jh7:m;<6b`?2f34>jh7:6;<6b`?2534>jh7o<;<6b`?g634>jh77i;<6b`??b34>jh77k;<6b`??d34>jh77m;<6b`??f34>jh776;<6b`???34>jh778;<6b`??134>jh77;;<6b`??434>jh77=;<6b`??634>jh77?;<6b`?>a34>jh76j;<6b`?>c34>jh76l;<6b`?>e34>jh7on;<6b`?g>34>jh7o7;<6b`?g034>jh7o9;<6b`?g234>jh7o;;<6b`?g734>jh77:;<6b`?>f34>jh7l;;<6b`?d534>jh7l>;<6b`?d734>jh7:k1:?7ea<3ko168lj54bg891gc2=io70:ne;7:?82fm3?j70:ne;3e6>;3il0:j>524`g95cg<5=kn6<hm;<6ba?5?34>ji7=l;<6ba?5e34>ji7=n;<6ba?5>34>ji7==;<6ba?2?34>ji7:m;<6ba?2f34>ji7:6;<6ba?2534>ji76=;<6ba?1?34>ji78i;<6ba?>034>ji7o<;<6ba?g634>ji77i;<6ba??b34>ji77k;<6ba??d34>ji77m;<6ba??f34>ji776;<6ba???34>ji778;<6ba??134>ji77;;<6ba??434>ji77=;<6ba??634>ji77?;<6ba?>a34>ji76j;<6ba?>c34>ji76l;<6ba?>e34>ji7on;<6ba?g>34>ji7o7;<6ba?g034>ji7o9;<6ba?g234>ji7o;;<6ba?g734>ji77:;<6ba?>f34>ji7oi;<6ba?gc34>ji7ol;<6ba?ge34>ji7l;;<6ba?d534>ji7l>;<6ba?d734>ji7:k1:?7e`<3ko168lk54bg891gb2=io7p}90d83>6}Y>9o019lm:0ga?82e:3;nn6s|5c694?4|V<h?70<j0;3fg>{t>;;1<78t^702?82683;:863;0g8251=:<8;1=<:4=531>47334>:?7?>4:p1c`=83=:wS;if:?7f1<2>27?n>4:6:?7f7<2>27?nl4:6:?7f<<2>2789?4:6:?1<3<2>279484:6:?1<1<2>2794>4:6:?1<7<2>2794<4:6:?1<5<2>279;k4:6:?13`<2>279oh4:6:?1ga<2>279on4:6:?1gg<2>279ol4:6:?1g<<2>279o54:6:?1g2<2>279o;4:6:?1ff<2>279no4:6:?1fd<2>279n44:6:?1f=<2>279n:4:6:?1f3<2>279n84:6:?1f1<2>279884:6:?101<2>2798>4:6:?107<2>2798<4:6:?105<2>279?k4:6:?17`<2>279?i4:6:?17f<2>2784k4:6:?0<`<2>2784n4:6:?0<g<2>2784i4:6:?0<d<2>278444:6:?0<2<2>2784;4:6:?0<=<2>278m>4:6:?0e7<2>278m<4:6:?0e5<2>2785k4:6:?0=`<2>2785i4:6:?0=f<2>2785o4:6:?0=d<2>278>?4:6:?04c<2>278=:4:6:?053<2>278=84:6:?051<2>278=>4:6:?057<2>278=<4:6:?055<2>278<h4:6:?1`f<2>279h44:6:?1a5<2>279hk4:6:?7<3<2>27?484:6:?7<6<2>27?4?4:6:?7<4<2>27?4=4:6:?73c<2>27?;h4:6:?73a<2>27?;n4:6:?73g<2>27?;l4:6:?73=<2>27?;:4:6:?733<2>27?;84:6:?731<2>27?;>4:6:?737<2>27?;<4:6:?735<2>27?:k4:6:?7<f<2>27?4o4:6:?7<d<2>27?444:6:?7<=<2>27?4:4:6:?7<1<2>27?;44:6:?72`<2>27?:i4:6:?7ea<1:27?mh492:p1gg=838pR8ln;<63`?7bk2wx9ok50;0xZ0db3499>7?jc:p240=83?>wS8>6:?7fa<2>27?no4:6:?7ff<2>27?o>4:6:?7g7<2>27?<i4:6:?74f<2>279=k4:6:?15`<2>279=i4:6:?15f<2>279=o4:6:?15d<2>279=44:6:?15=<2>279=:4:6:?12g<2>279:l4:6:?12<<2>279:54:6:?122<2>279:;4:6:?120<2>279:94:6:?126<2>279944:6:?11=<2>2799:4:6:?113<2>279984:6:?111<2>2799>4:6:?117<2>2799<4:6:?115<2>279ml4:6:?1e<<2>279m54:6:?1e2<2>279m;4:6:?1e0<2>279m94:6:?1e6<2>279m?4:6:?0`5<2>278ok4:6:?0g`<2>278oi4:6:?0gf<2>278oo4:6:?0gd<2>278o44:6:?0g=<2>278i>4:6:?0`d<2>27?854:6:?702<2>27?8;4:6:?700<2>27?894:6:?706<2>27?8<4:6:?705<2>27?8?4:6:?711<2>27?9?4:6:?716<2>27?984:6:?713<2>27?9:4:6:?71=<2>27?944:6:?71d<2>27?:n4:6:?72g<2>27?:l4:6:?72<<2>27?:54:6:?722<2>27?:;4:6:?720<2>27?:94:6:?7ea<1927?mh491:p1`6=838pR8k?;<1ge?7bk2wx9ll50;0xZ0>e34>3:7?jc:p1d?=838pR86n;<6;1?7bk2wx9l950;0xZ0>?34>3?7?jc:p1d0=838pR868;<6;6?7bk2wx9l;50;0xZ0>134>3=7?jc:p1d2=838pR86:;<6;4?7bk2wx9l=50;0xZ0>334><j7?jc:p1d4=838pR86<;<64a?7bk2wx9l?50;0xZ0>534><h7?jc:p1d6=838pR86>;<64g?7bk2wx94h50;0xZ0>734><n7?jc:p1<c=838pR89i;<64e?7bk2wx94m50;0xZ01c34><47?jc:p1<d=838pR89l;<643?7bk2wx94o50;0xZ01e34><:7?jc:p1<?=838pR89n;<641?7bk2wx94650;0xZ01>34><87?jc:p1<1=838pR897;<647?7bk2wx94850;0xZ01034><>7?jc:p1<3=838pR899;<645?7bk2wx94:50;0xZ01234><<7?jc:p1<5=838pR89;;<65b?7bk2wx9o<50;0xZ0?634>3o7?jc:p1g7=838pR87?;<6;f?7bk2wx9o>50;0xZ0>a34>3m7?jc:p1d`=838pR86j;<6;=?7bk2wx9lk50;0xZ0>c34>347?jc:p1db=838pR86l;<6;3?7bk2wx9lm50;0xZ0>>34>387?jc:p1d>=838pR89j;<64=?7bk2wx94j50;0xZ01434>=i7?jc:p1<4=838pR89=;<65`?7bk2wx9;j50;0xZ04c34>ji78j;|q62g<72;qU9?m4=5cf>3b<uz?=m7>52z\66g=:<ho1:n5rs44:>5<5sW?9m63;ad85f>{t=?=1<7<t^40;?82fm3<j7p}:6783>7}Y=;=019oj:9;8yv31=3:1>vP:279>0dc=011v88;:181[35=27?mh476:p135=838pR8<;;<6ba?>23ty>:?4?:3y]175<5=kn65:4}r755?6=:rT>>?524`g9<6=z{<<;6=4={_715>;3il0<j6s|54d94?4|V<8;70:ne;57?xu2=l0;6?uQ50d891gb2?30q~;:c;296~X29m168lj5ab9~w03e2909wS;>c:?7ea<1n2wx98o50;0xZ07e34>jh78j;|q61<<72;qU9<o4=5cg>3b<uz?>47>52z\65<=:<hn1:n5rs474>5<5sW?:463;ae85f>{t=<<1<7<t^434?82fl3<j7p}:5483>7}Y=8<019ok:9;8yv32<3:1>vP:149>0db=011v8;<:181[36<27?mi4nb:p107=838pR8?=;<6b`?>03ty>9=4?:3y]147<5=ko6584}r77b?6=:rT>==524`f9<0=z{<>n6=4={_73b>;3im0386s|55f94?4|V<:n70:nd;:0?xu2<j0;6?uQ51f891gc2>l0q~;;b;296~X28j168lj5759~w02f2909wS;?b:?7ea<112wx99750;0xZ06f34>ji76?;|q60=<72;qU9=74=5cf>2c<uz??:7>52z\642=:<ho1;i5rs466>5<5sW?;:63;ad84g>{t==>1<7<t^426?82fm3=i7p}:4283>7}Y=9>019oj:6c8yv33:3:1>vP:029>0dc=?01v8:>:181[37:27?mh487:p116=838pR8>>;<6ba?113ty>?k4?:3y]156<5=kn6:;4}r70a?6=:rT?jk524`g936=z{<9o6=4={_6ea>;3il0<>6s|52`94?4|V=lh70:ne;52?xu2;h0;6?uQ4g`891gb2>:0q~;<9;296~X3nh168lj5ag9~w05?2909wS:i9:?7ea<?:2wx9>950;0xZ1`?34>jh76?;|q673<72;qU8k94=5cg>2c<uz?897>52z\7b3=:<hn1;i5rs417>5<5sW>m963;ae84g>{t=:91<7<t^5d7?82fl3=i7p}:3383>7}Y<o9019ok:6c8yv3093:1>vP:319>0db=?01v89?:181[35n27?mi4nd:p13`=838pR8<j;<6b`?1?3ty>:h4?:3y]17?<5=ko6:94}r75<?6=:rT>=h524`f933=z{<?o6=4={_727>;3im0<96s|54094?4|V<:370:nd;50?xu2<>0;6?uQ4gf891gc2>80q~;<c;296~X3n;168lj5709~w0562909wS:i1:?7ea<082wx=:650;gx91be28o<70==e;43?85393<;70=j8;43?85aj3<;70=81;43?852n3<;70<j3;43?85f<3<;70:=d;43?826l3<;70=kd;321>;3im0:<>524`g9555<uz>i?7>53z?7f1<1?27?n>4>eb9>0g4==l1v9l;:18182e<3;no63;b78251=z{=hh6=4<{<6a`?0034>in7;j;<6ag?7bk2wx8oj50;0x91dc28oh70:mf;320>{t<k81<7=t=5`0>31<5=h96<kl;<6a2?76=2wx8ol50;1x91de28oh70:mc;44?82en3;:96s|4c794?5|5=hj6<km;<6a=?7bj27?n;4>e49~w1d?29099v3;b`82af=:;<81=hl4=2:e>35<5:2n6;=4=2:`>35<5:2i6;=4=2:g>35<5:2j6;=4=2::>35<5:2<6;=4=2:5>4ce3493478<;<1b7?04349j>78<;<1b5?04349j<78<;<1:b?043492i78<;<1:`?043492o78<;<1:f?043492m78<;<116?7bj278<k493:?052<1;278=;493:?050<1;278=9493:?056<1;278=?493:?054<1;278==493:?04`<1;279hn493:?1`<<1;279i=4>ec9>6a`=9lh0q~:m7;2963}:<k31=hm4=3:5>35<5;2>6;=4=3:7>35<5;286;=4=3:1>35<5;2:6;=4=3:3>35<5;=m6;=4=35f>35<5;in6;=4=3ag>35<5;ih6;=4=3aa>35<5;ij6;=4=3a:>35<5;i36;=4=3a4>35<5;i=6;=4=3``>35<5;hi6;=4=3`b>35<5;h26;=4=3`;>35<5;h<6;=4=3`5>35<5;h>6;=4=3`7>35<5;>>6;=4=367>35<5;>86;=4=361>35<5;>:6;=4=363>35<5;9m6;=4=31f>35<5;9o6;=4=31`>35<uz>ii7>53z?7g6<6mk168n<51d`891da28o>7p};c083>7?|5=i86<kl;<63`?7bj27?<n4>ec9>7a6=>:16?nh5629>7fc=>:16?nj5629>7fe=>:16?nl5629>7fg=>:16?n75629>7f>=>:16?h=51d`896bf28oi70:;8;40?823?3<870:;6;40?823=3<870:;4;40?823;3<870:;1;3ff>;3<90=?63;43857>;3==0=?63;5382ag=:<<91:>52447926=:<<<1:>52445926=:<<21:>5244;926=:<<k1:>5247a926=:<?h1:>5247c926=:<?31:>5247:926=:<?=1:>52474926=:<??1:>52476926=z{=i;6=4=6z?7g7<6mj16><h5629>64c=>:16><j5629>64e=>:16><l5629>64g=>:16><75629>64>=>:16><95629>63d=>:16>;o5629>63?=>:16>;65629>631=>:16>;85629>633=>:16>;:5629>635=>:16>875629>60>=>:16>895629>600=>:16>8;5629>602=>:16>8=5629>604=>:16>8?5629>606=>:16>lo5629>6d?=>:16>l65629>6d1=>:16>l85629>6d3=>:16>l:5629>6d5=>:16>l<5629~w6342909w0=:2;44?852<3;n96s|34094?3|5:?96<kl;<0ga?76<279h:4>149>755=98?01>>>:030?xu38l0;6>u241f922=:<9i1::5241d95`3<uz>;o7>57z?74f<6mj16?h<51078917728;>70:?f;321>;3980:=8524009543<5=;86<?:;|q06a<72;q6?><5619>767=9l?0q~=<7;296~;4;;0:j95232a95`3<uz9><7>52z?077<6m<16?8:51048yv55k3:1>v3<30854>;4;90:i85rs215>5<5s498=7?i4:?07g<6m<1v><m:18185483<;70==f;3f1>{t;:?1<7<t=213>4`33498m7?j5:p77g=838p1><i:728964b28o>7p}<3583>7}:;;l1=k:4=21:>4c23ty8?>4?:3y>77c=9o>01>=7:0g6?xu4<90;6?u2357925=:;=>1=h;4}r17e?6=:r78884>f59>71`=9l?0q~=:1;296~;4<<0:i8523469543<uz98j7>52z?001<182788>4>e49~w62>2909w0=;4;3e0>;4<l0:i85rs21f>5<5s49??78?;<176?7b=2wx?9650;0x962428l?70=;d;3f1>{t;:n1<7<t=261>36<5:>:6<k:;|q002<72;q6?9<51g68962d28o>7p}<4783>7}:;=;1=k:4=26a>4c23ty8i:4?:3y>7`e=>916?hl51d78yv5a93:1>v3<eb82b1=:;o<1=h;4}r63e?6=:r78in4>e49>05`=98=0q~=j6;296~;4mk0=<63<e`82a0=z{:l;6=4={<1ff?7a<278j84>e49~w6c22909w0=ja;43?85b13;n96s|3dd94?4|5:oj6<h;;<1e0?7b=2wx?h:50;0x96c>2?:01>k7:0g6?xu4ml0;6?u23d;95c2<5:l86<k:;|q0aa<72;q6?h651g6896`528o>7p}<f`83>7}:;ol1:=523gg95`3<uz>;87>52z?0bc<6n=168=751d78yv27j3:1>v3<fg82a0=:<9l1=<84}r1e=?6=:r78jh490:?0ba<6m<1v9><:18185am3;m863;0982a0=z{:l36=4={<1e`?07349mo7?j5:p054=838p1>hk:0d7?827?3;n96s|3g594?4|5:lh6;>4=2da>4c23ty?<<4?:3y>7ce=9o>019>9:0g6?xu3890;6?u23g`95c2<5=:>6<k:;|q127<72<q6><h5669>63d=9li01?<>:036?845;3;:863=248250=z{;;=6=4;{<02b?7bk27?<44>149>7c0=98?01>l::036?xu5:90;6?u220g922=::;;1=h;4}r021?6=<r79=h4>eb9>05>=98?01>h::036?85e<3;:96s|23094?4|5;;o6;94=300>4c23ty9=94?:5y>64b=9li019>7:034?85a=3;:;63<b28250=z{;8?6=48{<02g?00348997?j5:?162<69<16>?751048974e28;<70<=f;323>;5;80:=:5rs330>5<3s48:o7?jc:?742<69<16?k:5107896d528;>7p}=2783>7}::8h1::5223595`3<uz8:>7>54z?15g<6mj168=95105896`328;<70=m1;321>{t:;21<7<t=33b>31<5;826<k:;|q154<72=q6><o51da8916128;>70=i3;321>;4j90:=85rs30b>5<5s48:5788;<01f?7b=2wx><>50;6x977>28oh70:?6;323>;4n:0:=:523`d9543<uz89o7>52z?15=<1?279>k4>e49~w76a290?w0<>8;3fg>;38<0:=8523g09543<5:kn6<?:;|q175<72;q6><95669>667=9l?0q~<?e;290~;59>0:in524179541<5:l96<?8;<1b`?76=2wx>n;50;7x97>12?=01?mj:0g`?84?03;:963=8`8251=::1i1=<;4}r04`?6=<r794;4>eb9>71`=98?01>=l:036?84bl3;:86s|29594?4|5;2>6;94=3:;>4c23ty9;n4?:4y>6=3=9li01?kl:7:8962a28;<70=<c;320>;5n<0:=95rs3::>5<5s4838788;<0;e?7b=2wx>:l50;7x97>328oh70<jb;4;?853m3;:963<3c8250=::o>1=<:4}r0;f?6=?r794>497:?1<f<6m<16>5k5107897?728;=70<62;323>;51?0:=:5228:9541<uz8<m7>55z?1<6<6mj16>ho5699>71c=98=01>=m:037?84a;3;:86s|29f94?4|5;296;94=3:f>4c23ty9;44?:4y>6=4=9li01?k6:7:8962c28;>70=<a;321>;5n;0:=95rs3:e>5<5s483=788;<0:4?7b=2wx>:650;7x97>628oh70<j8;4;?853l3;:;63<3`8251=::o;1=<:4}r0:5?6=:r794=497:?1=7<6m<1v?98:18684?83;no63=e685<>;4<j0:=85232;9543<5;l;6<?;;|q1=6<72;q6>:h5669>6<0=9l?0q~<86;291~;5?o0:in522d492==:;=i1=<94=21:>473348nj7?>4:p6<1=838p1?9j:75897??28o>7p}=7483>0}::>o1=hm4=3g6>3><5:>i6<?9;<10<?76>279ih4>159~w7d42909w0<le;44?84ek3;no6s|2c094?4|5;io6;94=3`a>4cd3ty9o94?:5y>6fb=9li01?67:037?84?i3;:963=8b8253=z{;h:6=4={<0`g?00348im7?jc:p6f5=839p1?ml:0g`?84?i3;::63=8b8252=z{;h;6=4={<0`f?00348i57?jc:p6f4=838p1?mm:0g`?84?k3;:86s|2`d94?4|5;ij6;94=3`;>4cd3ty9o<4?:7y>6fg=9li01?6j:037?84>83;:963=938253=::0<1=<;4=3;;>4723ty9mh4?:3y>6f?=>>16>o951da8yv4d83:19v3=c882af=::0:1=<:4=3;1>4733482:7?>4:?1==<69=1v?ok:18184d03<<70<m6;3fg>{t:kl1<7:t=3a;>4cd3482>7?>5:?1=1<69=16>4;51068yv4fk3:1>v3=c6853>;5j<0:in5rs3`f>5<4s48h;7?jc:?1=1<69<16>4;51078yv4fj3:1>v3=c7853>;5j=0:in5rs3`g>5<5s48h:7?jc:?1=0<69?1v?:i:181841j3<<70<:9;3fg>{t:=o1<7<t=34b>31<5;?36<kl;|q124<72=q6>;o51da8974628;?70<=3;321>;5:<0:=;5rs36g>5<5s48=5788;<063?7bk2wx>;>50;1x970>28oh70<=3;322>;5:<0:=:5rs36`>5<5s48=4788;<062?7bk2wx>8h50;0x970?28oh70<=5;320>{t:=h1<7<t=344>31<5;?>6<kl;|q11`<72?q6>;951da8974028;?70<=9;321>;5:k0:=;5223d9543<5;9:6<?:;|q10d<72;q6>;85669>602=9li0q~<:d;291~;5>?0:in5223;9542<5;8i6<?;;<01b?76<279?<4>159~w72>2909w0<95;44?842;3;no6s|24a94?2|5;<>6<kl;<01f?76=279>i4>159>67c=98>0q~<;8;296~;5>=0=;63=5382af=z{;?i6=4<{<050?7bk279>i4>149>67c=98?0q~<;7;296~;5>:0=;63=5082af=z{;?j6=4={<057?7bk279>h4>179~w7g62909w0<mc;44?84fi3;no6s|2`294?4|5;hi6;94=3c:>4cd3ty95k4?:3y>6gg=>>16>l651da8yv4>m3:1>v3=b8853>;5i>0:in5rs3;g>5<5s48i4788;<0b2?7bk2wx>4m50;0x97d02?=01?o::0g`?xu51k0;6?u22c4922=::h>1=hm4}r0:e?6=:r79n8497:?1e6<6mj1v?76:18184e<3<<70<n2;3fg>{t::h1<7<t=37:>31<5;>>6<kl;|q17d<72;q6>865669>612=9li0q~<<9;296~;5=>0=;63=4282af=z{;936=4={<062?00348?>7?jc:p661=838p1?;::758972628oh7p}=3783>7}::<>1::5225295`e<uz8897>52z?116<1?279?k4>eb9~w7532909w0<:2;44?844m3;no6s|22194?4|5;?:6;94=31g>4cd3ty9??4?:3y>606=>>16>>m51da8yv43>3:1=v3=5182af=z{:326=48{<071?00349j?7?jc:?2ba<69=16?>m5105897cc28;>70:nd;65?82fm3>=7p}=6b83>7}::=>1::521gf95`3<uz8=h7>52z?106<1?27:jh4>e49~w70b2909w0<;2;44?87an3;n96s|27d94?4|5;>:6;94=323>4c23ty9;=4?:3y>616=>>16>=?51d78yv4093:1>v3=3g853>;58;0:i85rs351>5<5s488i788;<037?7b=2wx>:=50;0x975c2?=01?>;:0g6?xu5?=0;6?u222a922=::9?1=h;4}r657?6==r79ml497:?72f<6mj16>=85106891gc2:<019oj:248yv4dn3:1>v3=a8853>;58?0:i85rs3f3>5<5s48j4788;<033?7b=2wx>i?50;0x97g02?=01?>7:0g6?xu5l;0;6?u22`4922=::931=h;4}r0g7?6=:r79m8497:?14d<6m<1v?j;:18184f<3<<70<?b;3f1>{t:m?1<7<t=3c0>31<5;:h6<k:;|q1`3<72;q6>l<5669>65b=9l?0q~:nf;295=}:;1l19h5239g91`=:;1i19h5239`91`=:;1n19h5239c91`=:;1319h5239591`=:;1<19h5239:91`=:;h919h523`091`=:;h;19h523`291`=:;0l19h5238g91`=:;0n19h5238a91`=:;0h19h5238c91`=:;<>1=<:4=3f4>4c234>jh7??4:?7e`<68=1v>;j:18185?n3<<70=8a;3f1>{t;1?1<7:t=2:e>4cd349j?788;<17b?76<278;o4>159~w63c2909w0=7e;44?85013;n96s|39694?2|5:2n6<kl;<1b6?00349?j7?>6:?035<69=1v>;m:18185?k3<<70=87;3f1>{t;181<7:t=2:`>4cd349j<788;<17a?76>278:n4>159~w63f2909w0=7b;44?850>3;n96s|39394?2|5:2i6<kl;<1:b?00349?h7?>4:?02d<69=1v>;l:18185?l3<<70=88;3f1>{t;191<7:t=2:g>4cd349j=788;<17a?76<278:h4>159~w63>2909w0=7a;44?850=3;n96s|39294?2|5:2j6<kl;<1:a?00349?h7?>6:?02=<69=1v>;7:18185?13<<70=84;3f1>{t;>l1<7:t=2::>4cd3492h788;<17g?76<278:;4>159~w6312909w0=77;44?850:3;n96s|36f94?2|5:2<6<kl;<1:f?00349?n7?>5:?027<69=1v>;::18185?>3<<70=81;3f1>{t;>i1<7:t=2:5>4cd3492m788;<17f?76<278:=4>159~w6302909w0=78;44?850;3;n96s|36g94?2|5:236<kl;<1:g?00349?o7?>6:?021<69=1v>8i:181850i3<;70=9f;3f1>{t;>h1<7<t=25b>40?349<n7?j5:p73b=839p1>96:728960a2?:01>8k:0g6?xu4?90;6>u236;953><5:<m6<h;;<144?7b=2wx?;l50;1x961?2?:01>8k:728960e28o>7p}<6d83>6}:;>21=;64=24g>4`3349=i7?j5:p73?=839p1>98:728960e2?:01>86:0g6?xu4>j0;6>u2365953><5:<i6<h;;<15g?7b=2wx?;950;1x96112?:01>86:728960028o>7p}<6`83>6}:;><1=;64=24:>4`3349=m7?j5:p733=839p1>9::72896002?:01>8::0g6?xu4>10;6>u2367953><5:<<6<h;;<15<?7b=2wx?;=50;1x96132?:01>8::728960428o>7p}<6783>6}:;>>1=;64=246>4`3349=:7?j5:p737=839p1>9<:72896042?:01>8>:0g6?xu4>=0;6>u2361953><5:<86<h;;<150?7b=2wx?8h50;1x96152?:01>8>:728963a28o>7p}<6383>6}:;>81=;64=242>4`3349=>7?j5:p736=839p1>9>:04;?852n3;m863<6182a0=z{:336=48{<1b6?7bk27:jh4>159>5cb=98?01>=l:035?84a=3;:963;ae871>;3il0?96s|38594?1|5:k:6<kl;<3eb?76<27:jh4>149>76d=98=01?h;:036?82fl3>?70:ne;67?xu41?0;6:u23`295`e<5;:;6<?;;<3eb?76=278?o4>179>6c5=98?019ok:51891gb2=90q~=65;293~;41o0:in522139542<5;:;6<?:;<10e?76?279j?4>149>0db==8168lk5509~w6?3290<w0=6e;3fg>;58;0:=9522139543<5:9j6<?9;<0e5?76=27?mi4:0:?7e`<282wx?4=50;5x96?c28oh70<?3;320>;58;0:=85232;9541<5;l;6<?:;<6b`?2a34>ji7:i;|q0=7<72>q6?4m51da8976328;?70<?3;321>;4;00:=;522dd9543<5=ko69k4=5cf>1c<uz92=7>57z?0=g<6mj16>=;51068976328;>70=<8;321>;5ml0:=8524`f90a=:<ho18i5rs2;3>5<1s492m7?jc:?140<69<16?>651068964>28;870:nd;6`?82fm3>h7p}=eb83>7}::o<1:=522da95`3<uz8nh7>52z?1b3<6>116>hj51d78yv5603:1>v3=f782a0=:;9l1::5rs3ga>5<4s48mj78?;<0fg?07348nn7?j5:p6c3=839p1?hi:04;?84bk3;m863=f482a0=z{:8:6=4={<0eb?7b=278=:497:p6`g=839p1?hj:72897ce2?:01?kn:0g6?xu5n=0;6>u22gg953><5;oi6<h;;<0e0?7b=2wx??>50;0x97`b28o>70=>6;44?xu5m00;6>u22gf925=::lk1:=522d;95`3<uz8m?7>53z?1ba<6>116>ho51g6897`428o>7p}<1g83>7}::on1=h;4=236>31<uz8n47>53z?1bf<18279i4490:?1a=<6m<1v?h=:18084ak3;=463=e882b1=::o81=h;4}r12a?6=:r79jn4>e49>742=>>1v?k8:18084aj3<;70<j8;43?84b?3;n96s|2g394?5|5;li6<87;<0f<?7a<279j<4>e49~w67c2909w0<ib;3f1>;49:0=;6s|2d494?5|5;lj6;>4=3g4>36<5;o=6<k:;|q1b5<72:q6>ko517:897c028l?70<i0;3f1>{t;8i1<7<t=3db>4c2349:>788;|q1a0<72:q6>k75619>6`0=>916>h;51d78yv4bn3:1?v3=f8822==::l<1=k:4=3ge>4c23ty8=o4?:3y>6c?=9l?01>?>:758yv4b<3:1?v3=f9854>;5m<0=<63=e582a0=z{;on6=4<{<0e<?710279i84>f59>6`c=9l?0q~=>a;296~;5n10:i852302922=z{;o86=4<{<0e3?07348n878?;<0f7?7b=2wx??650;1x97`028<370<j4;3e0>;4:00:i85rs23:>5<5s48m;7?j5:?04`<1?2wx?=<50;0x97c42?201>><:0g6?xu4890;6?u233091`=:;9;1=h;4}r117?6=:r78>?497:?063<6m<1v>>;:181857n3;no63<248250=z{::o6=4={<123?7bk278>84>159~w66d2909w0=>6;3fg>;4:<0:=;5rs22a>5<5s49:97?jc:?061<69=1v>>n:181856<3;no63<258250=z{::26=4={<127?7bk278>;4>159~w66?2909w0=>2;3fg>;4:>0:=95rs224>5<5s49:=7?jc:?062<69>1v>>9:18185683;no63<268250=z{::>6=4={<13a?7bk278>:4>179~w7bc2909w0<kc;44?84cm3;n96s|2ea94?1|5;nh6<kl;<0gf?76=279i?4>179>6ac=98<01?j7:035?84c?3;:;63<028252=z{;nj6=4={<0g=?00348on7?j5:p6a?=83=p1?j6:0g`?84cj3;:863=e38250=::mo1=<;4=3f;>472348o;7?>6:?046<69?1v?k>:18084b83<<70<kf;44?84b:3;n96s|2ed94?4|5;nm6<kl;<0f6?76<2wx?lm50;0x96db2?:01>ol:0g6?xu4j<0;6?u23cg953><5:h>6<k:;|q0`<<72;q6?ok51d7896b72?=0q~=nb;297~;4jm0=<63<ab854>;4ik0:i85rs2`7>5<4s49ih7?98:?0ef<6n=16?o:51d78yv5c03:1>v3<be82a0=:;jl1::5rs573>5<2s49jo787;<66=?7bk27?:o497:?0b0<69=16?o:51068yv5fi3:1?v3<bb854>;4ik0=<63<a`82a0=z{:h86=4<{<1ag?710278mo4>f59>7g5=9l?0q~=k7;296~;4jj0:i8523bg922=z{=>m6=4:{<1bf?0?34>>47?jc:?72d<1?278j84>179>7g5=98>0q~=n9;297~;4jk0=<63<a`854>;4i00:i85rs2`1>5<4s49in7?98:?0ed<6n=16?o<51d78yv5c>3:1>v3<bc82a0=:;jn1::5rs56f>5<2s49jm787;<663?7bk27?:4497:?0b1<69=16?o<51068yv5f03:1?v3<b`854>;4i00=<63<a982a0=z{:h:6=4<{<1ae?710278m44>f59>7g7=9l?0q~=k5;296~;4jh0:i8523ba922=z{=>o6=4:{<1b=?0?34>>:7?jc:?72=<1?278j94>179>7g7=98>0q~=n7;297~;4j00=<63<a9854>;4i>0:i85rs2`3>5<4s49i57?98:?0e=<6n=16?o>51d78yv5c<3:1>v3<b882a0=:;jh1::5rs56`>5<2s49j4787;<661?7bk27?::497:?0b6<69=16?o>51068yv5f>3:1?v3<b9854>;4i>0=<63<a782a0=z{:km6=4<{<1a<?710278m:4>f59>7d`=9l?0q~=k3;296~;4j10:i8523bc922=z{=>i6=4:{<1b3?0?34>>87?jc:?723<1?278j>4>179>7d`=98>0q~=n5;297~;4j>0=<63<a7854>;4i<0:i85rs2cf>5<4s49i;7?98:?0e3<6n=16?lk51d78yv5c:3:1>v3<b682a0=:;j31::5rs56b>5<2s49j:787;<667?7bk27?:8497:?0b7<69=16?lk51068yv5f<3:1?v3<b7854>;4i<0=<63<a582a0=z{:ko6=4<{<1a2?710278m84>f59>7db=9l?0q~=k1;296~;4j?0:i8523b:922=z{=>26=4:{<1b1?0?34>>>7?jc:?721<1?278j?4>179>7db=98>0q~:>0;296~;4i=0=463;1082a0=z{:i<6=4<{<1g4?7bk278hk4>159>7ac=98=0q~=l6;297~;4ko0:in523ed9540<5:nn6<?:;|q0g0<72:q6?nk51da896ba28;>70=ke;322>{t;j>1<7<t=2ag>4cd349oi7?>4:p7f5=838p1>ml:0g`?85b83;:86s|3b094?4|5:ii6<kl;<1gg?76<2wx?n?50;0x96ef28oh70=kc;321>{t;j:1<7<t=2a:>4cd349oo7?>6:p7g`=838p1>m7:0g`?85ck3;:;6s|3d194?2|5:o86<kl;<1f6?76<278hk4>169>7ab=9o>0q~=j1;296~;4lh0>i63<e382a0=z{:ni6=4={<1ge?00349n<7?j5:p047=83;8w0:;8;7f?823?3?n70:;6;7f?823=3?n70:;4;7f?823;3?n70:;1;7f?82383?n70:;2;7f?822<3?n70::2;7f?822;3?n70::5;7f?822>3?n70::7;7f?82203?n70::9;7f?822i3?n70:>2;3f1>{t<8i1<7<t=56;>31<5=9>6<k:;|q77c<72=q689651da8913f2?=019>6:037?824>3;:86s|40`94?4|5=><6;94=517>4c23ty??h4?:5y>011=9li019;6:758916?28;?70:=c;320>{t<8k1<7<t=565>31<5=986<k:;|q77a<72=q689851da8913?2?=019>7:035?825i3;:86s|40;94?4|5=>>6;94=511>4c23ty??n4?:5y>013=9li019;8:758916028;?70:=8;320>{t<821<7<t=567>31<5=9:6<k:;|q77g<72=q689:51da891312?=019>8:035?825>3;:86s|40594?4|5=>86;94=513>4c23ty??l4?:5y>015=9li019;::758916128;?70:=4;320>{t<8?1<7<t=562>31<5=8n6<k:;|q77=<72=q689?51da891342?=019>::037?82583;:86s|40694?4|5=>;6;94=50g>4c23ty??:4?:5y>016=9li019;=:758916228;=70:>e;320>{t<8<1<7<t=561>31<5=8m6<k:;|q77<<72=q689<51da891332?=019>9:035?825:3;:86s|43`94?4|5=9>6;>4=50a>4c23ty??;4?:3y>063=9?2019=9:0g6?xu3:00;6>u2426925=:<;h1:=5243;95`3<uz>9o7>53z?771<6>1168?l51g68914d28o>7p};2683>6}:<:91:=5243;925=:<;=1=h;4}r61e?6=;r7??>4>699>07?=9o>019<n:0g6?xu3:<0;6>u2420925=:<;=1:=5243795`3<uz>947>53z?777<6>1168?951g68914?28o>7p};2283>6}:<:;1:=52437925=:<;91=h;4}r612?6=;r7??<4>699>073=9o>019<9:0g6?xu3:80;6>u2422925=:<;91:=5243395`3<uz>987>53z?775<6>1168?=51g68914328o>7p};1g83>6}:<;l1:=52433925=:<8l1=h;4}r616?6=;r7?>k4>699>077=9o>019<=:0g6?xu39m0;6>u243g925=:<8l1:=5240f95`3<uz>9<7>53z?76`<6>1168<h51g68914728o>7p};1d83>6}:<;n1=;64=53g>4`334>:i7?j5:p007=83>p19;n:0g`?821k3<<70=i6;320>;4j<0:=95rs531>5<fs4>=o7;j;<65f?3b34>=m7;j;<65=?3b34>=47;j;<653?3b34>=:7;j;<651?3b34>=87;j;<627?7b=2wx8;<50;7x910e28oh70<?7;320>;58?0:=8524`f970=:<ho1?85rs542>5<2s4>=m7?jc:?14=<69=16>=95107891gc2:>019oj:268yv2183:19v3;6882af=::931=<:4=32;>47234>jh7=<;<6ba?543ty?9k4?:4y>03>=9li01?>n:037?84713;:963;ae875>;3il0?=6s|44g94?3|5=<<6<kl;<03f?76<279<l4>149>0db=<9168lk5419~w13c290>w0:96;3fg>;58j0:=95221`9543<5=ko6>h4=5cf>6`<uz>>o7>55z?720<6mj16>=j51068976d28;>70:nd;1f?82fm39n7p};5c83>1}:<?>1=hm4=32g>47234>jh7=k;<6ba?5c3ty?n=4?:33x91>12<o0196::4g891>42<o0196=:4g891>62<o0196?:4g8911a2<o0199j:4g8911c2<o0199l:4g8911e2<o0199n:4g8911?2<o01998:4g891112<o0199::4g891132<o0199<:4g891152<o0199>:4g891172<o0198i:4g891>d2<o0196m:4g891>f2<o01966:4g891>?2<o01968:4g891>32<o01996:4g8910b2<o0198k:4g897b?28o>7p};a483>7}:<1<1::524`g9`f=z{=k?6=4={<6;1?0034>ji7jm;|q7e7<72;q685=5669>0dc=lh1v9o>:18182?:3<<70:ne;f:?xu3i90;6?u2493922=:<ho1h55rs5;e>5<5s4>3<788;<6ba?`03ty?5h4?:3y>02`=>>168lk5f79~w1?c2909w0:8e;44?82fm3l?7p};9b83>7}:<>n1::524`g9b6=z{=3i6=4={<64g?0034>ji7h=;|q7=d<72;q68:l5669>0dc=n81v976:181820i3<<70:ne;gg?xu31>0;6?u246:922=:<ho1i?5rs5;5>5<5s4><;788;<6ba?b03ty?584?:3y>020=>>168lj5fg9~w1?32909w0:85;44?82fl3no7p};9283>7}:<>>1::524`f9`f=z{=396=4={<647?0034>jh7jm;|q7=4<72;q68:<5669>0db=lh1v97?:18182093<<70:nd;f:?xu30o0;6?u2462922=:<hn1h55rs5:f>5<5s4>=j788;<6b`?`03ty?mn4?:3y>0=e=>>168lj5f79~w1ge2909w0:7b;44?82fl3ln7p};a`83>7}:<1k1::524`f9b0=z{=k26=4={<6;=?0034>jh7h;;|q7e=<72;q68565669>0db=n:1v9o8:18182??3<<70:nd;d1?xu3i?0;6?u2496922=:<hn1j<5rs5c0>5<5s4><5788;<6b`?cc3ty?554?:3y>03c=>>168lj5e39~w1>c2909w0:9d;44?82fl3n<7p}<2583>7}:;;>1=h;4=205>4723ty?n<4?:8y>046=9l?019ok:5a`?82fl3>hm63;ae87g<=:<hn18n64=5cf>1ed34>ji7:la:?7e`<3k0168lk54b:8yv7ek3:1>v3=2g8253=::;n1=;74}r3aa?6=:r79?<4>179>67c=9?30q~?l0;296~;51?0:=;52286953?<uz;h>7>52z?1==<69?16>4;517;8yv7d=3:1>v3<dg82a0=:;l:1=<84}r3ae?6=:r78i=4>149>7ae=9?30q~?l4;296~;4m90:=:523ef95`3<uz;h;7>52z?0`a<69=16?ik51d78yv7d13:1>v3<2682a0=:;;<1=<94}r111?6=:r78>;4>179>773=9?30qp}:6e83>7}Y=;n018:553f8 1e328n?7p}:6c83>7}Y=;i018:553a8 1e328nm7p}:6`83>7}Y=;h018:553`8 1e328o;7p}:6883>7}Y=;k018:553c8 1e328o:7p}:6683>7}Y=;2018:553:8 1e328=27p}:6783>7}Y=;=018:55358 1e328=j7p}:6483>7}Y=;<018:55348 1e328=i7p}:6583>7}Y=;?018:55378 1e328=n7p}:6283>7}Y=;>018:55368 1e328297p}:6383>7}Y=;9018:55318 1e3282<7p}:6083>7}Y=;8018:55308 1e328397p}:6183>7}Y=;;018:55338 1e3283o7p}:5g83>7}Y=;:018:55328 1e328k37p}:5d83>7}Y=8l018:550d8 1e328ki7p}:5b83>7}Y=8n018:550f8 1e328kh7p}:5c83>7}Y=8i018:550a8 1e328ko7p}:5`83>7}Y=8h018:550`8 1e328kn7p}:5883>7}Y=8k018:550c8 1e328km7p}:5983>7}Y=83018:550;8 1e328h;7p}:5683>7}Y=82018:550:8 1e328h:7p}:5783>7}Y=8=018:55058 1e328h97p}:5483>7}Y=8<018:55048 1e328h87p}:5583>7}Y=8?018:55078 1e328h?7p}:5283>7}Y=8>018:55068 1e328h>7p}:5083>7}Y=88018:55008 1e328h=7p}:5183>7}Y=8;018:55038 1e328h<7p}:4g83>7}Y=8:018:55028 1e328h37p}:4d83>7}Y=9l018:551d8 1e328h27p}:4e83>7}Y=9o018:551g8 1e328hj7p}:4b83>7}Y=9n018:551f8 1e328hi7p}:4c83>7}Y=9i018:551a8 1e328hh7p}:4`83>7}Y=9h018:551`8 1e328ho7p}:4883>7}Y=9k018:551c8 1e328hn7p}:4983>7}Y=93018:551;8 1e328hm7p}:4783>7}Y=9=018:55158 1e328i;7p}:4483>7}Y=9<018:55148 1e328i:7p}:4583>7}Y=9?018:55178 1e328i97p}:4283>7}Y=9>018:55168 1e328i87p}:4383>7}Y=99018:55118 1e328i?7p}:4083>7}Y=98018:55108 1e328i>7p}:4183>7}Y=9;018:55138 1e328i=7p}:3g83>7}Y=9:018:55128 1e328i<7p}:3d83>7}Y<ol018:54gd8 1e328i37p}:3e83>7}Y<oo018:54gg8 1e328i27p}:3c83>7}Y<oi018:54ga8 1e328ij7p}:3`83>7}Y<oh018:54g`8 1e328ii7p}:3883>7}Y<ok018:54gc8 1e328ih7p}:3983>7}Y<o3018:54g;8 1e328io7p}:3683>7}Y<o2018:54g:8 1e328in7p}:3783>7}Y<o=018:54g58 1e328im7p}:3483>7}Y<o<018:54g48 1e328n;7p}:3583>7}Y<o?018:54g78 1e328n:7p}:3283>7}Y<o>018:54g68 1e328n97p}:3383>7}Y<o9018:54g18 1e328n87p}:7083>7}Y=::018:55228 1e328n>7p}:7183>7}Y=;l018:553d8 1e328n=7p}:6g83>7}Y=;o018:553g8 1e328n<7p}:6d83>7}Y=;3018:553;8 1e328n37p}:6983>7}Y=8o018:550g8 1e328n27p}:5e83>7}Y=89018:55018 1e328nj7p}:5383>7}Y=92018:551:8 1e328ni7p}:4683>7}Y<on018:54gf8 1e328nh7p}:3b83>7}Y<o8018:54g08 1e328no7p}:3083>7}Y<o;018:54g38 1e328nn7p}:fg83>7}Y=ol018:55gd8 1e328=h7p}90b83>7}Y>9i018:561a8 1e328=o7p}90d83>7}Y>9o018:561g8 1e328=m7p}91783>7}Y>8<018:56048 1e3282;7p}92083>7}Y>;;018:56338 1e3282:7p}:ac83>7}Y=1h018:559`8 1e328287p}:a883>7}Y=1k018:559c8 1e3282?7p}:a683>7}Y=12018:559:8 1e3282>7p}:a783>7}Y=1=018:55958 1e3282=7p}:a483>7}Y=1<018:55948 1e328237p}:a583>7}Y=1?018:55978 1e328227p}:a283>7}Y=1>018:55968 1e3282j7p}:a383>7}Y=19018:55918 1e3282i7p}:a083>7}Y=18018:55908 1e3282h7p}:a183>7}Y=1;018:55938 1e3282o7p}:9g83>7}Y=1:018:55928 1e3282n7p}:9d83>7}Y=>l018:556d8 1e3282m7p}:9b83>7}Y=>n018:556f8 1e3283;7p}:9c83>7}Y=>i018:556a8 1e3283:7p}:9`83>7}Y=>h018:556`8 1e328387p}:9883>7}Y=>k018:556c8 1e3283?7p}:9983>7}Y=>3018:556;8 1e3283>7p}:9683>7}Y=>2018:556:8 1e3283=7p}:9783>7}Y=>=018:55658 1e3283<7p}:9483>7}Y=><018:55648 1e328337p}:9583>7}Y=>?018:55678 1e328327p}:9283>7}Y=>>018:55668 1e3283j7p}:b383>7}Y=0;018:55838 1e3283i7p}:b083>7}Y=0:018:55828 1e3283h7p}:b183>7}Y=1l018:559d8 1e3283n7p}:ag83>7}Y=1o018:559g8 1e3283m7p}:ad83>7}Y=1n018:559f8 1e328k;7p}:ae83>7}Y=1i018:559a8 1e328k:7p}:ab83>7}Y=13018:559;8 1e328k97p}:a983>7}Y=>o018:556g8 1e328k87p}:9e83>7}Y=>9018:55618 1e328k?7p}:9383>7}Y=>8018:55608 1e328k>7p}:b583>7}Y=k>018:55c68 1e328k=7p}:b`83>7}Y=kk018:55cc8 1e328k<7p}:bd83>7}Y=ko018:55cg8 1e328k27p}:e183>7}Y=l:018:55d28 1e328kj7psac9c94?4|@=i>7p`l8c83>7}O<j?0qcm7c;296~N3k<1vbn6k:181M2d=2weo5k50;0xL1e23tdh4k4?:3yK0f3<ugi2<7>52zJ7g0=zfj3:6=4={I6`1>{ik081<7<tH5a6?xhd1:0;6?uG4b78yke><3:1>vF;c49~jf?22909wE:l5:mg<0=838pD9m:;|l`=2<72;qC8n;4}oa:<?6=:rB?o85rnb;:>5<5sA>h96sac8c94?4|@=i>7p`l9c83>7}O<j?0qcm6c;296~N3k<1vbn7k:181M2d=2weo4k50;0xL1e23tdh5k4?:3yK0f3<ugij<7>52zJ7g0=zfjk:6=4={I6`1>{ikh81<7<tH5a6?xhdi:0;6?uG4b78ykef<3:1>vF;c49~jfg22909wE:l5:mgd0=838pD9m:;|l`e2<72;qC8n;4}oab<?6=:rB?o85rnbc:>5<5sA>h96sac`c94?4|@=i>7p`lac83>7}O<j?0qcmnc;296~N3k<1vbnok:181M2d=2weolk50;0xL1e23tdhmk4?:3yK0f3<ugii<7>52zJ7g0=zfjh:6=4={I6`1>{ikk81<7<tH5a6?xhdj:0;6?uG4b78ykee<3:1>vF;c49~jfd22909wE:l5:mgg0=838pD9m:;|l`f2<72;qC8n;4}oaa<?6=:rB?o85rnb`:>5<5sA>h96saccc94?4|@=i>7p`lbc83>7}O<j?0qcmmc;296~N3k<1vbnlk:181M2d=2weook50;0xL1e23tdhnk4?:3yK0f3<ugih<7>52zJ7g0=zfji:6=4={I6`1>{ikj81<7<tH5a6?xhdk:0;6?uG4b78yked<3:1>vF;c49~jfe22909wE:l5:mgf0=838pD9m:;|l`g2<72;qC8n;4}oa`<?6=:rB?o85rnba:>5<5sA>h96sacbc94?4|@=i>7p`lcc83>7}O<j?0qcmlc;296~N3k<1vbnmk:181M2d=2weonk50;0xL1e23tdhok4?:3yK0f3<ugio<7>52zJ7g0=zfjn:6=4={I6`1>{ikm81<7<tH5a6?xhdl:0;6?uG4b78ykec<3:1>vF;c49~jfb22909wE:l5:mga0=838pD9m:;|l``2<72;qC8n;4}oag<?6=:rB?o85rnbf:>5<5sA>h96sacec94?4|@=i>7p`ldc83>7}O<j?0qcmkc;296~N3k<1vbnjk:181M2d=2weoik50;0xL1e23tdhhk4?:3yK0f3<ugin<7>52zJ7g0=zfjo:6=4={I6`1>{ikl81<7<tH5a6?xhdm:0;6?uG4b78ykeb<3:1>vF;c49~jfc22909wE:l5:mg`0=838pD9m:;|l`a2<72;qC8n;4}oaf<?6=:rB?o85rnbg:>5<5sA>h96sacdc94?4|@=i>7p`lec83>7}O<j?0qcmjc;296~N3k<1vbnkk:181M2d=2weohk50;0xL1e23tdhik4?:3yK0f3<ugim<7>52zJ7g0=zfjl:6=4={I6`1>{iko81<7<tH5a6?xhf0l0;6<uG4b78ykga?3:1=vF;c49~jd`?290:w)?83;426>N3k<1vblh6:182!70;3<:>6F;c49~jd`f290:w)?83;426>N3k<1vblhm:182!70;3<:>6F;c49~jd`d290:w)?83;426>N3k<1vblhk:182!70;3<:>6F;c49~jd`b290:wE:l5:mec`=83;pD9m:;|la45<728qC8n;4}o`35?6=9rB?o85rnc21>5<6sA>h96sab1194?7|@=i>7p`m0583>4}O<j?0qcl?5;295~N3k<1vbo>9:182M2d=2wen=950;3xL1e23tdi<54?:0yK0f3<ugh;57>51zJ7g0=zfk:j6=4>{I6`1>{ij9h1<7?tH5a6?xhe8j0;6<uG4b78ykd7l3:1=vF;c49~jg6b290:wE:l5:mf5`=83;pD9m:;|la55<728qC8n;4}o`25?6=9rB?o85rnc31>5<6sA>h96sab0194?7|@=i>7p`m1583>4}O<j?0qcl>5;295~N3k<1vbo?9:182M2d=2wen<950;3xL1e23tdi=54?:0yK0f3<ugh:57>51zJ7g0=zfk;j6=4>{I6`1>{ij8h1<7?tH5a6?xhe9j0;6<uG4b78ykd6l3:1=vF;c49~jg7b290:wE:l5:mf4`=83;pD9m:;|la65<728qC8n;4}o`15?6=9rB?o85rnc01>5<6sA>h96sab3194?7|@=i>7p`m2583>4}O<j?0qcl=5;295~N3k<1vbo<9:182M2d=2wen?950;3xL1e23tdi>54?:0yK0f3<ugh957>51zJ7g0=zfk8j6=4>{I6`1>{ij;h1<7?tH5a6?xhe:j0;6<uG4b78ykd5l3:1=vF;c49~jg4b290:wE:l5:mf7`=83;pD9m:;|la75<728qC8n;4}o`05?6=9rB?o85rnc11>5<6sA>h96sab2194?7|@=i>7p`m3583>4}O<j?0qcl<5;295~N3k<1vbo=9:182M2d=2wen>950;3xL1e23tdi?54?:0yK0f3<ugh857>51zJ7g0=zfk9j6=4>{I6`1>{ij:h1<7?tH5a6?xhe;j0;6<uG4b78ykd4l3:1=vF;c49~jg5b290:wE:l5:mf6`=83;pD9m:;|la05<728qC8n;4}o`75?6=9rB?o85rnc61>5<6sA>h96sab5194?7|@=i>7p`m4583>4}O<j?0qcl;5;295~N3k<1vbo:9:182M2d=2wen9950;3xL1e23tdi854?:0yK0f3<ugh?57>51zJ7g0=zfk>j6=4>{I6`1>{ij=h1<7?tH5a6?xhe<j0;6<uG4b78ykd3l3:1=vF;c49~jg2b290:wE:l5:mf1`=83;pD9m:;|la15<728qC8n;4}o`65?6=9rB?o85rnc71>5<6sA>h96sab4194?7|@=i>7p`m5583>4}#9>91:<<4H5a6?xhe=<0;6<u+1619244<@=i>7p`m5783>4}O<j?0qcl:7;295~"6?:0==?5G4b78ykd203:1=vF;c49~jg3>290:w)?83;426>N3k<1vbo;n:182M2d=2wen8l50;3x 4142?;97E:l5:mf0e=83;pD9m:;|la1a<728q/=:=56008L1e23tdi9h4?:0yK0f3<ugh>j7>51z&236<19;1C8n;4}o`54?6=9rB?o85rnc42>5<6s-;<?78>2:J7g0=zfk<96=4>{I6`1>{ij?91<7?t$050>3753A>h96sab7694?7|@=i>7p`m6483>4}#9>91:<<4H5a6?xhe>?0;6<u+1619244<@=i>7p`m6683>4}O<j?0qcl98;295~"6?:0==?5G4b78ykd113:1=vF;c49~jg0f290:w)?83;426>N3k<1vbo8m:182M2d=2wen;m50;3x 4142?;97E:l5:mf3b=83;pD9m:;|la2`<728q/=:=56008L1e23tdi:k4?:0yK0f3<ugh<<7>51z&236<19;1C8n;4}o`45?6=9rB?o85rnc51>5<6s-;<?78>2:J7g0=zfk=86=4>{I6`1>{ij>>1<7?t$050>3753A>h96sab6794?7|@=i>7p`m7783>4}O<j?0qcl87;295~N3k<1vbo97:182M2d=2wen:750;3xL1e23tdi;l4?:0yK0f3<ugh<n7>51zJ7g0=zfk=h6=4>{I6`1>{ij>n1<7?tH5a6?xhe?l0;6<uG4b78ykd0n3:1=vF;c49~jg>7290:wE:l5:mf=7=83;pD9m:;|la<7<728qC8n;4}o`;7?6=9rB?o85rnc:7>5<6sA>h96sab9794?7|@=i>7p`m8783>4}O<j?0qcl77;295~N3k<1vbo67:182M2d=2wen5750;3x 4142?;97E:l5:mf=g=83;pD9m:;|la<g<728qC8n;4}o`;g?6=9rB?o85rnc:g>5<6sA>h96sab9g94?7|@=i>7p`m8g83>4}O<j?0qcl60;295~N3k<1vbo7>:182M2d=2wen4<50;3xL1e23tdi5>4?:0yK0f3<ugh287>51zJ7g0=zfk3>6=4>{I6`1>{ij0<1<7?tH5a6?xhe1>0;6<uG4b78ykd>03:1=vF;c49~jg?>290:wE:l5:mf<g=83;pD9m:;|la=g<728qC8n;4}o`:g?6=9rB?o85rnc;g>5<6sA>h96sab8g94?7|@=i>7p`m9g83>4}O<j?0qcln0;295~N3k<1vboo>:182M2d=2wenl<50;3xL1e23tdim>4?:0yK0f3<ughj87>51zJ7g0=zfkk>6=4>{I6`1>{ijh<1<7?tH5a6?xhei>0;6<uG4b78ykdf03:1=vF;c49~jgg>290:wE:l5:mfdg=83;pD9m:;|laeg<728qC8n;4}o`bg?6=9rB?o85rnccg>5<6sA>h96sab`g94?7|@=i>7p`mag83>4}O<j?0qclm0;295~N3k<1vbol>:182M2d=2weno<50;3xL1e23tdin>4?:0yK0f3<ughi87>51zJ7g0=zfkh>6=4>{I6`1>{ijk<1<7?tH5a6?xhej>0;6<uG4b78ykde03:1=vF;c49~jgd>290:wE:l5:mfgg=83;pD9m:;|lafg<728qC8n;4}o`ag?6=9rB?o85rnc`g>5<6sA>h96sabcg94?7|@=i>7p`mbg83>4}O<j?0qcll0;295~N3k<1vbom>:182M2d=2wenn<50;3xL1e23tdio>4?:0yK0f3<ughh87>51zJ7g0=zfki>6=4>{I6`1>{ijj<1<7?tH5a6?xhek>0;6<uG4b78ykdd03:1=vF;c49~jge>290:wE:l5:mffg=83;pD9m:;|lagg<728qC8n;4}o``g?6=9rB?o85rncag>5<6sA>h96sabbg94?7|@=i>7p`mcg83>4}O<j?0qclk0;295~N3k<1vboj>:182M2d=2weni<50;3xL1e23tdih>4?:0yK0f3<ugho87>51zJ7g0=zfkn>6=4>{I6`1>{ijm<1<7?tH5a6?xhel>0;6<uG4b78ykdc03:1=vF;c49~jgb>290:wE:l5:mfag=83;pD9m:;|la`g<728qC8n;4}o`gg?6=9rB?o85rncfg>5<6sA>h96sabeg94?7|@=i>7p`mdg83>4}O<j?0qclj0;295~N3k<1vbok>:182M2d=2wenh<50;3xL1e23tdii>4?:0yK0f3<ughn87>51zJ7g0=zfko>6=4>{I6`1>{ijl<1<7?tH5a6?xhem>0;6<uG4b78ykdb03:1=vF;c49~jgc>290:wE:l5:mf`g=83;pD9m:;|laag<728qC8n;4}o`fg?6=9rB?o85rncgg>5<6sA>h96sabdg94?7|@=i>7p`meg83>4}O<j?0qcli0;295~N3k<1vboh>:182M2d=2wenk<50;3xL1e23tdij>4?:0yK0f3<ughm87>51zJ7g0=zfkl>6=4>{I6`1>{ijo<1<7?tH5a6?xhen>0;6<uG4b78ykda03:1=vF;c49~jg`>290:wE:l5:mfcg=83;pD9m:;|labg<728qC8n;4}o`eg?6=9rB?o85rncdg>5<6sA>h96sabgg94?7|@=i>7p`mfg83>4}O<j?0qcm?0;295~N3k<1vbn>>:182M2d=2weo=<50;3xL1e23tdh<>4?:0yK0f3<ugi;87>51zJ7g0=zfj:>6=4>{I6`1>{ik9<1<7?tH5a6?xhd8>0;6<uG4b78yke703:1=vF;c49~jf6>290:wE:l5:mg5g=83;pD9m:;|l`4g<728qC8n;4}oa3g?6=9rB?o85rnb2g>5<6sA>h96sac1g94?7|@=i>7p`l0g83>4}O<j?0qcm>0;295~N3k<1vbn?>:182M2d=2weo<<50;3xL1e23tdh=>4?:0yK0f3<ugi:87>51zJ7g0=zfj;>6=4>{I6`1>{ik8<1<7?tH5a6?xhd9>0;6<uG4b78yke603:1=vF;c49~jf7>290:wE:l5:mg4g=83;pD9m:;|l`5g<728qC8n;4}oa2g?6=9rB?o85rnb3g>5<6sA>h96sac0g94?7|@=i>7p`l1g83>4}O<j?0qcm=0;295~N3k<1vbn<>:182M2d=2weo?<50;3xL1e23tdh>>4?:0yK0f3<ugi987>51zJ7g0=zfj8>6=4>{I6`1>{ik;<1<7?tH5a6?xhd:>0;6<uG4b78yke503:1=vF;c49~jf4>290:wE:l5:mg7g=83;pD9m:;|l`6g<728qC8n;4}oa1g?6=9rB?o85rnb0g>5<6sA>h96sac3g94?7|@=i>7p`l2g83>4}O<j?0qcm<0;295~N3k<1vbn=>:182M2d=2weo><50;3xL1e23tdh?>4?:0yK0f3<ugi887>51zJ7g0=zfj9>6=4>{I6`1>{ik:<1<7?tH5a6?xhd;>0;6<uG4b78yke403:1=vF;c49~jf5>290:wE:l5:mg6g=83;pD9m:;|l`7g<728qC8n;4}oa0g?6=9rB?o85rnb1g>5<6sA>h96sac2g94?7|@=i>7p`l3g83>4}O<j?0qcm;0;295~N3k<1vbn:>:182M2d=2weo9<50;3xL1e23tdh8>4?:0yK0f3<ugi?87>51zJ7g0=zfj>>6=4>{I6`1>{ik=<1<7?tH5a6?xhd<>0;6<uG4b78yke303:1=vF;c49~jf2>290:wE:l5:mg1g=83;pD9m:;|l`0g<728qC8n;4}oa7g?6=9rB?o85rnb6g>5<6sA>h96sac5g94?7|@=i>7p`l4g83>4}O<j?0qcm:0;295~N3k<1vbn;>:182M2d=2weo8<50;3xL1e23tdh9>4?:0yK0f3<ugi>87>51zJ7g0=zfj?>6=4>{I6`1>{ik<<1<7?tH5a6?xhd=>0;6<uG4b78yke203:1=vF;c49~jf3>290:wE:l5:mg0g=83;pD9m:;|l`1g<728qC8n;4}oa6g?6=9rB?o85rnb7g>5<6sA>h96sac4g94?7|@=i>7p`l5g83>4}O<j?0qcm90;295~N3k<1vbn8>:182M2d=2weo;<50;3xL1e23tdh:>4?:0yK0f3<ugi=87>51zJ7g0=zfj<>6=4>{I6`1>{ik?<1<7?tH5a6?xhd>>0;6<uG4b78yke103:1=vF;c49~jf0>290:wE:l5:mg3g=83;pD9m:;|l`2g<728qC8n;4}oa5g?6=9rB?o85rnb4g>5<6sA>h96sac7g94?7|@=i>7p`l6g83>4}O<j?0qcm80;295~N3k<1vbn9>:182M2d=2weo:<50;3xL1e23tdh;>4?:0yK0f3<ugi<87>51zJ7g0=zfj=>6=4>{I6`1>{ik><1<7?tH5a6?xhd?>0;6<uG4b78yke003:1=vF;c49~jf1>290:wE:l5:mg2g=83;pD9m:;|l`3g<728qC8n;4}oa4g?6=9rB?o85rnb5g>5<6sA>h96sac6g94?7|@=i>7p`l7g83>4}O<j?0qcm70;295~N3k<1vbn6>:182M2d=2weo5<50;3xL1e23tdh4>4?:0yK0f3<ugi387>51zJ7g0=zfj2>6=4>{I6`1>{ik1<1<7?tH5a6?xhd0>0;6<uG4b78yke?03:1=vF;c49~jf>>290:wE:l5:~yxFGKrom<7<85575=g{GHKq;qMN_{|BC
/trunk/npi_vga_v1_00_b/netlist/fifo_v5_64.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$7c140<,[o}e~g`n;"2*413&;$8,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>0:2345CF89:;<=HN0133?56799::==>>112254=6;2;%<<h4118JJUSS2yxdkRkbpu{\pmtb{a626=0=6:33>LHW]]0{~biPelrw}ZrozlycSl}|esv?=?69:?1:<7GAPTV9twi`Wlg{xtQ{hsgplZhboh~n044?>0a855<H]]Z^X7|k_ecweZeh}g~757>114921?OIX\^1MIJ]AT>20?699<1:97GAPTV9EABUJ\6:87>114922?OIX\^1MIJ]AM>21?699<1::7GAPTV9EABUJE6:97>11:01?7733:88?<<4338JJUSS2MCJ0>?50?31?64=AGZ^X7JFB=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=87;08?5;10380?OIX\^1HD^N<283:47<<3CE\XZ5DHRA86<768;087GAPTV9S@GK4:0;2<?44;KMTPR=_LK^0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294n78xcx32wbc55;$8:7;<46531?32=AGZ^X7jfn=40>5833?33595998424>0>1?3=:479684:=34<>L20;:9IFGDE0>>3:8;:754FNQWW>RCED5=1<3?>;98JJUSS2^OIY1950?38=1=>89397487;845=3?>>8n057GAPTV9twi`Wog`Rzgrdqk8=<76;>057GAPTV9twi`Wog`Rzgrdqk[dutm{~747>1259:>LHW]]0{~biPftno[qnumzbTbhintd>;>5860231CXZ_UU8geqgXkfex1650?3a?<<H]]Z^X7y}_ecweZeh}g~747>19:CG@WGK494j7LJKR@N?558f3HNO^LB310<b?DBCZHF7=?0n;@FGVDJ;9:4j7LJKR@N?518d3HNO^LB31483:d=FLMXJ@1?:>89B@ATFD5;556OKDSCO878>3HNO^LB33?;8EABUIE6?245NDEPBH93912KOH_OC<7<:?DBCZHF7;374AEFQEI:?601JHI\NL=;==>GCL[K^0=0n;@FGVDS;994j7LJKR@W?548f3HNO^L[313<b?DBCZH_7=>0l;@FGVDS;9=0;2l5NDEPBQ973601JHI\NU=3==>GCL[K^0?06;@FGVDS;;730MIJ]AT>7:<=FLMXJY1;19:CG@WGR4?427LJKR@W?3;?<IMNYMX27>89B@ATF]53556OKDS@O858f3HNO^OB311<b?DBCZKF7=<0n;@FGVGJ;9;4j7LJKRCN?568f3HNO^OB315<`?DBCZKF7=84?>`9B@ATED5;>245NDEPAH97912KOH_LC<3<:?DBCZKF7?374AEFQFI:3601JHI\ML=7==>GCL[HG0;06;@FGVGJ;?730MIJ]BM>;:<=FLMXI@1719:CG@WDR494j7LJKRCW?558f3HNO^O[310<b?DBCZK_7=?0n;@FGVGS;9:4h7LJKRCW?51<76h1JHI\MU=37:<=FLMXIY1?19:CG@WDR4;427LJKRCW?7;?<IMNYNX2;>89B@ATE]5?556OKDS@V838>3HNO^O[37?;8EABUJ\63245NDEPAQ9?912KXUCMPRDE2?G5<J\837O[\_HLEK4=D<2IJ4Lj4C@Q@EACNFEK_Mi5LARAB@@OIDH^Ih6MNSBCGALHUMNKo7NO\C@FFMKTBOKo0OL]LAEGLQQJF\Ho0OL]LAEGLQQJF\Ko0OL]LAEGLQQTBOHo0OL]LAEGLQQTBOK80OH?=;BJFGNYKAJOE_HQ[YQG1?FO23JF@MA;4CMIBQ0=DDBHG96MCKCW0?FJU12IDA@G[TDF20>EHZLULICK]AUKLJZOINF=0O_KNTDF2?A4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=908;EKB8439?2NBM1?9>69GMD:6?7=0HDO319<4?AOF4835:6JFA=3=3>BNI58;2:5KI@>15;1<L@K7>?08;EKB8759?2NBM1<;>69GMD:5=7=0HDO327<4?AOF4;=5;6JFA=0;:2=CAH695384DHC?6;1<L@K7?=06;EKB867=87=0HDO330<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:17:FJF9726>1OEO2>6?58@LD;9>4<7IGM<0:=3>BNJ5;22;5KIC>2:2=CAK69<394DH@?64803MCI0?<17:FJF9446>1OEO2=4?58@LD;:<4<7IGM<34=3>BNJ58<2:5KIC>1<;1<L@H7>409;EKA87803MCI0>>19:FJF956294<7IGM<23=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535;6JFP@>3:2=CAYK7=394DHRB878>3MC[M1=50?58@LVF4:4<7IG_B=2=3>BNXK6:2:5KIQ@?6;?<L@ZI0>4?>69GMUD;;7<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;1<LFK7=908;EMB8439?2NDM1?9>69GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1<LFK7>?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;1<LFK7?=06;EMB867=87=0HBO330<5?AIF4:4=7IAN<5<5?AIF4<4=7IAN<7<5?AIF4>4=7IAN<9<5?AIF404<7IAN_SGD2>BHJ5:5;6J@B=33:2=CGK6:=394DN@?57803MEI0<=17:FLF9736>1OCO2>5?58@JD;9?4<7IAM<05=3>BHJ5;32:5KOC>2=;0<LFH7=394DN@?65803MEI0??17:FLF9456>1OCO2=3?58@JD;:=4<7IAM<37=3>BHJ58=2:5KOC>13;1<LFH7>508;EMA87?9>2NDN1<17:FLF957601OCO2<1;2=3>BHJ59:2;5KOC>0:3=CGK6?2;5KOC>6:3=CGK6=2;5KOC>4:3=CGK632;5KOC>::2=CGKUYIJ94DNRB85803ME[M1?17:FLTD:5601OC]O33;2=3>BHXH682:5KOQ@?4;1<LFZI0<08;EMSF94912ND\O2<:1<4?AIWJ59586KAAM68AKGR<2OENA:4EO@V1>@FDZO97KJ<;GF@0>@CKL90JIK<;GFS0>@CXL>0JK>;4:DE65><NOLMJKKN119EBC@ANOL8>>=?0126?Cgk{l;0K>5HNE38M7=N8;1B=?5F239J77=N<;1B955FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;55FNHVS[5>?3@DBX]Q?999JJLRWW9K37D@FTQ]3F==NF@^[S=M7;HLJPUY7L11BBDZ__1G;?LHN\YU;J45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1<AGC_S=K8;HLJPZ6A?2CEEYQ>069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1<AGC_S<K8;HLJPZ7A?2CEEYQ=069JJLRX:8=0ECG[_304?LHN\V88;6GAIU]102=NF@^T>894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A1<AGC_S?K8;HLJPZ4A?2CEEYQ<069JJLRX;8=0ECG[_204?LHN\V98;6GAIU]002=NF@^T?894IOKW[6003@DBXR=87:KMMQY40>1BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1<AGC_S>K8;HLJPZ5A?2CEEYQ;069JJLRX<8=0ECG[_504?LHN\V>8;6GAIU]702=NF@^T8894IOKW[1003@DBXR:87:KMMQY30>1BBDZP4858MKOSW=K<7D@FT^6A3>OIA]U?O:5FNHV\0A1<AGC_S9K8;HLJPZ2A?2CEEYQ:069JJLRX=8=0ECG[_404?LHN\V?8;6GAIU]602=NF@^T9894IOKW[0003@DBXR;87:KMMQY20>1BBDZP5858MKOSW<K<7D@FT^7A3>OIA]U>O:5FNHV\1A1<AGC_S8K8;HLJPZ3A?2CEEYQ9069JJLRX>8=0ECG[_704?LHN\V<8;6GAIU]502=NF@^T:894IOKW[3003@DBXR887:KMMQY10>1BBDZP6858MKOSW?K<7D@FT^4A3>OIA]U=O:5FNHV\2A1<AGC_S;K8;HLJPZ0A?2CEEYQ8069JJLRX?8=0ECG[_604?LHN\V=8;6GAIU]402=NF@^T;894IOKW[2003@DBXR987:KMMQY00>1BBDZP7858MKOSW>K<7D@FT^5A3>OIA]U<O:5FNHV\3A1<AGC_S:K8;HLJPZ1A>2CEEYQN6:KMMQYE12CEEYQIIMG0?LHQ;2CDN?5CI79OKDBBL>1GCJGLAM68HPR6<2F^X?:4LTV00>JR\=>0@XZ:4:NVP33<EZMDB85BUYAZg>Kfbfx]i}fooa8Ilhhz_oydaa2:L27>H68:1E=<=4N007?K75?=1E=?6<;O300>H6;9>0B<==4:L2765<F8>?7C?;659M51133G;?495A15;0?K72<2D:9=:4N0720>H6=;>0B<;<4:L2112<F8?>86@>5768J430<2D:95:4N07:7>H6>=1E=;>;;O3551=I9?8?7C?9359M53233G;=995A1747?K71?=1E=;6;;O35=6=I9>>0B<9?4:L2342<F8=986@>7268J413<2D:;8:4N0550>H6?>>0B<974:L23<5<F82?7C?7159M5=443G;2?6@=029M645<F;887C<<3:L106=I:<90B?8<;O047>H50:1E>4=4N220?K56;2D8>>5A3218J6243G9>?6@<629M725<F:287C=63:L746=I<890B9<<;O607>H3<:1E88=4N540?K20;2D?4>5A4818J0643G?:?6@:229M165<F<>87C;:3:L626=I=>90B86<;O7:6>H1;2D=<>5A6018J3443G<8?6@9429M205<F?<87C883:L5<6=I>080B:<4N908J<`<FKUIY^^FN^RQKUU03GO_[B\D4:LLJ@7<G;1DG<5_4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K<;QPF5>Wf3[KFN>8PEYRe?WGJWLR_IC]FOO]Bb>TFEVOSXH@\INL\Ff=UMHNT[DJ[H^C`?WCFLV]BHYFPB69QABEBIE=0^HILE@W4?WC@KLHG;6\JGBGAQ==UMNIGGLB7;SGDGIMF]11YIJMCKCN;?WC@KEAIY<5\4:QBB@><[H\NHLZN129PMHYDGEFB_DAA_BJFGN><[@GTABJJ6:QLQWEB?2YYZLBPA69PVSGKWK?0_^\NL49PWWGR=2YX^OB:;RQQFP2<\PZN?>5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw6789UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>>_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2347YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:8S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?05]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4562W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;<;Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWkceSua}0124[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv5678VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<<Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?010\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4564W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;8R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?04]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3450XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:<S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?8^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp3456XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;=R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?010\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv567;VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\flhXpfx;<=:PRdqvhq74;2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVhbbRv`r1231ZTb{|f=>=4U1-dvc(un&mht#mcky-N|jtX{U{by|Pbhl\|jt789<T^h}zlu307>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZdnfVrd~=>?7^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2345YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789;T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>=_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1237ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678=UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=;PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0125[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567?VXnxb{1338Q5)`zo$yj"ilx/aoo})ulVzexQmio>2:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:56;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag682??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2;>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>6:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:16;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6<2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec27>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>::76<]9%l~k }f.e`|+ekcq%yhR~ats]amkY6:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV89<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS><?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P4328Q5)`zo$yj"ilx/aoo})ulVzexQmio]665=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ0582_;#j|i.sd,cf~)keas#jPpovq[goiW>8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT4?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ6279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv5678;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78989:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012063=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;8?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2340413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4560:91^<"i}f/pe+be&jf`t"|k_qlwvZad4949<6[?/fpe*w`(ojr%oaew/sf\tkruWni7=3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2=>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=1=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`818582_;#j|i.sd,cf~)keas#jPpovq[be;=78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6=2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo191219V4*aun'xm#jmw.bnh|*tcWyd~Ril<9<14>S7'nxm"h gbz-gim'{nT|cz}_fa?=;7a3\:$kh!rg-dg}(ddbr$~iQnup\cfY79o1^<"i}f/pe+be&jf`t"|k_qlwvZadW8;m7X> gsd-vc)`kq$h`fv re]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS>?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_43e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]55c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[27a3\:$kh!rg-dg}(ddbr$~iQnup\cfY?9o1^<"i}f/pe+be&jf`t"|k_qlwvZadW08=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4149:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=;=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]:6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=:=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=171299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V;946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[74?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P33:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U?>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y?:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^;11>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789:996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01311>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt7898996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01111>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789>996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01711>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789<996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01511>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt78929=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0=0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<0<15>S7'nxm"h gbz-gim'~xT|cz}_ckm878592_;#j|i.sd,cf~)keas#z|Ppovq[goi4:49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce090=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<4<15>S7'nxm"h gbz-gim'~xT|cz}_ckm838592_;#j|i.sd,cf~)keas#z|Ppovq[goi4>49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce050=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_103?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\576<]9%l~k }f.e`|+ekcq%|~R~ats]amkY5:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV99<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS9<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P5328Q5)`zo$yj"ilx/aoo})pzVzexQmio]565=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ1582_;#j|i.sd,cf~)keas#z|Ppovq[goiW18=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01212>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89::>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123670<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<><9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34525>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>:279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567>;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0603?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=6==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R>=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W8837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\67><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q<299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V>946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[04?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P63:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U<>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z>5=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34575=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=<=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34555=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=:=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34535=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=8=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34515i2_;#j|i.sd,ci6)zm%l~< }fvdw+WGJW[NTICQIWGV26<=R8&myj#|i/fn3*wb(o{;%~kyit.PBIZTBOF__SH@>329V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4513\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:!D`<7:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi9:90Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg6(Oi;>1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?#Fn00;?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Umn?94U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZo5m2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^mq4567:o1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h]lv567889m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5:5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7=3=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1<13g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw33?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=6=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?1;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}909;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;?79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq525?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs753:>;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Ugyy2>0?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>1:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^nvp959<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[iss4=4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xd|~793:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Ugyy29>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=5=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww8=8382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;17>:7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{6:<3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc2>>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=0=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_ymq868382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;<7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{6>29>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vrd~181419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<6<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pxnp?<;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]{kw:>6;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%YM@QJMQVZ[CDXag|8:6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#_OB_DOSP\YAJVcez<QFNW]37<=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*gcb|yiiQxr^rmpwYulVzjh1>13`9V4*aun'xm#jb?.sf,cwgt&nzn"je.cgnpusmmU|~R~ats]q`Zvfl5:5=>>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko0<>12g9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|lj31?0e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=0=6c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f?7;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`929:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;=78m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl5<5>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn7;3<i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjh1612g9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|lj39?0f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^31b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]247c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[74b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z55m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY3:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX=;o0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW?8n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV=9i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU3>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT5>64U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238469;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>31?14?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`5678585?:5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?7;503\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Zgcl9:;<1:1369V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljPaef3456;=79<7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflVkoh=>?0=4=72=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f\eab789:7;3=8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k dhde[uhszVzjhRokd12349>9;>1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbXimn;<=>39?0a?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,twi`Wlg{xtQf379V4*aun'xm#jb?.sf,cwgt&nzn"je.rqkbYbey~rSdQlmq]oa5678:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%{~biPelrw}ZoXkdzT`h>?0130f>S7'nxm"h gm2-va)`zhy%k}k!rerf+uthoVof|ywPi^antZjb89:;=R]X2238Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-q`Zvi|{Ubb{Qae>2:6`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)ulVzexQfnw]seaY6Wge<=>?1^KMRZ6512_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(khxyuck{<1<1=>S7'nxm"h gm2-va)`zhy%~~z|/b2,gdtuqgo0<0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n> c`pq}kcs4;4956[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86:2?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><3<17>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4:46;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:090=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]3[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x>_0]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<Q=_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:S>Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U?Sl`k012357?<]9%l~k }f.eo4+tc'nxj#||tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.abvwim}6:2?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>3:75<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64849?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2=>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28685;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~86?2?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`5*p6W=Ujbi>?01314>S7'nxm"h gm2-va)`zhy%~~z|/bmnt5473\:$kh!rg-dh5(ul&mym~ }suq,gjkw9;30Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\m66<]9%l~k }f.eo4+tc'nxj#||tr-qehYbey~rSklPi^mq4567;81^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789::>>5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu07?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs9;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz=259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq55<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex9<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw172<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~=>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu510>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|18?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{93;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<3<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;?78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>;:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot27>^pw6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2?>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>7:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:?6;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?=;4c3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<8<\vq7a3\:$kh!rg-dh5(ul&x{by| cnwmp9699o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;97;m7X> gsd-vc)`d9$yh"|nup,gjsi|585=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7?3?i;T2,cw`)zo%l`= }d.psjqt(kfex1:11g9V4*aun'xm#jb?.sf,vuhsz&idycz35?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=4=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?3;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9>99o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;17;n7X> gsd-vc)`d9$yh"|nup,gjsi|V::i6[?/fpe*w`(oe:%~i!}povq+firf}U:=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T><k4U1-dvc(un&mg<#|k/srmpw)dg|dS>?j;T2,cw`)zo%l`= }d.psjqt(kfexR:>e:W3+bta&{l$ka>!re-qtkru'je~byQ:1d9V4*aun'xm#jb?.sf,vuhsz&idyczP60g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_63f?P6(o{l%~k!hl1,q`*twf}x$ob{at^:2a>S7'nxm"h gm2-va)uxg~y#naznu]:67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:76;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7=3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><3<16>S7'nxm"h gm2-va)uxg~y#naznu]g5959:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86?2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?35?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28385:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95=5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<27>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?=;463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W98:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S<<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_302?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[6463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W=8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S8<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_702?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[2463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W18:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S4=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1>13g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw31?1e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=0=7c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?7;5a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}929;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;=79m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5<5?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7;3=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu161419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<0<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?6;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:46=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5>58=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We080;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz36?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>4:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp9>9<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss404?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx7=3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc2=>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=1=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq818382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;=7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6=29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~191419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<9<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?=;4a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`979:o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdb;:78m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvfl595>k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn783<i;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjh1;12g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|lj36?0e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd=5=6c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f?<;4a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`9?9:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX9;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW;8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV99i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU?>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT9?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS;<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR9=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ72d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP9258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674848;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>1:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;0>0<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:36:=0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcWhno<=>?<4<03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a67896=2>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238284?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=27>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674049m6[?/fpe*w`(oe:%{!hw`q-cu`){zm#}|`g^dvhiYn;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&zycjQiumn\mZkrp9:;?>84U1-dvc(un&mg<#y}/fubw+awn'}y|k!rne\bpjkW`Ufyu>?02]PS7553\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(x{elSk{cl^k\jjr789;8?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#}|`g^dvhiYnWge<=>>13:8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-tvZtt|Vn:>45Z0.eqb+ta'nf;"z| gvcp*rus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&~x$kzo|.vqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.vp,crgt&~y"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= xr.etev(p{}y$o<!hmtz-ch]6U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW9S!glq-iv443\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q95:5>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?31?00?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5949::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7?3<<;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=6=6g=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?P4^llp56788827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm|vndv?4;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz31?0:?P6(o{l%~k!hl1,tv*apiz$|y} c3-`ewt~fl~7>3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;87887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1?1229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?6;443\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9595>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?34?0a?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m=/w3\5Zgil9:;<<<m;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1^0\ekb789::>o5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?P3^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=R:Pnnv34566:91^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;9<6[?/fpe*w`(oe:%{!hw`q-svrt'jef|?<7;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[cdXa;?0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi3a8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXaVey<=>?2e9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWfx;<=>>1e9V4*aun'xm#jb?.vp,vdkX{UnbRg>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d=?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov161=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}9986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at507?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex5<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;878h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?4;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>2:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2>>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1<12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq585Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4:49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az868Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?0;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw34?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2:>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6>2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}909W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8285k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<6<\vq4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<1<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{692?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;;78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8185i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=7=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc29>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7;3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4149h6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv9>9W{~:j6[?/fpe*w`(oe:%{!xpovq+firf}6;2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d0<0>f:W3+bta&{l$ka>!ws-ttkru'je~by2=>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<2<2b>S7'nxm"h gm2-sw)pxg~y#naznu>7:4`<]9%l~k }f.eo4+qu'~zex!lotlw8086n2_;#j|i.sd,ci6){%||cz}/bmvjq:168l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4>4:j6[?/fpe*w`(oe:%{!xpovq+firf}632<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS=?j;T2,cw`)zo%l`= xr.usjqt(kfexR?>e:W3+bta&{l$ka>!ws-ttkru'je~byQ=1d9V4*aun'xm#jb?.vp,suhsz&idyczP30g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_53f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^72a>S7'nxm"h gm2-sw)pxg~y#naznu]55`=R8&myj#|i/fn3*rt(yd~"m`uov\34c<]9%l~k }f.eo4+qu'~zex!lotlw[=453\:$kh!rg-dh5(pz&}{by| cnwmpZb64949>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>1:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;;7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:090=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=7=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:16;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7;3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><9<15>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z6592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V;9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^115>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z2592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V?9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R8=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^515>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z>5:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5:5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?6;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54:49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1:1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>6:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;>7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90:0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=:=64=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y7:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U:><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q=209V4*aun'xm#jb?.vp,suhsz&idyczPd3]064=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y3:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U>><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q9209V4*aun'xm#jb?.vp,suhsz&idyczPd3]464=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y?9?1^<"i}f/pe+hcj'me~xRgP0048Q5)`zo$yj"cjm.flqqYnW8;<7X> gsd-vc)jmd%ocxzPi^3352=R8&myj#|i/lgn+air|VcT=<?8;T2,cw`)zo%fi`!kotv\mZ759>1^<"i}f/pe+hcj'me~xRgP1234?P6(o{l%~k!bel-gkprXaV;?=:5Z0.eqb+ta'dof#iazt^k\50703\:$kh!rg-nah)cg|~TeR?9169V4*aun'xm#`kb/emvpZoX9>;<7X> gsd-vc)jmd%ocxzPi^3;52=R8&myj#|i/lgn+air|VcT=4?9;T2,cw`)zo%fi`!kotv\mZ46?2_;#j|i.sd,i`k(lfSdQ=0058Q5)`zo$yj"cjm.flqqYnW;;:;6[?/fpe*w`(elg$hb{{_h]1641<]9%l~k }f.ofi*bh}}UbS?=>7:W3+bta&{l$ahc dnww[lY5<8=0Y=!hrg,qb*kbe&ndyyQf_3723>S7'nxm"h mdo,`jssW`U9:<94U1-dvc(un&gna"j`uu]j[716?2_;#j|i.sd,i`k(lfSdQ=8058Q5)`zo$yj"cjm.flqqYnW;3::6[?/fpe*w`(elg$hb{{_h]052=R8&myj#|i/lgn+air|VcT?=?8;T2,cw`)zo%fi`!kotv\mZ569?1^<"i}f/pe+hcj'me~xRgP4048Q5)`zo$yj"cjm.flqqYnW<;=7X> gsd-vc)jmd%ocxzPi^422>S7'nxm"h mdo,`jssW`U<=;5Z0.eqb+ta'dof#iazt^k\<40<]9%l~k }f.ofi*bh}}UbS4?6;T2,cw`)zo%fi`!kotv\i`k;87;j7X> gsd-vc)jmd%ocxzPmdo?5586i2_;#j|i.sd,i`k(lfS`kb<03=5d=R8&myj#|i/lgn+air|Vgna1?=>0c8Q5)`zo$yj"cjm.flqqYjmd6:?3?n;T2,cw`)zo%fi`!kotv\i`k;9=4:m6[?/fpe*w`(elg$hb{{_lgn84399h1^<"i}f/pe+hcj'me~xRcjm=35:4g<]9%l~k }f.ofi*bh}}Ufi`2>7?3b?P6(o{l%~k!bel-gkprXelg7=50>a:W3+bta&{l$ahc dnww[hcj4835=45Z0.eqb+ta'dof#iazt^ofi9799h1^<"i}f/pe+hcj'me~xRcjm=03:4g<]9%l~k }f.ofi*bh}}Ufi`2=1?3b?P6(o{l%~k!bel-gkprXelg7>?0>a:W3+bta&{l$ahc dnww[hcj4;95=l5Z0.eqb+ta'dof#iazt^ofi94368k0Y=!hrg,qb*kbe&ndyyQbel>11;7f3\:$kh!rg-nah)cg|~Tahc327<2e>S7'nxm"h mdo,`jssWdof0?911`9V4*aun'xm#`kb/emvpZkbe5832<o4U1-dvc(un&gna"j`uu]nah:517;27X> gsd-vc)jmd%ocxzPmdo?6;7f3\:$kh!rg-nah)cg|~Tahc331<2e>S7'nxm"h mdo,`jssWdof0>?1189V4*aun'xm#`kb/emvpZkbe595=45Z0.eqb+ta'dof#iazt^ofi929901^<"i}f/pe+hcj'me~xRcjm=7=5<=R8&myj#|i/lgn+air|Vgna181189V4*aun'xm#`kb/emvpZkbe5=5=45Z0.eqb+ta'dof#iazt^ofi9>9901^<"i}f/pe+hcj'me~xRcjm=;=3==R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY3Y+tfe'x$z8Qaohljp+tfe&YO\#]FNFNF[UTNE:<%XI^88:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?R.scn*w)q=Vddecg{.scn+VBW&ZCEKAKPPSKN73(SLY;87X> gsd-vc)uidUyhRka169V4*aun'xm#ob_sgdkprXmg;87X> gsd-vc)uidU|~Rka1e9V4*aun'xm#}{bmi,vaYu{}Ujkh219V4*aun'xm#}{bmi,vaYu{}Ujkh_e314>S7'nxm"h rrvahn)ulVxxxRo|rde\`77d3\:$kh!rg-qwqdkc&xoS}{_bmnf4b<]9%l~k }f.pppgjl'{nT~~zPcnoa54c<]9%l~k }f.pppgjl'{nT~~zPrde?5;7b3\:$kh!rg-qwqdkc&xoS}{_sgd8786l2_;#j|i.sd,vvredb%yhR||t^pfcZ76l2_;#j|i.sd,vvredb%yhR||t^pfcZ46l2_;#j|i.sd,vvredb%|~R||t^cpv`a582_;#j|i.sd,vvredb%|~R||t^cpv`aXl88;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo><m4U1-dvc(un&xxxobd/vp\vvrXkfgi=i5Z0.eqb+ta'{ynae ws]qwqYdgdh:=h5Z0.eqb+ta'{ynae ws]qwqYumn6;2<k4U1-dvc(un&xxxobd/vp\vvrXzlm7=3?k;T2,cw`)zo%yylck.uq[wusW{olS=?k;T2,cw`)zo%yylck.uq[wusW{olS<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB?2]NMA2?>69TADJ;97=0[HOC<3<:?RCFD591<394WDCO86803^OJY1>17:UFEP:66>1\IL[32?;8S@GR4:0;2:5XE@W?7;1<_LHG0=08;VGAH979?2]NNA2=>69TAGJ;;7=0[HLC<5<4?RCED5?5;6YJBM>5:<=PMKF7;7>17:UFFI:06>1\IO[30?58S@DR484<7ZKMU=0=3>QBJ\682:5XECW?0;1<_LH^0808;VGAQ90912]NNX28:1<4?RCE]5=5n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\Fa=PZ@^NSZGKTI]B`>QUA]OT[DJ[H^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO79[`gYNlo1SheQ_rhoUawungg;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaac:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$94dhl+77/03mce$>=&7:fjj-53!>1oec&<5(58`lh/;?#<7iga(25*3>bnf!93%:5kio*0=,0<l`d#8$94dhl+05/03mce$9?&7:fjj-25!>1oec&;3(58`lh/<=#<7iga(57*3>bnf!>=%:5kio*73,1<l`d#85'8;ekm,1?.>2nbb%;&7:fjj-37!>1oec&:1(58`lh/=;#<7iga(41*3>bnf!??%:5kio*61,1<l`d#9;'8;ekm,01.?2nbb%;7)69gmk.21 <0hd`'6(58`lh/>9#<7iga(73*3>bnf!<9%:5kio*57,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<4?aoi4:;5;6jfn=11:2=cag68?394dhl?71803mce0>;17:fjj9516>1oec2<7?58`lh;;14<7iga<2;=2>bnf595;6jfn=63:2=cag6?=394dhl?07803mce09=17:fjj9236>1oec2;5?58`lh;<?4<7iga<55=3>bnf5>32:5kio>7=;0<l`d78394dhl?15803mce08?17:fjj9356>1oec2:3?58`lh;==4<7iga<47=3>bnf5?=2:5kio>63;1<l`d79508;ekm80?9>2nbb1;17:fjj9076>1oec291?58`lh;>;427iga<7194;1<l`d7:>09;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~:o1mekaPgdlfvdrhzV|?S>"/Xhnjj}&DG[O+Kh`jr`vlv%77&8k0jdh`_w7\214?3ocmcRx:_76/bl`hWnoeio{os]u0Z5+FFDN CAAE2`7?coagV|>S;:#fhdl[bcim{kcQy4^1/fYoizUbbyQllj?3(fYoizUx~~z20-a\vaYazl{6=!mPh`q\vaYci}kTob{at<2/gZbnfV}bhyf265.`[mgtW~xThlzn_bmvjq;7$jUmyabPfmcdwZtt|V|j`0?#c^knticjmg~jxdaa_u{sa84+kVxiRkbbdfgaaYumn7; nQxr^dqat;6$jU~hQkotv\vvr:8%iTycjjrgnlsZjh4:'oRfns^muaw`kg~7; nQrdnleaYki}oeot3?,b]gkprX`nd0==,b]nwbiiWkg1<"l_sf\``vs`4;;>9"l_icp[phcm{lgcz3?,b]kevYfddexxRhzlm?3(fYoizUyy3>,b]geqgXkfexRyfduj>=)eX`hyT{Qncj?3(fYr{lUnon3?,b]`khkhfVigcne20-a\swYfkbUgcz3?,b]q`Zvi|{U|eizg=02/gZstmVl|jyQkauc\gjsi|4:'oRfns^coijusWlg{xt3?,b]q`Zbf|hUhcx`{_vkgpm;68%iTc{k}fmmt[iip59&hSz|Ppovq[roc|a72 nQrne\ahvsqV~r|h3>,b]tvZbf|hUhcx`{_vkgpm;>$jU{~hb`ae]qabu:9%iThb{{_sqw[sgk59&hSeo|_ecweZeh}g~6<!mPpsmd[cskdV~c~h}g_ogdeqcX~hf6?97#c^uq[acw|a7>=?"l_qplcZcjx}sTxe|jsi]mabgsmV|j`0??1-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc=023(fYoizU}magk=1.`[uthoVl~`aQ{hsgplZgt{lxS{oc=273(fijxfdnbyQaalg>bl`hW?T:9"l_wcomaYkg~7; nQrho\bl`hW}s{i0;>2y51(fYwzfmTjxbc_u{sa87+kVgnab|v_u{sa87+u;>0jdh`_w7\21YaaoeTkh`jr`vlvZp3W:UsyQ>4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pUdk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|ah_dosp|Ys`{oxd%8&159svjaXmdzuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShctx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5rne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntqX|axneQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxiz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdzuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5rne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntqX|axneQnsrgqp9?=87;i7}|`g^gntqX|axneQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnki%:&1c9svjaXmdzuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5rne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntqX|axneQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wog`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|ah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axne&8)018twi`Wog`Rzgrdqk,=/6=2zycjQiumn\pmtb{a636=0>a:rqkbYa}efTxe|jsi]bwvcu|!:"=l5rne\bpjkW}byi~fParqfvq.6!8k0|ah_gwohZrozlycSl}|esv+6,7f3yxdkRhzlm]wlwct`Vkxh|{(2+2e>vugnUmyabPtipfwmYf{zoyx%:&1`9svjaXn|fgSyf}erj\evubz}">%<o4psmd[cskdV~c~h}g_`qpawr/> ;j7}|`g^dvhiYs`{oxdRo|sdpw,2/6i2zycjQiumn\pmtb{aUj~k}t):*5f=wzfmTjxbc_ujqavnXizyn~y27:1<2e>vugnUmyabPtipfwmYimnki%>&1`9svjaXn|fgSyf}erj\j`af|l":%<o4psmd[cskdV~c~h}g_ogdeqc/: ;j7}|`g^dvhiYs`{oxdR`jg`vf,6/6i2zycjQiumn\pmtb{aUeijo{e)6*5d=wzfmTjxbc_ujqavnXflmjxh&:)0c8twi`Wog`Rzgrdqk[kc`i}o#:$?n;qplcZ`rdeUdk|h^lfcdrb >#:m6~}of]eqijX|axneQaefcwa->.9j1{~biPftno[qnumzbTbhintd>;>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7jPd`vb[firf}"2%<>4re]geqgXkfex1>1139q`Zbf|hUhcx`{<883:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus9?1a}!Pcf-emciX~<U=8#`ho59wvpc>3|doihcov78rdjnl?1|~Rolk79tvZekc8:0{Qkauc\gjsi|!:"==5xr^fbpdYdg|d$<'>0:uq[agsiVidycz'2(33?rtXlh~jSnaznu*0-46<{UomyoPcnwmp-2.991|~Rjnt`]`kphs <#:<6y}_ecweZeh}g~#:$??;vp\`drfWje~by&8)028swYci}kTob{at):*57=pzVnjxlQlotlw8=<76<1|~Rka6:uq[wusuIJ{j>;4@Az5=5<A2=0:w^6i:711>2<6;;<<>54<1265k32m3;0b8;i:59'10e==<<0q^6k:711>2<6;;<<>54<1265?V7ck3<8n7>5120537>=;89?:6]7d;40f?6=9:8=;?653017<>b1;<0;6<4>{R:e>3552>0:??88298056213^9:k4?:082>4`6sZ2m6;==:6827700:108=>:9;%700?7cl2\>9i4={t3f2?7<}8o<6=5r$37;>76<j?9>6=499;192<}O=:80V:o52z34>75=u-8<:78<5:&61g<1;=1d:8h50;9j2=d=831d:>j50;9j2d6=831d:>750;9l265=831b:4;50;9j2=b=831d:=o50;&11d<1:01e>8750:9l25?=83.99l49289m60?=921d:=950;&11d<1:01e>8752:9l250=83.99l49289m60?=;21d:=;50;&11d<1:01e>8754:9l252=83.99l49289m60?==21d:==50;&11d<1:01e>8756:9l254=83.99l49289m60?=?21d:=?50;&11d<1:01e>8758:9l256=83.99l49289m60?=121d9kh50;&11d<1:01e>875a:9l1cc=83.99l49289m60?=j21d9km50;&11d<1:01e>875c:9l1cd=83.99l49289m60?=l21d9ko50;&11d<1:01e>875e:9l1c?=83.99l49289m60?=n21d9k650;&11d<1:01e>8751198k0`0290/>8o563;8j73>28;07b;i6;29 73f2?827c<:9;31?>i2n<0;6)<:a;41=>h5=00:?65`5g694?"5=h0=>45a24;951=<g<l86=4+24c927?<f;?26<;4;n424?6=,;?j6;<6;o06=?7132e=<k4?:%06e?0512d9944>7:9l25c=83.99l49289m60?=9110c;>k:18'60g=>;30b?;6:0;8?j07k3:1(?;n:70:?k4213;j76a90c83>!42i3<956`=5882f>=h>921<7*=5`856<=i:<31=n54o4dg>5<#:<k1:?74n37:>4b<3f?m>7>5$37b>34>3g8>57?j;:m6b4<72-8>m78=9:l11<<6n21b9lm50;&11d<2mk1e>8750:9j1dd=83.99l4:ec9m60?=921b9lo50;&11d<2mk1e>8752:9j1d?=83.99l4:ec9m60?=;21b9l950;&11d<2mk1e>8754:9j1d0=83.99l4:ec9m60?==21b9l;50;&11d<2mk1e>8756:9j1d2=83.99l4:ec9m60?=?21b9l=50;&11d<2mk1e>8758:9j1d4=83.99l4:ec9m60?=121b9l?50;&11d<2mk1e>875a:9j1d6=83.99l4:ec9m60?=j21b94h50;&11d<2mk1e>875c:9j1<c=83.99l4:ec9m60?=l21b94m50;&11d<2mk1e>875e:9j1<d=83.99l4:ec9m60?=n21b94o50;&11d<2mk1e>8751198m0?>290/>8o55d`8j73>28;07d;68;29 73f2<oi7c<:9;31?>o21>0;6)<:a;7ff>h5=00:?65f58494?"5=h0>io5a24;951=<a<3>6=4+24c91`d<f;?26<;4;h7:0?6=,;?j68km;o06=?7132c>5>4?:%06e?3bj2d9944>7:9j1<7=83.99l4:ec9m60?=9110e87?:18'60g==lh0b?;6:0;8?l3?n3:1(?;n:4ga?k4213;j76g:8d83>!42i3?nn6`=5882f>=n=1n1<7*=5`86ag=i:<31=n54i4:`>5<#:<k19hl4n37:>4b<3`?3n7>5$37b>0ce3g8>57?j;:k6<d<72-8>m7;jb:l11<<6n21b95750;&11d<2mk1e>8752198m0>?290/>8o55d`8j73>2;;07d;76;29 73f2<oi7c<:9;01?>o20<0;6)<:a;7ff>h5=009?65f59694?"5=h0>io5a24;961=<a<286=4+24c91`d<f;?26?;4;h7;6?6=,;?j68km;o06=?4132c>4<4?:%06e?3bj2d9944=7:9j1=6=83.99l4:ec9m60?=:110e89i:18'60g==lh0b?;6:3;8?l30m3:1(?;n:4ga?k42138j76g:7e83>!42i3?nn6`=5881f>=n=>h1<7*=5`86ag=i:<31>n54i45b>5<#:<k19hl4n37:>7b<3`?<57>5$37b>0ce3g8>57<j;:k63=<72-8>m7;jb:l11<<5n21b9:950;&11d<2mk1e>8753198m011290/>8o55d`8j73>2:;07d;85;29 73f2<oi7c<:9;11?>o2?=0;6)<:a;7ff>h5=008?65f56194?"5=h0>io5a24;971=<a<=96=4+24c91`d<f;?26>;4;h7bb?6=,;?j68km;o06=?5132c>mh4?:%06e?3bj2d9944<7:9j1db=83.99l4:ec9m60?=;110e8o7:18'60g==lh0b?;6:2;8?l3>l3:1(?;n:4ga?k42139j76g:9383>!42i3?nn6`=5880f>=n=1=1<7*=5`86ag=i:<31?n54i45`>5<#:<k19hl4n37:>6b<3`?<=7>5$37b>0ce3g8>57=j;:k635<72-8>m7;jb:l11<<4n21b::k50;9a165=83;1<7>tH411?!40>3?8?6a=5683>>{e9:n1<7?50;2xL0553-8<:7?<d:m27f<722wi;44?:bf95=c=9o;pD8==;[5b>40|039:6o46:26975<6?3886><5328b>65=;=0:;7l59;00>64=;808<7o58;'620=>:20(<jn:01a?!>12?9=7)6j:714?!42:38>:6a:6183>>o10j0;66g94683>!42i3<?46`=5883?>o1<?0;6)<:a;47<>h5=00:76g94483>!42i3<?46`=5881?>o1<=0;6)<:a;47<>h5=00876g94283>!42i3<?46`=5887?>o1<;0;6)<:a;47<>h5=00>76g94083>!42i3<?46`=5885?>o1<90;6)<:a;47<>h5=00<76g93g83>!42i3<?46`=588;?>o1;l0;6)<:a;47<>h5=00276a95g83>>o10o0;66a99283>>o1?;0;6)<:a;447>h5=00;76g97083>!42i3<<?6`=5882?>o1?90;6)<:a;447>h5=00976g96g83>!42i3<<?6`=5880?>o1>l0;6)<:a;447>h5=00?76g96e83>!42i3<<?6`=5886?>o1>j0;6)<:a;447>h5=00=76g96c83>!42i3<<?6`=5884?>o1>h0;6)<:a;447>h5=00376g98c83>>i1;j0;66a93e83>>o2>:0;66a98983>!42i3<3m6`=5883?>i10>0;6)<:a;4;e>h5=00:76a98783>!42i3<3m6`=5881?>i10<0;6)<:a;4;e>h5=00876a98583>!42i3<3m6`=5887?>i10:0;6)<:a;4;e>h5=00>76a98383>!42i3<3m6`=5885?>i1080;6)<:a;4;e>h5=00<76a98183>!42i3<3m6`=588;?>i1?o0;6)<:a;4;e>h5=00276g95383>!42i3<>?6`=5883?>o1=80;6)<:a;467>h5=00:76g95183>!42i3<>?6`=5881?>o1<o0;6)<:a;467>h5=00876g94d83>!42i3<>?6`=5887?>o1<m0;6)<:a;467>h5=00>76g94b83>!42i3<>?6`=5885?>o1<k0;6)<:a;467>h5=00<76g94`83>!42i3<>?6`=588;?>o1<00;6)<:a;467>h5=00276g9a183>>i11l0;6)<:a;4:b>h5=00;76a99e83>!42i3<2j6`=5882?>i11j0;6)<:a;4:b>h5=00976a99c83>!42i3<2j6`=5880?>i11h0;6)<:a;4:b>h5=00?76a99883>!42i3<2j6`=5886?>i1110;6)<:a;4:b>h5=00=76a99683>!42i3<2j6`=5884?>i11?0;6)<:a;4:b>h5=00376a93883>>i1;:0;66g96983>!42i3<=56`=5883?>o1>>0;6)<:a;45=>h5=00:76g96783>!42i3<=56`=5881?>o1><0;6)<:a;45=>h5=00876g96583>!42i3<=56`=5887?>o1>:0;6)<:a;45=>h5=00>76g96383>!42i3<=56`=5885?>o1>80;6)<:a;45=>h5=00<76g96183>!42i3<=56`=588;?>o11<0;66g93`83>>o2>=0;66g9a083>>i10l0;66a99583>>i11;0;66g98e83>>i18h0;6)<:a;41=>h5=00;76a90883>!42i3<956`=5882?>i18>0;6)<:a;41=>h5=00976a90783>!42i3<956`=5880?>i18<0;6)<:a;41=>h5=00?76a90583>!42i3<956`=5886?>i18:0;6)<:a;41=>h5=00=76a90383>!42i3<956`=5884?>i1880;6)<:a;41=>h5=00376a90183>!42i3<956`=588:?>i2no0;6)<:a;41=>h5=00j76a:fd83>!42i3<956`=588a?>i2nj0;6)<:a;41=>h5=00h76a:fc83>!42i3<956`=588g?>i2nh0;6)<:a;41=>h5=00n76a:f883>!42i3<956`=588e?>i2n10;6)<:a;41=>h5=00:<65`5g594?"5=h0=>45a24;954=<g<l=6=4+24c927?<f;?26<<4;n7e1?6=,;?j6;<6;o06=?7432e>j94?:%06e?0512d9944>4:9l1c5=83.99l49289m60?=9<10c;??:18'60g=>;30b?;6:048?j07n3:1(?;n:70:?k4213;<76a90d83>!42i3<956`=5882<>=h>9n1<7*=5`856<=i:<31=454o72`>5<#:<k1:?74n37:>4g<3f<;n7>5$37b>34>3g8>57?m;:m54=<72-8>m78=9:l11<<6k21d9kj50;&11d<1:01e>8751e98k0`5290/>8o563;8j73>28o07b;i1;29 73f2?827c<:9;3e?>o2ij0;6)<:a;7ff>h5=00;76g:ac83>!42i3?nn6`=5882?>o2ih0;6)<:a;7ff>h5=00976g:a883>!42i3?nn6`=5880?>o2i>0;6)<:a;7ff>h5=00?76g:a783>!42i3?nn6`=5886?>o2i<0;6)<:a;7ff>h5=00=76g:a583>!42i3?nn6`=5884?>o2i:0;6)<:a;7ff>h5=00376g:a383>!42i3?nn6`=588:?>o2i80;6)<:a;7ff>h5=00j76g:a183>!42i3?nn6`=588a?>o21o0;6)<:a;7ff>h5=00h76g:9d83>!42i3?nn6`=588g?>o21j0;6)<:a;7ff>h5=00n76g:9c83>!42i3?nn6`=588e?>o21h0;6)<:a;7ff>h5=00:<65f58;94?"5=h0>io5a24;954=<a<336=4+24c91`d<f;?26<<4;h7:3?6=,;?j68km;o06=?7432c>5;4?:%06e?3bj2d9944>4:9j1<3=83.99l4:ec9m60?=9<10e87;:18'60g==lh0b?;6:048?l3>;3:1(?;n:4ga?k4213;<76g:9083>!42i3?nn6`=5882<>=n=0:1<7*=5`86ag=i:<31=454i4:e>5<#:<k19hl4n37:>4g<3`?3i7>5$37b>0ce3g8>57?m;:k6<a<72-8>m7;jb:l11<<6k21b95m50;&11d<2mk1e>8751e98m0>e290/>8o55d`8j73>28o07d;7a;29 73f2<oi7c<:9;3e?>o2000;6)<:a;7ff>h5=009<65f59:94?"5=h0>io5a24;964=<a<2=6=4+24c91`d<f;?26?<4;h7;1?6=,;?j68km;o06=?4432c>494?:%06e?3bj2d9944=4:9j1=5=83.99l4:ec9m60?=:<10e86=:18'60g==lh0b?;6:348?l3?93:1(?;n:4ga?k42138<76g:8183>!42i3?nn6`=5881<>=n=>l1<7*=5`86ag=i:<31>454i45f>5<#:<k19hl4n37:>7g<3`?<h7>5$37b>0ce3g8>57<m;:k63g<72-8>m7;jb:l11<<5k21b9:o50;&11d<2mk1e>8752e98m01>290/>8o55d`8j73>2;o07d;88;29 73f2<oi7c<:9;0e?>o2?>0;6)<:a;7ff>h5=008<65f56494?"5=h0>io5a24;974=<a<=>6=4+24c91`d<f;?26><4;h740?6=,;?j68km;o06=?5432c>;>4?:%06e?3bj2d9944<4:9j124=83.99l4:ec9m60?=;<10e8oi:18'60g==lh0b?;6:248?l3fm3:1(?;n:4ga?k42139<76g:ae83>!42i3?nn6`=5880<>=n=h21<7*=5`86ag=i:<31?454i4;g>5<#:<k19hl4n37:>6g<3`?2>7>5$37b>0ce3g8>57=m;:k6<2<72-8>m7;jb:l11<<4k21b9:m50;&11d<2mk1e>8753e98m016290/>8o55d`8j73>2:o07d;80;29 73f2<oi7c<:9;1e?>i2>80;66g:6383>>o1?j0;6)<:a;44`>h5=00;76g97c83>!42i3<<h6`=5882?>o1?h0;6)<:a;44`>h5=00976g97883>!42i3<<h6`=5880?>o1?10;6)<:a;44`>h5=00?76g97683>!42i3<<h6`=5886?>o1??0;6)<:a;44`>h5=00=76g97483>!42i3<<h6`=5884?>o1?=0;6)<:a;44`>h5=00376a:6e83>!42i3?=i6`=5883?>i2>j0;6)<:a;75a>h5=00:76a:6c83>!42i3?=i6`=5881?>i2>h0;6)<:a;75a>h5=00876a:6883>!42i3?=i6`=5887?>i2>10;6)<:a;75a>h5=00>76a:6683>!42i3?=i6`=5885?>i2>?0;6)<:a;75a>h5=00<76a:6483>!42i3?=i6`=588;?>o1=m0;6)<:a;46a>h5=00;76g95b83>!42i3<>i6`=5882?>o1=k0;6)<:a;46a>h5=00976g95`83>!42i3<>i6`=5880?>o1=00;6)<:a;46a>h5=00?76g95983>!42i3<>i6`=5886?>o1=>0;6)<:a;46a>h5=00=76g95783>!42i3<>i6`=5884?>o1=<0;6)<:a;46a>h5=00376g95583>!42i3<>i6`=588:?>i2>o0;66g97d83>>d2=00;6<4?:1y'620=9:n0D8;7;I706>i6;j0;66sm54c94?7=83:p(?99:410?M3202B>??5`24594?=zj<8:6=4<:183!40>3;8=6F:599K164<@>=0(<;::09j3g<722c2<7>5;n06g?6=3th>>l4?:283>5}#:><1=>?4H47;?M34:2B<;6*>5482?l1e2900e4>50;9l60e=831vn8<?:180>5<7s-8<:7?<1:J61==O=:80D:94$076>4=n?k0;66g60;29?j42k3:17pl:2983>0<729q/>:851248L03?3A?8>6F87:&210<63`=i6=44i9794?=n190;66g=5c83>>i5=j0;66sm53;94?5=83:p(?99:012?M3202B>??5G769'503=92c<n7>5;h;3>5<<g;?h6=44}c72b?6==3:1<v*=778273=O=<20D8==;I54?!72=3;0e:l50;9j<0<722c2<7>5;h06f?6=3f8>o7>5;|`662<72=0;6=u+2649563<@<?37E;<2:&210<63`=i6=44i8294?=n:<h1<75`24a94?=zj<8=6=4;:183!40>3;896F:599K164<,8?>6<5f7c83>>o>83:17d<:b;29?j42k3:17pl:3183>1<729q/>:851278L03?3A?8>6*>5482?l1e2900e4>50;9j60d=831d>8m50;9~f04a290?6=4?{%042?74=2B>955G5208 432281b;o4?::k:4?6=3`8>n7>5;n06g?6=3th8o;4?:583>5}#:><1=>;4H47;?M34:2.:9849;h5a>5<<a0:1<75f24`94?=h:<i1<75rb55g>5<3290;w)<86;301>N2=11C9><4$076>4=n?k0;66g60;29?l42j3:17b<:c;29?xd3?j0;694?:1y'620=9:?0D8;7;I706>"6=<0:7d9m:188m<6=831b>8l50;9l60e=831vn>o9:187>5<7s-8<:7?j5:J61==O=:80e5950;9j=4<722c9:l4?::m110<722wi?l;50;694?6|,;==6<k:;I76<>N2;;1b4:4?::k:5?6=3`8=m7>5;n061?6=3th8m94?:583>5}#:><1=h;4H47;?M34:2c3;7>5;h;2>5<<a;<j6=44o376>5<<uk9j?7>54;294~"5??0:i85G54:8L0553`2<6=44i8394?=n:?k1<75`24794?=zj:k96=4;:183!40>3;n96F:599K164<a1=1<75f9083>>o5>h0;66a=5483>>{e;k31<7:50;2x 71128o>7E;:8:J677=n0>0;66g61;29?l41i3:17b<:5;29?xd4j10;694?:1y'620=9l?0D8;7;I706>o??3:17d7>:188m70f2900c?;::188yg5e?3:187>50z&133<6m<1C9864H411?l>02900e4?50;9j63g=831d>8;50;9~f6d1290?6=4?{%042?7b=2B>955G5208m=1=831b5<4?::k12d<722e9984?::a7g3=83>1<7>t$355>4c23A?>46F:339j<2<722c2=7>5;h05e?6=3f8>97>5;|`71f<72=0;6=u+26495`3<@<?37E;<2:k;3?6=3`3:6=44i34b>5<<g;?>6=44}c66f?6=<3:1<v*=7782a0=O=<20D8==;h:4>5<<a0;1<75f27c94?=h:<?1<75rb57b>5<3290;w)<86;3f1>N2=11C9><4i9594?=n180;66g=6`83>>i5=<0;66sm44;94?2=83:p(?99:0g6?M3202B>??5f8683>>o>93:17d<9a;29?j42=3:17pl;5983>1<729q/>:851d78L03?3A?8>6g77;29?l?62900e?8n:188k7322900qo:9f;290?6=8r.9;;4>e49K10><@<997d68:188m<7=831b>;o50;9l603=831vn98j:187>5<7s-8<:7?j5:J61==O=:80e5950;9j=4<722c9:l4?::m110<722wi8;j50;694?6|,;==6<k:;I76<>N2;;1b4:4?::k:5?6=3`8=m7>5;n061?6=3th?:n4?:583>5}#:><1=h;4H47;?M34:2c3;7>5;h;2>5<<a;<j6=44o376>5<<uk>=n7>54;294~"5??0:i85G54:8L0553`2<6=44i8394?=n:?k1<75`24794?=zj;k26=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo<n8;290?6=8r.9;;4>339K10><@<997)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`1e2<72=0;6=u+2649564<@<?37E;<2:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e:h<1<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn?o::187>5<7s-8<:7?<2:J61==O=:80(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c0b0?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd5i:0;694?:1y'620=9:80D8;7;I706>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi>l<50;694?6|,;==6<==;I76<>N2;;1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb3c2>5<3290;w)<86;306>N2=11C9><4$076>4=n?k0;66g7c;29?l?72900c?;l:188yg57>3:187>50z&133<6;;1C9864H411?!72=3;0e:l50;9j<f<722c2<7>5;n06g?6=3th8<84?:583>5}#:><1=><4H47;?M34:2.:984>;h5a>5<<a1i1<75f9183>>i5=j0;66sm31694?2=83:p(?99:011?M3202B>??5+14795>o0j3:17d6l:188m<6=831d>8m50;9~f664290?6=4?{%042?74:2B>955G5208 432281b;o4?::k;g?6=3`3;6=44o37`>5<<uk9;>7>54;294~"5??0:??5G54:8L0553-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl<0083>1<729q/>:851208L03?3A?8>6*>5482?l1e2900e5m50;9j=5<722e99n4?::a756=83>1<7>t$355>4553A?>46F:339'503=92c<n7>5;h:`>5<<a0:1<75`24a94?=zj;lm6=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo<ie;290?6=8r.9;;4>339K10><@<997)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`001<72=0;6=u+2649564<@<?37E;<2:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e;=91<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn>:=:187>5<7s-8<:7?<2:J61==O=:80(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c175?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd4<90;694?:1y'620=9:80D8;7;I706>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi?>h50;694?6|,;==6<==;I76<>N2;;1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb21f>5<3290;w)<86;306>N2=11C9><4$076>4=n?k0;66g7c;29?l?72900c?;l:188yg54l3:187>50z&133<6;;1C9864H411?!72=3;0e:l50;9j<f<722c2<7>5;n06g?6=3th8?n4?:583>5}#:><1=><4H47;?M34:2.:984>;h5a>5<<a1i1<75f9183>>i5=j0;66sm2d`94?2=83:p(?99:011?M3202B>??5+14795>o0j3:17d6l:188m<6=831d>8m50;9~f7cf290?6=4?{%042?74:2B>955G5208 432281b;o4?::k;g?6=3`3;6=44o37`>5<<uk8n57>54;294~"5??0:??5G54:8L0553-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl=e983>1<729q/>:851208L03?3A?8>6*>5482?l1e2900e5m50;9j=5<722e99n4?::a6`1=83>1<7>t$355>4553A?>46F:339'503=92c<n7>5;h:`>5<<a0:1<75`24a94?=zj;o=6=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo<j5;290?6=8r.9;;4>339K10><@<997)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`1a1<72=0;6=u+2649564<@<?37E;<2:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e:l91<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn>==:187>5<7s-8<:7?<2:J61==O=:80D:94$076>4=n?k0;66g7c;29?l?72900c?;l:188yg5493:187>50z&133<6;;1C9864H411?M103-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl<3183>1<729q/>:851208L03?3A?8>6F87:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e;;l1<7:50;2x 71128997E;:8:J677=O?>1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb20f>5<3290;w)<86;306>N2=11C9><4H658 432281b;o4?::k;g?6=3`3;6=44o37`>5<<uk99h7>54;294~"5??0:??5G54:8L0553A=<7)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`06f<72=0;6=u+2649564<@<?37E;<2:J43>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi??l50;694?6|,;==6<==;I76<>N2;;1C;:5+14795>o0j3:17d6l:188m<6=831d>8m50;9~f64f290?6=4?{%042?74:2B>955G5208L21<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo<k9;290?6=8r.9;;4>339K10><@<997E98;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd5l10;694?:1y'620=9:80D8;7;I706>N0?2.:984>;h5a>5<<a1i1<75f9183>>i5=j0;66sm2e594?2=83:p(?99:011?M3202B>??5G769'503=92c<n7>5;h:`>5<<a0:1<75`24a94?=zj;n=6=4;:183!40>3;8>6F:599K164<@>=0(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c0g1?6=<3:1<v*=778277=O=<20D8==;I54?!72=3;0e:l50;9j<f<722c2<7>5;n06g?6=3th9h94?:583>5}#:><1=><4H47;?M34:2B<;6*>5482?l1e2900e5m50;9j=5<722e99n4?::a6a5=83>1<7>t$355>4553A?>46F:339K32=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn?j=:187>5<7s-8<:7?<2:J61==O=:80D:94$076>4=n?k0;66g7c;29?l?72900c?;l:188yg4c93:187>50z&133<6;;1C9864H411?M103-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl=d183>1<729q/>:851208L03?3A?8>6F87:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e:j?1<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn?m;:187>5<7s-8<:7?<2:J61==O=:80(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c0`7?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd5k;0;694?:1y'620=9:80D8;7;I706>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi>n?50;694?6|,;==6<==;I76<>N2;;1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb3a3>5<3290;w)<86;306>N2=11C9><4$076>4=n?k0;66g7c;29?l?72900c?;l:188yg4en3:187>50z&133<6;;1C9864H411?!72=3;0e:l50;9j<f<722c2<7>5;n06g?6=3th9nh4?:583>5}#:><1=><4H47;?M34:2.:984>;h5a>5<<a1i1<75f9183>>i5=j0;66sm2cf94?2=83:p(?99:011?M3202B>??5+14795>o0j3:17d6l:188m<6=831d>8m50;9~f7dd290?6=4?{%042?74:2B>955G5208 432281b;o4?::k;g?6=3`3;6=44o37`>5<<uk99<7>54;294~"5??0:??5G54:8L0553-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl<1g83>1<729q/>:851208L03?3A?8>6*>5482?l1e2900e5m50;9j=5<722e99n4?::a74c=83>1<7>t$355>4553A?>46F:339'503=92c<n7>5;h:`>5<<a0:1<75`24a94?=zj:;o6=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo=>c;290?6=8r.9;;4>339K10><@<997)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`05g<72=0;6=u+2649564<@<?37E;<2:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e;8k1<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn>?6:187>5<7s-8<:7?<2:J61==O=:80(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c12<?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd38:0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`747<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;0183>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th8jk4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd3880;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`0b`<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl<fe83>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th8jo4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd4nh0;684?:1y'620=9:<0D8;7;I706>"6=<0=7d9m:188m=3=831b5=4?::k11g<722e99n4?::a7ce=83?1<7>t$355>4543A?>46F:339'503=92c<n7>5;h:6>5<<a1i1<75f9183>>i5=j0;66sm3dg94?5=83:p(?99:474?M3202B>??5f8683>>o6lk0;66a=5483>>{e;ln1<7=50;2x 7112<?<7E;:8:J677=n0>0;66g>dc83>>i5=<0;66sm3d194?2=83:p(?99:0g6?M3202B>??5f8683>>o>93:17d<9a;29?j42=3:17pl<eb83>6<729q/>:855458L03?3A?8>6g77;29?l7cj3:17b<:5;29?xd4m80;694?:1y'620=9l?0D8;7;I706>o??3:17d7>:188m70f2900c?;::188yg5bj3:1?7>50z&133<2=>1C9864H411?l>02900e<jm:188k7322900qo=kf;290?6=8r.9;;4>e49K10><@<997d68:188m<7=831b>;o50;9l603=831vn>kn:180>5<7s-8<:7;:7:J61==O=:80e5950;9j5ad=831d>8;50;9~f6bc290?6=4?{%042?7b=2B>955G5208m=1=831b5<4?::k12d<722e9984?::a7`?=8391<7>t$355>0303A?>46F:339j<2<722c:ho4?::m110<722wi?il50;694?6|,;==6<k:;I76<>N2;;1b4:4?::k:5?6=3`8=m7>5;n061?6=3th8i54?:283>5}#:><19894H47;?M34:2c3;7>5;h3gf?6=3f8>97>5;|`0`<<72=0;6=u+26495`3<@<?37E;<2:k;3?6=3`3:6=44i34b>5<<g;?>6=44}c1f3?6=;3:1<v*=778612=O=<20D8==;h:4>5<<a8ni6=44o376>5<<uk9o;7>54;294~"5??0:i85G54:8L0553`2<6=44i8394?=n:?k1<75`24794?=zj:o=6=4<:183!40>3?>;6F:599K164<a1=1<75f1e`94?=h:<?1<75rb2f6>5<3290;w)<86;3f1>N2=11C9><4i9594?=n180;66g=6`83>>i5=<0;66sm3d794?5=83:p(?99:474?M3202B>??5f8683>>o6lk0;66a=5483>>{e;m91<7:50;2x 71128o>7E;:8:J677=n0>0;66g61;29?l41i3:17b<:5;29?xd39>0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`753<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;1483>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?=94?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd39:0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`757<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;1083>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?==4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd38o0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`74`<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl<6b83>6<729q/>:855458L03?3A?8>6g77;29?l7cj3:17b<:5;29?xd4?<0;6>4?:1y'620==<=0D8;7;I706>o??3:17d?kb;29?j42=3:17pl<6383>1<729q/>:851d78L03?3A?8>6g77;29?l?62900e?8n:188k7322900qo=84;297?6=8r.9;;4:569K10><@<997d68:188m4be2900c?;::188yg5193:187>50z&133<6m<1C9864H411?l>02900e4?50;9j63g=831d>8;50;9~f61429086=4?{%042?32?2B>955G5208m=1=831b=il50;9l603=831vn>8?:187>5<7s-8<:7?j5:J61==O=:80e5950;9j=4<722c9:l4?::m110<722wi?:<50;194?6|,;==68;8;I76<>N2;;1b4:4?::k2`g<722e9984?::a70`=83>1<7>t$355>4c23A?>46F:339j<2<722c2=7>5;h05e?6=3f8>97>5;|`034<72:0;6=u+2649101<@<?37E;<2:k;3?6=3`;on7>5;n061?6=3th89h4?:583>5}#:><1=h;4H47;?M34:2c3;7>5;h;2>5<<a;<j6=44o376>5<<uk9<<7>53;294~"5??0>9:5G54:8L0553`2<6=44i0fa>5<<g;?>6=44}c16`?6=<3:1<v*=7782a0=O=<20D8==;h:4>5<<a0;1<75f27c94?=h:<?1<75rb24e>5<4290;w)<86;763>N2=11C9><4i9594?=n9mh1<75`24794?=zj:?h6=4;:183!40>3;n96F:599K164<a1=1<75f9083>>o5>h0;66a=5483>>{e;?o1<7=50;2x 7112<?<7E;:8:J677=n0>0;66g>dc83>>i5=<0;66sm34`94?2=83:p(?99:0g6?M3202B>??5f8683>>o>93:17d<9a;29?j42=3:17pl<6e83>6<729q/>:855458L03?3A?8>6g77;29?l7cj3:17b<:5;29?xd4=h0;694?:1y'620=9l?0D8;7;I706>o??3:17d7>:188m70f2900c?;::188yg5213:187>50z&133<6m<1C9864H411?l>02900e4?50;9j63g=831d>8;50;9~f6??290>6=4?{%042?74>2B>955G5208 4322?1b;o4?::k;1?6=3`3;6=44i37a>5<<g;?h6=44}c1;1?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd40m0;694?:1y'620=9:80D8;7;I706>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi?5m50;694?6|,;==6<==;I76<>N2;;1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb2:a>5<3290;w)<86;306>N2=11C9><4$076>4=n?k0;66g7c;29?l?72900c?;l:188yg5?i3:187>50z&133<6;;1C9864H411?!72=3;0e:l50;9j<f<722c2<7>5;n06g?6=3th8444?:583>5}#:><1=><4H47;?M34:2.:984>;h5a>5<<a1i1<75f9183>>i5=j0;66sm39:94?2=83:p(?99:011?M3202B>??5+14795>o0j3:17d6l:188m<6=831d>8m50;9~f6>0290?6=4?{%042?74:2B>955G5208 432281b;o4?::k;g?6=3`3;6=44o37`>5<<uk93:7>54;294~"5??0:??5G54:8L0553-;>97?4i6`94?=n0j0;66g60;29?j42k3:17pl<8583>1<729q/>:851208L03?3A?8>6*>5482?l1e2900e5m50;9j=5<722e99n4?::a704=83>1<7>t$355>4553A?>46F:339'503=92c<n7>5;h:`>5<<a0:1<75`24a94?=zj:>m6=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo=:6;290?6=8r.9;;4>349K10><@<997)?:5;48m2d=831b5=4?::k11g<722e99n4?::a703=83>1<7>t$355>4523A?>46F:339'503=>2c<n7>5;h;3>5<<a;?i6=44o37`>5<<uk>8>7>53;294~"5??0>9:5G54:8L0553`2<6=44i0fa>5<<g;?>6=44}c605?6=;3:1<v*=778612=O=<20D8==;h:4>5<<a8ni6=44o376>5<<uk>9<7>54;294~"5??0:i85G54:8L0553`2<6=44i8394?=n:?k1<75`24794?=zj=9;6=4<:183!40>3?>;6F:599K164<a1=1<75f1e`94?=h:<?1<75rb53e>5<3290;w)<86;3f1>N2=11C9><4i9594?=n180;66g=6`83>>i5=<0;66sm43d94?5=83:p(?99:474?M3202B>??5f8683>>o6lk0;66a=5483>>{e<8o1<7:50;2x 71128o>7E;:8:J677=n0>0;66g61;29?l41i3:17b<:5;29?xd3:l0;6>4?:1y'620==<=0D8;7;I706>o??3:17d?kb;29?j42=3:17pl;1e83>1<729q/>:851d78L03?3A?8>6g77;29?l?62900e?8n:188k7322900qo:=d;297?6=8r.9;;4:569K10><@<997d68:188m4be2900c?;::188yg26k3:187>50z&133<6m<1C9864H411?l>02900e4?50;9j63g=831d>8;50;9~f14d29086=4?{%042?32?2B>955G5208m=1=831b=il50;9l603=831vn9?m:187>5<7s-8<:7?j5:J61==O=:80e5950;9j=4<722c9:l4?::m110<722wi8?l50;194?6|,;==68;8;I76<>N2;;1b4:4?::k2`g<722e9984?::a04g=83>1<7>t$355>4c23A?>46F:339j<2<722c2=7>5;h05e?6=3f8>97>5;|`76d<72:0;6=u+2649101<@<?37E;<2:k;3?6=3`;on7>5;n061?6=3th?=44?:583>5}#:><1=h;4H47;?M34:2c3;7>5;h;2>5<<a;<j6=44o376>5<<uk>:47>54;294~"5??0:i85G54:8L0553`2<6=44i8394?=n:?k1<75`24794?=zj=>?6=4;:183!40>3;8>6F:599K164<,8?>6<5f7c83>>o?k3:17d7?:188k73d2900qo:;3;290?6=8r.9;;4>339K10><@<997)?:5;38m2d=831b4n4?::k:4?6=3f8>o7>5;|`707<72=0;6=u+2649564<@<?37E;<2:&210<63`=i6=44i9a94?=n190;66a=5b83>>{e<=;1<7:50;2x 71128997E;:8:J677=#9<?1=6g8b;29?l>d2900e4>50;9l60e=831vn9:?:187>5<7s-8<:7?<2:J61==O=:80(<;::09j3g<722c3o7>5;h;3>5<<g;?h6=44}c60b?6=<3:1<v*=778277=O=<20D8==;%361?7<a>h1<75f8b83>>o>83:17b<:c;29?xd3;l0;694?:1y'620=9:80D8;7;I706>"6=<0:7d9m:188m=e=831b5=4?::m11f<722wi8>j50;694?6|,;==6<==;I76<>N2;;1/=8;51:k4f?6=3`2h6=44i8294?=h:<i1<75rb51`>5<3290;w)<86;306>N2=11C9><4$076>4=n?k0;66g7c;29?l?72900c?;l:188yg22;3:187>50z&133<6;<1C9864H411?!72=3<0e:l50;9j=5<722c99o4?::m11f<722wi89k50;794?6|,;==6<=9;I76<>N2;;1/=8;51:k4f?6=3`2>6=44i8294?=n:<h1<75`24a94?=zj=h>6=4::183!40>3;8?6F:599K164<,8?>6<5f7c83>>o?=3:17d6l:188m<6=831d>8m50;9~f1d3290>6=4?{%042?74;2B>955G5208 432281b;o4?::k;1?6=3`2h6=44i8294?=h:<i1<75rb5`0>5<2290;w)<86;307>N2=11C9><4$076>4=n?k0;66g75;29?l>d2900e4>50;9l60e=831vn9l=:186>5<7s-8<:7?<3:J61==O=:80(<;::09j3g<722c397>5;h:`>5<<a0:1<75`24a94?=zj=h:6=4::183!40>3;8?6F:599K164<,8?>6<5f7c83>>o?=3:17d6l:188m<6=831d>8m50;9~f1d7290>6=4?{%042?74;2B>955G5208 432281b;o4?::k;1?6=3`2h6=44i8294?=h:<i1<75rb5cf>5<2290;w)<86;302>N2=11C9><4$076>3=n?k0;66g75;29?l?72900e?;m:188k73d2900qo:nd;291?6=8r.9;;4>329K10><@<997)?:5;38m2d=831b484?::k;g?6=3`3;6=44o37`>5<<uk>jj7>55;294~"5??0:?>5G54:8L0553-;>97?4i6`94?=n0<0;66g7c;29?l?72900c?;l:188yg2f:3:1?7>50z&133<2=>1C9864H411?l>02900e<jm:188k7322900qo:n1;297?6=8r.9;;4:569K10><@<997d68:188m4be2900c?;::188yg2>03:187>50z&133<6m<1C9864H411?l>02900e4?50;9j63g=831d>8;50;9~f1g729086=4?{%042?32?2B>955G5208m=1=831b=il50;9l603=831vn979:187>5<7s-8<:7?j5:J61==O=:80e5950;9j=4<722c9:l4?::m110<722wi84h50;194?6|,;==68;8;I76<>N2;;1b4:4?::k2`g<722e9984?::a0<2=83>1<7>t$355>4c23A?>46F:339j<2<722c2=7>5;h05e?6=3f8>97>5;|`7=`<72:0;6=u+2649101<@<?37E;<2:k;3?6=3`;on7>5;n061?6=3th?5?4?:583>5}#:><1=h;4H47;?M34:2c3;7>5;h;2>5<<a;<j6=44o376>5<<uk>2h7>53;294~"5??0>9:5G54:8L0553`2<6=44i0fa>5<<g;?>6=44}c6:4?6=<3:1<v*=7782a0=O=<20D8==;h:4>5<<a0;1<75f27c94?=h:<?1<75rb5;`>5<4290;w)<86;763>N2=11C9><4i9594?=n9mh1<75`24794?=zj=2n6=4;:183!40>3;n96F:599K164<a1=1<75f9083>>o5>h0;66a=5483>>{e<0h1<7=50;2x 7112<?<7E;:8:J677=n0>0;66g>dc83>>i5=<0;66sm49a94?2=83:p(?99:0g6?M3202B>??5f8683>>o>93:17d<9a;29?j42=3:17pl;9`83>6<729q/>:855458L03?3A?8>6g77;29?l7cj3:17b<:5;29?xd30h0;694?:1y'620=9l?0D8;7;I706>o??3:17d7>:188m70f2900c?;::188yg2d93:197>50z&133<6;:1C9864H411?!72=3;0e:l50;9j<0<722c3o7>5;h;3>5<<g;?h6=44}c6ab?6==3:1<v*=778273=O=<20D8==;%361?0<a>h1<75f8483>>o>83:17d<:b;29?j42k3:17pl;c183>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?o?4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd3k:0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`7g1<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;c483>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?o;4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd3k>0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`7`<<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;d983>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?h:4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd3l?0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`7`0<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;d583>0<729q/>:851218L03?3A?8>6*>5482?l1e2900e5;50;9j<f<722c2<7>5;n06g?6=3th?h>4?:483>5}#:><1=>=4H47;?M34:2.:984>;h5a>5<<a1?1<75f8b83>>o>83:17b<:c;29?xd3l;0;684?:1y'620=9:90D8;7;I706>"6=<0:7d9m:188m=3=831b4n4?::k:4?6=3f8>o7>5;|`7`4<72<0;6=u+2649565<@<?37E;<2:&210<63`=i6=44i9794?=n0j0;66g60;29?j42k3:17pl;f283>1<729q/>:851268L03?3A?8>6*>5482?l1e2900e5;50;9j=5<722e99n4?::a0c4=83>1<7>t$355>4533A?>46F:339'503=92c<n7>5;h:6>5<<a0:1<75`24a94?=zj=l;6=4;:183!40>3;886F:599K164<,8?>6<5f7c83>>o?=3:17d7?:188k73d2900qo:jf;290?6=8r.9;;4>359K10><@<997)?:5;38m2d=831b484?::k:4?6=3f8>o7>5;|`7a`<72=0;6=u+2649562<@<?37E;<2:&210<63`=i6=44i9794?=n190;66a=5b83>>{e<ln1<7:50;2x 711289?7E;:8:J677=#9<?1=6g8b;29?l>22900e4>50;9l60e=831vn9kl:187>5<7s-8<:7?<4:J61==O=:80(<;::09j3g<722c397>5;h;3>5<<g;?h6=44}c6ff?6=<3:1<v*=778271=O=<20D8==;%361?7<a>h1<75f8483>>o>83:17b<:c;29?xd3mh0;694?:1y'620=9:>0D8;7;I706>"6=<0:7d9m:188m=3=831b5=4?::m11f<722wi8h750;694?6|,;==6<=;;I76<>N2;;1/=8;51:k4f?6=3`2>6=44i8294?=h:<i1<75rb5g;>5<3290;w)<86;300>N2=11C9><4$076>4=n?k0;66g75;29?l?72900c?;l:188yg2b?3:187>50z&133<6;=1C9864H411?!72=3;0e:l50;9j<0<722c2<7>5;n06g?6=3th?i84?:583>5}#:><1=>:4H47;?M34:2.:984>;h5a>5<<a1?1<75f9183>>i5=j0;66sm4d694?2=83:p(?99:017?M3202B>??5+14795>o0j3:17d6::188m<6=831d>8m50;9~f1c4290?6=4?{%042?74<2B>955G5208 432281b;o4?::k;1?6=3`3;6=44o37`>5<<uk>n>7>54;294~"5??0:?95G54:8L0553-;>97?4i6`94?=n0<0;66g60;29?j42k3:17pl;e083>1<729q/>:851268L03?3A?8>6*>5482?l1e2900e5;50;9j=5<722e99n4?::a0`6=83>1<7>t$355>4533A?>46F:339'503=92c<n7>5;h:6>5<<a0:1<75`24a94?=zj=nm6=4;:183!40>3;886F:599K164<,8?>6<5f7c83>>o?=3:17d7?:188k73d2900qo:ke;290?6=8r.9;;4>359K10><@<997)?:5;38m2d=831b484?::k:4?6=3f8>o7>5;|`7`a<72=0;6=u+2649562<@<?37E;<2:&210<63`=i6=44i9794?=n190;66a=5b83>>{e<mi1<7:50;2x 711289?7E;:8:J677=#9<?1=6g8b;29?l>22900e4>50;9l60e=831vn9h6:187>5<7s-8<:7?<4:J61==O=:80(<;::09j3g<722c397>5;h;3>5<<g;?h6=44}c6e<?6=<3:1<v*=778271=O=<20D8==;%361?7<a>h1<75f8483>>o>83:17b<:c;29?xd3n>0;694?:1y'620=9:>0D8;7;I706>"6=<0:7d9m:188m=3=831b5=4?::m11f<722wi8k850;694?6|,;==6<=;;I76<>N2;;1/=8;51:k4f?6=3`2>6=44i8294?=h:<i1<75rb5d6>5<3290;w)<86;300>N2=11C9><4$076>4=n?k0;66g75;29?l?72900c?;l:188yg2a<3:187>50z&133<6;=1C9864H411?!72=3;0e:l50;9j<0<722c2<7>5;n06g?6=3th?j<4?:583>5}#:><1=>:4H47;?M34:2.:984>;h5a>5<<a1?1<75f9183>>i5=j0;66sm4d494?2=83:p(?99:017?M3202B>??5+14795>o0j3:17d6::188m<6=831d>8m50;9~f1be290?6=4?{%042?74<2B>955G5208 432281b;o4?::k;1?6=3`3;6=44o37`>5<<uk>om7>54;294~"5??0:?95G54:8L0553-;>97?4i6`94?=n0<0;66g60;29?j42k3:17pl:2b83>6<729q/>:851ed8L03?3A?8>6*>5482<>o6;o0;66g>4183>>i5=<0;66sm53194?5=83:p(?99:0fe?M3202B>??5+14795==n9:l1<75f15294?=h:<?1<75rb3;4>5<4290;w)<86;3gb>N2=11C9><4$076>77<a89m6=44i063>5<<g;?>6=44}c0:2?6=;3:1<v*=7782`c=O=<20D8==;%361?463`;8j7>5;h374?6=3f8>97>5;|`1=0<72:0;6=u+26495a`<@<?37E;<2:&210<592c:?k4?::k205<722e9984?::a6<2=8391<7>t$355>4ba3A?>46F:339'503=:81b=>h50;9j516=831d>8;50;9~f7?429086=4?{%042?7cn2B>955G5208 4322;;0e<=i:188m4272900c?;::188yg4>:3:1?7>50z&133<6lo1C9864H411?!72=38:7d?<f;29?l7383:17b<:5;29?xd5180;6>4?:1y'620=9ml0D8;7;I706>"6=<09=6g>3g83>>o6<90;66a=5483>>{e:0:1<7=50;2x 71128nm7E;:8:J677=#9<?1><5f12d94?=n9=:1<75`24794?=zj;2<6=4<:183!40>3;oj6F:599K164<,8?>6??4i01e>5<<a8>;6=44o376>5<<uk83:7>53;294~"5??0:hk5G54:8L0553-;>97<>;h30b?6=3`;?<7>5;n061?6=3th9484?:283>5}#:><1=ih4H47;?M34:2.:984=1:k27c<722c:8=4?::m110<722wi>5:50;194?6|,;==6<ji;I76<>N2;;1/=8;5209j56`=831b=9>50;9l603=831vn?6<:180>5<7s-8<:7?kf:J61==O=:80(<;::338m45a2900e<:?:188k7322900qo<72;297?6=8r.9;;4>dg9K10><@<997)?:5;02?l74n3:17d?;0;29?j42=3:17pl=8083>6<729q/>:851ed8L03?3A?8>6*>54815>o6;o0;66g>4183>>i5=<0;66sm29294?5=83:p(?99:0fe?M3202B>??5+147964=n9:l1<75f15294?=h:<?1<75rb35e>5<4290;w)<86;3gb>N2=11C9><4$076>77<a89m6=44i063>5<<g;?>6=44}c16<?6==3:1<v*=7782a4=O=<20D8==;%361?1d3`;8j7>5;h374?6=3`;?=7>5;h376?6=3f8>97>5;|`717<72:0;6=u+26495a`<@<?37E;<2:&210<002c:?k4?::k205<722e9984?::a71c=83>1<7>t$355>4c73A?>46F:339'503=:;1b=>h50;9j516=831b=9?50;9l603=831vn>;>:187>5<7s-8<:7?j0:J61==O=:80(<;::358m45a2900e<:?:188m4262900c?;::188yg2013:1?7>50z&133<6lo1C9864H411?!72=38h7d?<f;29?l7383:17b<:5;29?xd3>?0;6>4?:1y'620=9ml0D8;7;I706>"6=<09o6g>3g83>>o6<90;66a=5483>>{e;j91<7;50;2x 71128o:7E;:8:J677=#9<?1>i5f12d94?=n9=:1<75f15394?=n9=81<75`24794?=zj:h;6=4::183!40>3;n=6F:599K164<,8?>6?74i01e>5<<a8>;6=44i062>5<<a8>96=44o376>5<<uk>3<7>53;294~"5??0:hk5G54:8L0553-;>97??;h30b?6=3`;?<7>5;n061?6=3th?;54?:483>5}#:><1=h?4H47;?M34:2.:984=d:k27c<722c:8=4?::k204<722c:8?4?::m110<722wi8;;50;794?6|,;==6<k>;I76<>N2;;1/=8;52e9j56`=831b=9>50;9j517=831b=9<50;9l603=831vn>m=:186>5<7s-8<:7?j1:J61==O=:80(<;::3f8m45a2900e<:?:188m4262900e<:=:188k7322900qo=nf;291?6=8r.9;;4>e09K10><@<997)?:5;0:?l74n3:17d?;0;29?l7393:17d?;2;29?j42=3:17pl;7683>0<729q/>:851d38L03?3A?8>6*>5481`>o6;o0;66g>4183>>o6<80;66g>4383>>i5=<0;66sm47694?3=83:p(?99:0g2?M3202B>??5+14796a=n9:l1<75f15294?=n9=;1<75f15094?=h:<?1<75rb2a2>5<2290;w)<86;3f5>N2=11C9><4$076>7b<a89m6=44i063>5<<a8>:6=44i061>5<<g;?>6=44}c1ba?6==3:1<v*=7782a4=O=<20D8==;%361?4>3`;8j7>5;h374?6=3`;?=7>5;h376?6=3f8>97>5;|`733<72<0;6=u+26495`7<@<?37E;<2:&210<5l2c:?k4?::k205<722c:8<4?::k207<722e9984?::a035=83?1<7>t$355>4c63A?>46F:339'503=:m1b=>h50;9j516=831b=9?50;9j514=831d>8;50;9~f6e7290>6=4?{%042?7b92B>955G5208 4322;n0e<=i:188m4272900e<:>:188m4252900c?;::188yg5fl3:197>50z&133<6m81C9864H411?!72=3827d?<f;29?l7383:17d?;1;29?l73:3:17b<:5;29?xd4jo0;694?:1y'620=9l:0D8;7;I706>"6=<0:56g>3g83>>o6<90;66g>4083>>i5=<0;66sm3`a94?2=83:p(?99:0g3?M3202B>??5+147954=n9:l1<75f15294?=n9=;1<75`24794?=zj==>6=4::183!40>3;n=6F:599K164<,8?>6?j4i01e>5<<a8>;6=44i062>5<<a8>96=44o376>5<<uk>=>7>55;294~"5??0:i<5G54:8L0553-;>97<k;h30b?6=3`;?<7>5;h375?6=3`;?>7>5;n061?6=3th?;k4?:483>5}#:><1=h?4H47;?M34:2.:984>399j56`=831b=9>50;9j517=831b=9<50;9l603=831vn>:k:186>5<7s-8<:7?j1:J61==O=:80(<;::008m45a2900e<:?:188m4262900e<:=:188k7322900qo<78;297?6=8r.9;;4>dg9K10><@<997)?:5;02?l74n3:17d?;0;29?j42=3:17pl=7683>6<729q/>:851ed8L03?3A?8>6*>54815>o6;o0;66g>4183>>i5=<0;66sm3d694?4=83:p(?99:0ff?M3202B>??5+147955=n9:l1<75`24794?=zj:o96=4=:183!40>3;oi6F:599K164<,8?>6<>4i01e>5<<g;?>6=44}c1f4?6=:3:1<v*=7782``=O=<20D8==;%361?773`;8j7>5;n061?6=3th8hh4?:383>5}#:><1=ik4H47;?M34:2.:984>0:k27c<722e9984?::a7ae=8381<7>t$355>4bb3A?>46F:339'503=991b=>h50;9l603=831vn>jn:181>5<7s-8<:7?ke:J61==O=:80(<;::028m45a2900c?;::188yg5c03:1>7>50z&133<6ll1C9864H411?!72=3;;7d?<f;29?j42=3:17pl<d783>7<729q/>:851eg8L03?3A?8>6*>54824>o6;o0;66a=5483>>{e;m>1<7<50;2x 71128nn7E;:8:J677=#9<?1==5f12d94?=h:<?1<75rb5;:>5<5290;w)<86;3ga>N2=11C9><4$076>46<a89m6=44o376>5<<uk>2;7>52;294~"5??0:hh5G54:8L0553-;>97??;h30b?6=3f8>97>5;|`7=0<72;0;6=u+26495ac<@<?37E;<2:&210<682c:?k4?::m110<722wi84=50;094?6|,;==6<jj;I76<>N2;;1/=8;5119j56`=831d>8;50;9~f1?629096=4?{%042?7cm2B>955G5208 43228:0e<=i:188k7322900qo:7f;296?6=8r.9;;4>dd9K10><@<997)?:5;33?l74n3:17b<:5;29?xd30m0;6?4?:1y'620=9mo0D8;7;I706>"6=<0:<6g>3g83>>i5=<0;66sm49`94?4=83:p(?99:0ff?M3202B>??5+147955=n9:l1<75`24794?=zj:om6=4=:183!40>3;oi6F:599K164<,8?>6<>4i01e>5<<g;?>6=44}c6b7?6=:3:1<v*=7782``=O=<20D8==;%361?773`;8j7>5;n061?6=3th94i4?:583>5}#:><1=h>4H47;?M34:2.:984=e:k27c<722c:8=4?::k204<722e9984?::a62e=83>1<7>t$355>4c73A?>46F:339'503=:l1b=>h50;9j516=831b=9?50;9l603=831vn?66:187>5<7s-8<:7?j0:J61==O=:80(<;::3g8m45a2900e<:?:188m4262900c?;::188yg4003:187>50z&133<6m91C9864H411?!72=38n7d?<f;29?l7383:17d?;1;29?j42=3:17pl=8d83>0<729q/>:851d38L03?3A?8>6*>54811>o6;o0;66g>4183>>o6<80;66g>4383>>i5=<0;66sm26f94?3=83:p(?99:0g2?M3202B>??5+147960=n9:l1<75f15294?=n9=;1<75f15094?=h:<?1<75rb3:e>5<1290;w)<86;3f6>N2=11C9><4$076>7`<a89m6=44i063>5<<a8>:6=44i061>5<<a8>86=44o376>5<<uk8<i7>56;294~"5??0:i?5G54:8L0553-;>97<i;h30b?6=3`;?<7>5;h375?6=3`;?>7>5;h377?6=3f8>97>5;|`1<g<72?0;6=u+26495`4<@<?37E;<2:&210<5n2c:?k4?::k205<722c:8<4?::k207<722c:8>4?::m110<722wi>:o50;494?6|,;==6<k=;I76<>N2;;1/=8;52g9j56`=831b=9>50;9j517=831b=9<50;9j515=831d>8;50;9~f60329086=4?{%042?7cn2B>955G5208 4322;i0e<=i:188m4272900c?;::188yg2593:1?7>50z&133<6lo1C9864H411?!72=38h7d?<f;29?l7383:17b<:5;29?xd4><0;6>4?:1y'620=9ml0D8;7;I706>"6=<09o6g>3g83>>o6<90;66a=5483>>{e<;81<7=50;2x 71128nm7E;:8:J677=#9<?1>n5f12d94?=n9=:1<75`24794?=zj:<=6=4<:183!40>3;oj6F:599K164<,8?>6?m4i01e>5<<a8>;6=44o376>5<<uk>9?7>53;294~"5??0:hk5G54:8L0553-;>97<l;h30b?6=3`;?<7>5;n061?6=3th8::4?:283>5}#:><1=ih4H47;?M34:2.:984=c:k27c<722c:8=4?::m110<722wi8?:50;194?6|,;==6<ji;I76<>N2;;1/=8;52b9j56`=831b=9>50;9l603=831vn>87:180>5<7s-8<:7?kf:J61==O=:80(<;::3a8m45a2900e<:?:188k7322900qo:=5;297?6=8r.9;;4>dg9K10><@<997)?:5;0`?l74n3:17d?;0;29?j42=3:17pl<6883>6<729q/>:851ed8L03?3A?8>6*>5481g>o6;o0;66g>4183>>i5=<0;66sm43494?5=83:p(?99:0fe?M3202B>??5+14796f=n9:l1<75f15294?=h:<?1<75rb24b>5<4290;w)<86;3gb>N2=11C9><4$076>7e<a89m6=44i063>5<<g;?>6=44}c613?6=;3:1<v*=7782`c=O=<20D8==;%361?4d3`;8j7>5;h374?6=3f8>97>5;|`02g<72:0;6=u+26495a`<@<?37E;<2:&210<5k2c:?k4?::k205<722e9984?::a07>=8391<7>t$355>4ba3A?>46F:339'503=:j1b=>h50;9j516=831d>8;50;9~f61>290>6=4?{%042?7b92B>955G5208 432289<7d?<f;29?l7383:17d?;1;29?l73:3:17b<:5;29?xd4k10;6:4?:1y'620=9l90D8;7;I706>"6=<0:?l5f12d94?=n9=:1<75f15394?=n9=81<75f15194?=n9=>1<75`24794?=zj:<86=4<:183!40>3;oj6F:599K164<,8?>6?m4i01e>5<<a8>;6=44o376>5<<uk>957>53;294~"5??0:hk5G54:8L0553-;>97<l;h30b?6=3`;?<7>5;n061?6=3th85o4?:783>5}#:><1=h<4H47;?M34:2.:984>389j56`=831b=9>50;9j517=831b=9<50;9j515=831d>8;50;9~f6?f290<6=4?{%042?7b;2B>955G5208 4322<1b=>h50;9j516=831b=9?50;9j514=831b=9=50;9j512=831d>8;50;9~f137290=6=4?{%042?7b:2B>955G5208 4322;20e<=i:188m4272900e<:>:188m4252900e<:<:188k7322900qo:;f;293?6=8r.9;;4>e29K10><@<997)?:5;68m45a2900e<:?:188m4262900e<:=:188m4242900e<:;:188k7322900qo=:4;291?6=8r.9;;4>e09K10><@<997)?:5;07?l74n3:17d?;0;29?l7393:17d?;2;29?j42=3:17pl=8`83>0<729q/>:851d38L03?3A?8>6*>54811>o6;o0;66g>4183>>o6<80;66g>4383>>i5=<0;66sm26;94?3=83:p(?99:0g2?M3202B>??5+147960=n9:l1<75f15294?=n9=;1<75f15094?=h:<?1<75rb3:`>5<0290;w)<86;3f7>N2=11C9><4$076>70<a89m6=44i063>5<<a8>:6=44i061>5<<a8>86=44i067>5<<g;?>6=44}c04f?6=?3:1<v*=7782a6=O=<20D8==;%361?413`;8j7>5;h374?6=3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8>97>5;|`032<72;0;6=u+26495a?<@<?37E;<2:k27`<722e9984?::a7<b=8381<7>t$355>4b>3A?>46F:339j56c=831d>8;50;9~f07f290:<?4?:1y'620=:<n0D8;7;I706>\0i3;;w<=51`820?7e2l0h6k4k:0f95f<5j38j6<;51d822?7a2tdmj7>4n002>5=#9m>1?6*>d480?!73=390(<:9:29'511=;2.:854<;%37=?5<,8>j6>5+15`97>"6<j087)?;d;18 42b2:1/=9h53:&215<43-;>=7=4$071>6=#:>:1>6*=7081?!72>390(<;8:29'50>=;2.:944<;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=;>53:&224<43-;=>7=4$040>6=#9?>1?6*>6480?!71>390(<88:29'53>=;2.::44<;%35e?5<,8<i6>5+17a97>"6>m087)?9e;18 40a2:1/=:>53:&234<43-;<>7=4$050>6=#9>>1?6*>7480?!70>390(<98:29'52>=;2.:;44<;%34e?5<,8=i6>5+16a97>"6?m087)?8e;18 41a2:1/=5>53:&2<4<43-;3>7=4$0:0>6=#91>1?6*>8480?!7?>390(<68:29'5=>=;2.:444<;%3;e?5<,82i6>5+19a97>"60m087)?7e;18 4>a2:1/=4>53:&2=4<43-;2>7=4$0;0>6=#90>1?6*>9480?!7>>390(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#:?i1=>>4$070>6=#9m21>8:4$37f>7333-8>j7<:4:l125<5<2d9:<4>2:&12a<5==1/>;k527`8 4b12;1/=i952:&617<2=81/98=55438j0332;>0b8;::008 4332:1b=?j50;9j57e=831b=?h50;9j57c=831b>:=50;9j624=831b>:;50;9j622=831b444?::k;<?6=3`2i6=44i9c94?=n:?=1<75f27494?=n:?31<75f27:94?=n:?91<75f27094?=n:??1<75f27694?=n?m0;66g8e;29?l1a2900e5>50;9l<4<722e3>7>5;n:0>5<<g1>1<75fac83>!42i3kj7c<:9;28?lg>290/>8o5a`9m60?=921bm:4?:%06e?gf3g8>57<4;hc5>5<#:<k1ml5a24;97>=ni<0;6)<:a;cb?k4213>07do;:18'60g=ih1e>8755:9je6<72-8>m7on;o06=?0<3`k96=4+24c9ed=i:<31;65fa083>!42i3kj7c<:9;:8?lg7290/>8o5a`9m60?=121b5k4?:%06e?gf3g8>57o4;h;f>5<#:<k1ml5a24;9f>=n1j0;6)<:a;cb?k4213i07d7m:18'60g=ih1e>875d:9j=d<72-8>m7on;o06=?c<3`326=4+24c9ed=i:<31j65f9983>!42i3kj7c<:9;33?>o>?3:1(?;n:`c8j73>28;07d79:18'60g=ih1e>8751398m<3=83.99l4na:l11<<6;21b594?:%06e?gf3g8>57?;;:k:7?6=,;?j6lo4n37:>43<3`h96=4+24c9ed=i:<31=;54ic394?"5=h0jm6`=58823>=nj90;6)<:a;cb?k4213;376gnf;29 73f2hk0b?;6:0;8?lgb290/>8o5a`9m60?=9h10elj50;&11d<fi2d9944>b:9jef<72-8>m7on;o06=?7d32cj47>5$37b>dg<f;?26<j4;h;g>5<#:<k1ml5a24;95`=<a081<7*=5`8be>h5=00:j65fd983>!42i3n<7c<:9;28?lb1290/>8o5d69m60?=921bh84?:%06e?b03g8>57<4;hf7>5<#:<k1h:5a24;97>=nkj0;6)<:a;aa?k4213:07dmn:18'60g=kk1e>8751:9jg=<72-8>m7mm;o06=?4<3`i<6=4+24c9gg=i:<31?65fc783>!42i3ii7c<:9;68?le2290/>8o5cc9m60?==21bo94?:%06e?ee3g8>5784;ha0>5<#:<k1oo5a24;93>=nk;0;6)<:a;aa?k4213207dm>:18'60g=kk1e>8759:9jg5<72-8>m7mm;o06=?g<3`hm6=4+24c9gg=i:<31n65fbe83>!42i3ii7c<:9;a8?ldd290/>8o5cc9m60?=l21bno4?:%06e?ee3g8>57k4;h`b>5<#:<k1oo5a24;9b>=nj00;6)<:a;aa?k4213;;76gm8;29 73f2jh0b?;6:038?ld0290/>8o5cc9m60?=9;10eo850;&11d<dj2d9944>3:9jf0<72-8>m7mm;o06=?7332ci87>5$37b>fd<f;?26<;4;hf0>5<#:<k1oo5a24;953=<am81<7*=5`8`f>h5=00:;65fd083>!42i3ii7c<:9;3;?>oc83:1(?;n:b`8j73>28307dmi:18'60g=kk1e>8751`98mfc=83.99l4lb:l11<<6j21boi4?:%06e?ee3g8>57?l;:k`=?6=,;?j6nl4n37:>4b<3`hn6=4+24c9gg=i:<31=h54ic194?"5=h0hn6`=5882b>=nlm0;6)<:a;f`?k4213:07djm:18'60g=lj1e>8751:9j`d<72-8>m7jl;o06=?4<3`n26=4+24c9`f=i:<31?65f3b83>!42i39i7c<:9;28?l5f290/>8o53c9m60?=921b?44?:%06e?5e3g8>57<4;h1;>5<#:<k1?o5a24;97>=n;>0;6)<:a;1a?k4213>07d=9:18'60g=;k1e>8755:9j00<72-8>m7=m;o06=?0<3`>?6=4+24c97g=i:<31;65f4283>!42i39i7c<:9;:8?l25290/>8o53c9m60?=121b8<4?:%06e?5e3g8>57o4;h63>5<#:<k1?o5a24;9f>=n;o0;6)<:a;1a?k4213i07d=j:18'60g=;k1e>875d:9j7a<72-8>m7=m;o06=?c<3`9>6=4+24c97g=i:<31j65f4b83>!42i3>i7c<:9;28?l2f290/>8o54c9m60?=921b844?:%06e?2e3g8>57<4;h6;>5<#:<k18o5a24;97>=n<>0;6)<:a;6a?k4213>07d;::18'60g=<k1e>8755:9j11<72-8>m7:m;o06=?0<3`?86=4+24c90g=i:<31;65f5383>!42i3>i7c<:9;:8?l36290/>8o54c9m60?=121b9=4?:%06e?2e3g8>57o4;h6e>5<#:<k18o5a24;9f>=n<l0;6)<:a;6a?k4213i07d:k:18'60g=<k1e>875d:9j03<72-8>m7:m;o06=?c<3`?o6=4+24c91f=i:<31<65f5c83>!42i3?h7c<:9;38?l3f290/>8o55b9m60?=:21b944?:%06e?3d3g8>57=4;h7;>5<#:<k19n5a24;90>=n=>0;6)<:a;7`?k4213?07d89:18'60g==j1e>8756:9j20<72-8>m7;l;o06=?1<3`<?6=4+24c91f=i:<31465f6283>!42i3?h7c<:9;;8?l05290/>8o55b9m60?=i21b:<4?:%06e?3d3g8>57l4;h43>5<#:<k19n5a24;9g>=n=o0;6)<:a;7`?k4213n07d;j:18'60g==j1e>875e:9j13<72-8>m7;l;o06=?`<3`<o6=4+24c92f=i:<31<65f6c83>!42i3<h7c<:9;38?l0f290/>8o56b9m60?=:21b:44?:%06e?0d3g8>57=4;h4;>5<#:<k1:n5a24;90>=n??0;6)<:a;4`?k4213?07d9::18'60g=>j1e>8756:9j31<72-8>m78l;o06=?1<3`=86=4+24c92f=i:<31465f7383>!42i3<h7c<:9;;8?l16290/>8o56b9m60?=i21b;=4?:%06e?0d3g8>57l4;h4e>5<#:<k1:n5a24;9g>=n>l0;6)<:a;4`?k4213n07d88:18'60g=>j1e>875e:9j16c=83.99l4:3e9m60?=821b9>m50;&11d<2;m1e>8751:9j16d=83.99l4:3e9m60?=:21b9>o50;&11d<2;m1e>8753:9j16?=83.99l4:399m60?=821b9>950;&11d<2;11e>8751:9j160=83.99l4:399m60?=:21b9>;50;&11d<2;11e>8753:9j106=83.99l4:4g9m60?=821b99k50;&11d<2<o1e>8751:9j11b=83.99l4:4g9m60?=:21b99m50;&11d<2<o1e>8753:9j11d=83.99l4:4g9m60?=<21b99o50;&11d<2<o1e>8755:9j11?=83.99l4:4g9m60?=>21b99650;&11d<2<o1e>8757:9j111=83.99l4:479m60?=821b99;50;&11d<2<?1e>8751:9j112=83.99l4:479m60?=:21b99=50;&11d<2<?1e>8753:9j114=83.99l4:479m60?=<21b99?50;&11d<2<?1e>8755:9j116=83.99l4:479m60?=>21b9>h50;&11d<2<?1e>8757:9lb2<72-8>m7h9;o06=?6<3fl>6=4+24c9b3=i:<31=65`f283>!42i3l=7c<:9;08?j`5290/>8o5f79m60?=;21dj<4?:%06e?`13g8>57:4;nd3>5<#:<k1j;5a24;91>=hmo0;6)<:a;d5?k4213<07bkj:18'60g=n?1e>8757:9laa<72-8>m7h9;o06=?><3foh6=4+24c9b3=i:<31565`ec83>!42i3l=7c<:9;c8?jcf290/>8o5f79m60?=j21di54?:%06e?`13g8>57m4;ng4>5<#:<k1j;5a24;9`>=hm?0;6)<:a;d5?k4213o07bk::18'60g=n?1e>875f:9la1<72-8>m7h9;o06=?7732en?7>5$37b>c0<f;?26<?4;ng1>5<#:<k1j;5a24;957=<gl;1<7*=5`8e2>h5=00:?65`e183>!42i3l=7c<:9;37?>icn3:1(?;n:g48j73>28?07bhj:18'60g=n?1e>8751798kcb=83.99l4i6:l11<<6?21djn4?:%06e?`13g8>57?7;:mef?6=,;?j6k84n37:>4?<3flj6=4+24c9b3=i:<31=l54og;94?"5=h0m:6`=5882f>=hn10;6)<:a;d5?k4213;h76ai4;29 73f2o<0b?;6:0f8?jc>290/>8o5f79m60?=9l10cik50;&11d<a>2d9944>f:9l570=83.99l4>249m60?=821d=?:50;&11d<6:<1e>8751:9l575=83.99l4>249m60?=:21d=?<50;&11d<6:<1e>8753:9l54?=83.99l4>199m60?=821d=<950;&11d<6911e>8751:9l543=83.99l4>199m60?=:21d=<:50;&11d<6911e>8753:9l545=83.99l4>199m60?=<21d=<<50;&11d<6911e>8755:9l547=83.99l4>199m60?=>21d=<>50;&11d<6911e>8757:9l55`=83.99l4>199m60?=021d==k50;&11d<6911e>8759:9l55b=83.99l4>199m60?=i21d==m50;&11d<6911e>875b:9l55g=83.99l4>199m60?=k21d==750;&11d<6911e>875d:9l55>=83.99l4>199m60?=m21d==950;&11d<6911e>875f:9l550=83.99l4>199m60?=9910c<>::18'60g=9820b?;6:038?j77<3:1(?;n:03;?k4213;976a>0283>!42i3;:46`=58827>=h9981<7*=5`825==i:<31=954o022>5<#:<k1=<64n37:>43<3f;9<7>5$37b>47?3g8>57?9;:m25c<72-8>m7?>8:l11<<6?21d=<k50;&11d<6911e>8751998k47c290/>8o510:8j73>28307b?>c;29 73f28;37c<:9;3b?>i69k0;6)<:a;32<>h5=00:n65`10c94?"5=h0:=55a24;95f=<g8;=6=4+24c954><f;?26<j4;n33f?6=,;?j6<?7;o06=?7b32e:<=4?:%06e?7602d9944>f:9l57d=83.99l4>2`9m60?=821d=?750;&11d<6:h1e>8751:9l57>=83.99l4>2`9m60?=:21d=?950;&11d<6:h1e>8753:9~f07e290:<?4?:1y'620=:<n0D8;7;I706>\0i3;;w<=51`820?7e2l0h6k4k:0f95f<5j38j6<;51d822?7a2tdmj7>4n002>5=#9m>1?6*>d480?!73=390(<:9:29'511=;2.:854<;%37=?5<,8>j6>5+15`97>"6<j087)?;d;18 42b2:1/=9h53:&215<43-;>=7=4$071>6=#:>:1>6*=7081?!72>390(<;8:29'50>=;2.:944<;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=;>53:&224<43-;=>7=4$040>6=#9?>1?6*>6480?!71>390(<88:29'53>=;2.::44<;%35e?5<,8<i6>5+17a97>"6>m087)?9e;18 40a2:1/=:>53:&234<43-;<>7=4$050>6=#9>>1?6*>7480?!70>390(<98:29'52>=;2.:;44<;%34e?5<,8=i6>5+16a97>"6?m087)?8e;18 41a2:1/=5>53:&2<4<43-;3>7=4$0:0>6=#91>1?6*>8480?!7?>390(<68:29'5=>=;2.:444<;%3;e?5<,82i6>5+19a97>"60m087)?7e;18 4>a2:1/=4>53:&2=4<43-;2>7=4$0;0>6=#90>1?6*>9480?!7>>390(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#:?i1=>>4$070>6=#9m21>8:4$37f>7333-8>j7<:4:l125<5<2d9:<4>2:&12a<5==1/>;k527`8 4b12;1/=i952:&617<2=81/98=55438j0332;>0b8;::008 4332:1b=?j50;9j57e=831b=?h50;9j57c=831b>:=50;9j624=831b>:;50;9j622=831b444?::k;<?6=3`2i6=44i9c94?=n:?=1<75f27494?=n:?31<75f27:94?=n:?91<75f27094?=n:??1<75f27694?=n?m0;66g8e;29?l1a2900e5>50;9l<4<722e3>7>5;n:0>5<<g1>1<75fac83>!42i3kj7c<:9;28?lg>290/>8o5a`9m60?=921bm:4?:%06e?gf3g8>57<4;hc5>5<#:<k1ml5a24;97>=ni<0;6)<:a;cb?k4213>07do;:18'60g=ih1e>8755:9je6<72-8>m7on;o06=?0<3`k96=4+24c9ed=i:<31;65fa083>!42i3kj7c<:9;:8?lg7290/>8o5a`9m60?=121b5k4?:%06e?gf3g8>57o4;h;f>5<#:<k1ml5a24;9f>=n1j0;6)<:a;cb?k4213i07d7m:18'60g=ih1e>875d:9j=d<72-8>m7on;o06=?c<3`326=4+24c9ed=i:<31j65f9983>!42i3kj7c<:9;33?>o>?3:1(?;n:`c8j73>28;07d79:18'60g=ih1e>8751398m<3=83.99l4na:l11<<6;21b594?:%06e?gf3g8>57?;;:k:7?6=,;?j6lo4n37:>43<3`h96=4+24c9ed=i:<31=;54ic394?"5=h0jm6`=58823>=nj90;6)<:a;cb?k4213;376gnf;29 73f2hk0b?;6:0;8?lgb290/>8o5a`9m60?=9h10elj50;&11d<fi2d9944>b:9jef<72-8>m7on;o06=?7d32cj47>5$37b>dg<f;?26<j4;h;g>5<#:<k1ml5a24;95`=<a081<7*=5`8be>h5=00:j65fd983>!42i3n<7c<:9;28?lb1290/>8o5d69m60?=921bh84?:%06e?b03g8>57<4;hf7>5<#:<k1h:5a24;97>=nkj0;6)<:a;aa?k4213:07dmn:18'60g=kk1e>8751:9jg=<72-8>m7mm;o06=?4<3`i<6=4+24c9gg=i:<31?65fc783>!42i3ii7c<:9;68?le2290/>8o5cc9m60?==21bo94?:%06e?ee3g8>5784;ha0>5<#:<k1oo5a24;93>=nk;0;6)<:a;aa?k4213207dm>:18'60g=kk1e>8759:9jg5<72-8>m7mm;o06=?g<3`hm6=4+24c9gg=i:<31n65fbe83>!42i3ii7c<:9;a8?ldd290/>8o5cc9m60?=l21bno4?:%06e?ee3g8>57k4;h`b>5<#:<k1oo5a24;9b>=nj00;6)<:a;aa?k4213;;76gm8;29 73f2jh0b?;6:038?ld0290/>8o5cc9m60?=9;10eo850;&11d<dj2d9944>3:9jf0<72-8>m7mm;o06=?7332ci87>5$37b>fd<f;?26<;4;hf0>5<#:<k1oo5a24;953=<am81<7*=5`8`f>h5=00:;65fd083>!42i3ii7c<:9;3;?>oc83:1(?;n:b`8j73>28307dmi:18'60g=kk1e>8751`98mfc=83.99l4lb:l11<<6j21boi4?:%06e?ee3g8>57?l;:k`=?6=,;?j6nl4n37:>4b<3`hn6=4+24c9gg=i:<31=h54ic194?"5=h0hn6`=5882b>=nlm0;6)<:a;f`?k4213:07djm:18'60g=lj1e>8751:9j`d<72-8>m7jl;o06=?4<3`n26=4+24c9`f=i:<31?65f3b83>!42i39i7c<:9;28?l5f290/>8o53c9m60?=921b?44?:%06e?5e3g8>57<4;h1;>5<#:<k1?o5a24;97>=n;>0;6)<:a;1a?k4213>07d=9:18'60g=;k1e>8755:9j00<72-8>m7=m;o06=?0<3`>?6=4+24c97g=i:<31;65f4283>!42i39i7c<:9;:8?l25290/>8o53c9m60?=121b8<4?:%06e?5e3g8>57o4;h63>5<#:<k1?o5a24;9f>=n;o0;6)<:a;1a?k4213i07d=j:18'60g=;k1e>875d:9j7a<72-8>m7=m;o06=?c<3`9>6=4+24c97g=i:<31j65f4b83>!42i3>i7c<:9;28?l2f290/>8o54c9m60?=921b844?:%06e?2e3g8>57<4;h6;>5<#:<k18o5a24;97>=n<>0;6)<:a;6a?k4213>07d;::18'60g=<k1e>8755:9j11<72-8>m7:m;o06=?0<3`?86=4+24c90g=i:<31;65f5383>!42i3>i7c<:9;:8?l36290/>8o54c9m60?=121b9=4?:%06e?2e3g8>57o4;h6e>5<#:<k18o5a24;9f>=n<l0;6)<:a;6a?k4213i07d:k:18'60g=<k1e>875d:9j03<72-8>m7:m;o06=?c<3`?o6=4+24c91f=i:<31<65f5c83>!42i3?h7c<:9;38?l3f290/>8o55b9m60?=:21b944?:%06e?3d3g8>57=4;h7;>5<#:<k19n5a24;90>=n=>0;6)<:a;7`?k4213?07d89:18'60g==j1e>8756:9j20<72-8>m7;l;o06=?1<3`<?6=4+24c91f=i:<31465f6283>!42i3?h7c<:9;;8?l05290/>8o55b9m60?=i21b:<4?:%06e?3d3g8>57l4;h43>5<#:<k19n5a24;9g>=n=o0;6)<:a;7`?k4213n07d;j:18'60g==j1e>875e:9j13<72-8>m7;l;o06=?`<3`<o6=4+24c92f=i:<31<65f6c83>!42i3<h7c<:9;38?l0f290/>8o56b9m60?=:21b:44?:%06e?0d3g8>57=4;h4;>5<#:<k1:n5a24;90>=n??0;6)<:a;4`?k4213?07d9::18'60g=>j1e>8756:9j31<72-8>m78l;o06=?1<3`=86=4+24c92f=i:<31465f7383>!42i3<h7c<:9;;8?l16290/>8o56b9m60?=i21b;=4?:%06e?0d3g8>57l4;h4e>5<#:<k1:n5a24;9g>=n>l0;6)<:a;4`?k4213n07d88:18'60g=>j1e>875e:9j16c=83.99l4:3e9m60?=821b9>m50;&11d<2;m1e>8751:9j16d=83.99l4:3e9m60?=:21b9>o50;&11d<2;m1e>8753:9j16?=83.99l4:399m60?=821b9>950;&11d<2;11e>8751:9j160=83.99l4:399m60?=:21b9>;50;&11d<2;11e>8753:9j106=83.99l4:4g9m60?=821b99k50;&11d<2<o1e>8751:9j11b=83.99l4:4g9m60?=:21b99m50;&11d<2<o1e>8753:9j11d=83.99l4:4g9m60?=<21b99o50;&11d<2<o1e>8755:9j11?=83.99l4:4g9m60?=>21b99650;&11d<2<o1e>8757:9j111=83.99l4:479m60?=821b99;50;&11d<2<?1e>8751:9j112=83.99l4:479m60?=:21b99=50;&11d<2<?1e>8753:9j114=83.99l4:479m60?=<21b99?50;&11d<2<?1e>8755:9j116=83.99l4:479m60?=>21b9>h50;&11d<2<?1e>8757:9lb2<72-8>m7h9;o06=?6<3fl>6=4+24c9b3=i:<31=65`f283>!42i3l=7c<:9;08?j`5290/>8o5f79m60?=;21dj<4?:%06e?`13g8>57:4;nd3>5<#:<k1j;5a24;91>=hmo0;6)<:a;d5?k4213<07bkj:18'60g=n?1e>8757:9laa<72-8>m7h9;o06=?><3foh6=4+24c9b3=i:<31565`ec83>!42i3l=7c<:9;c8?jcf290/>8o5f79m60?=j21di54?:%06e?`13g8>57m4;ng4>5<#:<k1j;5a24;9`>=hm?0;6)<:a;d5?k4213o07bk::18'60g=n?1e>875f:9la1<72-8>m7h9;o06=?7732en?7>5$37b>c0<f;?26<?4;ng1>5<#:<k1j;5a24;957=<gl;1<7*=5`8e2>h5=00:?65`e183>!42i3l=7c<:9;37?>icn3:1(?;n:g48j73>28?07bhj:18'60g=n?1e>8751798kcb=83.99l4i6:l11<<6?21djn4?:%06e?`13g8>57?7;:mef?6=,;?j6k84n37:>4?<3flj6=4+24c9b3=i:<31=l54og;94?"5=h0m:6`=5882f>=hn10;6)<:a;d5?k4213;h76ai4;29 73f2o<0b?;6:0f8?jc>290/>8o5f79m60?=9l10cik50;&11d<a>2d9944>f:9l570=83.99l4>249m60?=821d=?:50;&11d<6:<1e>8751:9l575=83.99l4>249m60?=:21d=?<50;&11d<6:<1e>8753:9l54?=83.99l4>199m60?=821d=<950;&11d<6911e>8751:9l543=83.99l4>199m60?=:21d=<:50;&11d<6911e>8753:9l545=83.99l4>199m60?=<21d=<<50;&11d<6911e>8755:9l547=83.99l4>199m60?=>21d=<>50;&11d<6911e>8757:9l55`=83.99l4>199m60?=021d==k50;&11d<6911e>8759:9l55b=83.99l4>199m60?=i21d==m50;&11d<6911e>875b:9l55g=83.99l4>199m60?=k21d==750;&11d<6911e>875d:9l55>=83.99l4>199m60?=m21d==950;&11d<6911e>875f:9l550=83.99l4>199m60?=9910c<>::18'60g=9820b?;6:038?j77<3:1(?;n:03;?k4213;976a>0283>!42i3;:46`=58827>=h9981<7*=5`825==i:<31=954o022>5<#:<k1=<64n37:>43<3f;9<7>5$37b>47?3g8>57?9;:m25c<72-8>m7?>8:l11<<6?21d=<k50;&11d<6911e>8751998k47c290/>8o510:8j73>28307b?>c;29 73f28;37c<:9;3b?>i69k0;6)<:a;32<>h5=00:n65`10c94?"5=h0:=55a24;95f=<g8;=6=4+24c954><f;?26<j4;n33f?6=,;?j6<?7;o06=?7b32e:<=4?:%06e?7602d9944>f:9l57d=83.99l4>2`9m60?=821d=?750;&11d<6:h1e>8751:9l57>=83.99l4>2`9m60?=:21d=?950;&11d<6:h1e>8753:9~w3>e2903wS87b:?01=<6<;16?9k51538963628>:70=;d;30b>;4?00:?k523b:956`<5:??6<:=;|q5<<<72oopR88i;_755>X11=1U:4<4^443?[0?m2T=?n5Q6818Z00c3W?=o6P:6c9]13g<V<<27S;98:\622=Y=?<0R88:;_4:a>X11m1U:4m4^7;a?[0>i2T=545Q68:8Z3?03W<2:6P9899]2=1<V?2=7S875:\5<1=Y>190R;6=;_4;5>X1091U::h4=47:>45d34?9477?;<72b??734?9;77?;<712??734?8<77?;<71b??7349j:77>;<1b1??6349j877>;<1b7??6349j>77>;<1a=??6349i477>;<1a3??6349i:77>;<1a1??634>>o77>;<66f??634>>m77>;<66=??634>>477>;<65b??634>=i77>;<65`??634>=o77>;<65f??6349n?77>;<1f5??6349oj77>;<1g`??6349on77>;<1g=??6349o;77>;<1g1??6349o?77>;<16e??6349>5768;<16=?41i27?=5477:?75=<5>h1688=5919>0<>=18168485909>0<2=181684<5909>0<6=181685k5909>0=e=181685o5909>14g=:>9018?n:351?836i38<963:1`8131=:=8k1>;=4=43b>70534?:m7<95:?65d<5>=169<o57e9>14g=?l169<o57g9>14g=09169<o5cb9>14g=kh169<o5c99>14g=k>169<o5c79>14g=k<169<o5c59>14g=k:169<o5c39>14g=k8169<o5c19>14g=jo169<o5be9>14g=jj169<o5bc9>14g=jh169<o5b89>14g=j1169<o5b69>14g=j?169<o5b49>14g=j=169<o5d29>14g=l;169<o5d09>14g=l9169<o5cg9>14g=kl169<o5ce9>14g=k0169<o5bd9>14g=j:169<o5de9>14g=lk169<o5d`9>14g=l0169<o53b9>14g=;h169<o5419>14g=;o169<o53d9>14g=;m169<o5349>14g=<j169<o5519>14g=<o169<o54d9>14g=<m169<o5479>14g==m169<o55c9>14g=>9169<o55g9>14g==l169<o5579>14g=>m169<o5719>14g=>o169<o56d9>14g=>>169<o555`8907f2<>j70;>a;77=>;29h0>855250c9114<5<;j68:>;<72e?33827>=l4:3g9>14d=:>9018?m:351?836j38<963:1c8131=:=8h1>;=4=43a>70534?:n7<95:?65g<5>=169<l57e9>14d=?l169<l57g9>14d=09169<l5ac9>14d=i8169<l5999>14d=j9169<l5d99>14d=l?169<l5d49>14d=l=169<l5cb9>14d=kh169<l5c99>14d=k>169<l5c79>14d=k<169<l5c59>14d=k:169<l5c39>14d=k8169<l5c19>14d=jo169<l5be9>14d=jj169<l5bc9>14d=jh169<l5b89>14d=j1169<l5b69>14d=j?169<l5b49>14d=j=169<l5d29>14d=l;169<l5d09>14d=l9169<l5cg9>14d=kl169<l5ce9>14d=k0169<l5bd9>14d=j:169<l5de9>14d=lk169<l5d`9>14d=l0169<l53b9>14d=;h169<l5419>14d=;o169<l53d9>14d=;m169<l5349>14d=<j169<l5519>14d=<o169<l54d9>14d=<m169<l5479>14d==m169<l55c9>14d=>9169<l55g9>14d==l169<l5579>14d=>m169<l5719>14d=>o169<l56d9>14d=>>169<l555`8907e2<>j70;>b;77=>;29k0>855250`9114<5<;i68:>;<72f?33827>=o4:3g9~w3>c2908wS87d:?66=<5=k169<h524`8yv04;3:1>vP9329>700=:<i0q~8n0;297~X1i91685>512d8911a289m7p}97d83>21|V?=n70;=1;5a?83583=i70;>f;5a?835?3=i70;=6;5a?85d>3=i70=?6;5a?857=3=i70=?4;5a?857;3=i70=?2;5a?85793=i70=?0;5a?84an3=i70<ie;5a?853<3=i70=;3;5a?853:3=i70=;1;5a?85383=i70=<f;5a?854m3=i70=<d;5a?854k3=i70=<2;5a?85493=i70=<0;5a?855n3=i70==e;5a?855l3=i70==c;5a?855j3=i70==a;5a?84d=3=i70<l4;5a?84d;3=i70<l2;5a?84d93=i70<l0;5a?84en3=i70<me;5a?84el3=i70<mc;5a?827;3=i70:?2;5a?82783=i70=if;5a?82793=i70=ie;5a?85al3=i70=ib;5a?85ai3=i70=ic;5a?826?3=i70:>6;5a?826=3=i70:>4;5a?826;3=i70:>2;5a?82693=i70:>0;5a?827n3=i70:?e;5a?85>03=i70=75;5a?85?l3=i70=7c;5a?85?j3=i70=7a;5a?85?13=i70=78;5a?85??3=i70=76;5a?85?<3=i70=:2;5a?853n3=i70=:6;5a?852=3=i70:i3;5a?82a:3=i70:i0;5a?82bn3=i70:je;5a?82bl3=i70:jc;5a?82bj3=i70:ja;5a?82b13=i70:j8;5a?82b?3=i70:j5;5a?82b<3=i70:j3;5a?82b:3=i70:j1;5a?82b83=i70:kf;5a?82cm3=i70:kd;5a?82ck3=i70:i9;5a?82a03=i70:i7;5a?82a>3=i70:i5;5a?82a<3=i70:i1;5a?82b>3=i70:kb;5a?82ci3=i70;>a;:a?836i32j70;>a;05=>;29h09:55250`9<g=:=8h14l5250`963?<5<;i6?87;|q57<<72;qU:>74=55g>73d3ty=?i4?:3y]26b<5:336?;l;|q5=0<72<hpR;7:;<71e?1e34?9479m;<71=?1e34?8<79m;<71b?1e34><h79m;<64g?1e348j579m;<0b<?1e348j;79m;<0b2?1e348j979m;<0b0?1e348j?79m;<0b6?1e348j=79m;<0ff?1e348nm79m;<0f=?1e348n479m;<0f3?1e348n:79m;<0f1?1e348n879m;<0f7?1e348o579m;<0g<?1e348o;79m;<0g2?1e348o979m;<0g0?1e348o?79m;<0g6?1e348o=79m;<0g4?1e3499<79m;<12b?1e349:i79m;<12`?1e349:o79m;<12f?1e349:m79m;<12=?1e349:479m;<670?1e34>??79m;<676?1e34>?=79m;<674?1e34>8j79m;<60a?1e34>8h79m;<60g?1e34>>?79m;<67a?1e34>i979m;<6a0?1e34>i?79m;<6a6?1e34>i=79m;<6a4?1e34>ji79m;<6b`?1e34>jj79m;<6`5?1e34>ij79m;<6`4?1e34>h>79m;<6`7?1e34>h879m;<6`1?1e34>h:79m;<6`3?1e34>o579m;<6g<?1e34>o;79m;<6g2?1e34>o979m;<6g0?1e34>o?79m;<6g6?1e34>o=79m;<72e?>>34?:m767;<72e?41?27>=l4=679>14d=00169<l5899>14d=:?=018?m:345?xu1=o0;6?uQ64d8912b2;?h7p}92`83>7}Y>9k019h<:37`?xu1:10;6?uQ61;891`52;?h7p}92783>7}Y>9=019h?:37`?xu1:<0;6?uQ614891ca2;?h7p}92583>7}Y>9?019kj:37`?xu1::0;6?uQ616891cc2;?h7p}92383>7}Y>99019kl:37`?xu1:80;6?uQ610891ce2;?h7p}92183>7}Y>9;019kn:37`?xu19o0;6?uQ612891c>2;?h7p}91d83>7}Y=ol019k7:37`?xu19m0;6?uQ5gg891c02;?h7p}91c83>7}Y=oi019k::37`?xu19h0;6?uQ5g`891c32;?h7p}91883>7}Y=ok019k<:37`?xu1910;6?uQ5g;891c52;?h7p}91683>7}Y=o2019k>:37`?xu19?0;6?uQ5g5891c72;?h7p}91483>7}Y=o<019ji:37`?xu19=0;6?uQ5g7891bb2;?h7p}91283>7}Y=o>019jk:37`?xu19;0;6?uQ5g1891bd2;?h7p}93083>7}Y>8:019h6:37`?xu1;90;6?uQ61d891`?2;?h7p}92g83>7}Y>9o019h8:37`?xu1:l0;6?uQ61f891`12;?h7p}92e83>7}Y>9i019h::37`?xu1:j0;6?uQ61`891`32;?h7p}92c83>7}Y>92019h>:37`?xu1:>0;6?uQ5gf891c12;?h7p}91b83>7}Y=o8019jm:37`?xu1980;6?uQ5g3891bf2;?h7p}:eb83>7}Y=hi018?m:858yv3bi3:1>vP:ac9>14d=1?1v8k6:181[3fi27>=o465:p1`>=838pR8o6;<72f??33ty>i;4?:3y]1d1<5<;i64=4}r7f1?6=:rT>m;5250`9f7=z{<o?6=4={_7b1>;29k0i=6s|5d194?4|V<k?70;>b;ce?xu2m;0;6?uQ5`18907e2ho0q~;j1;296~X2i;169<l5ae9~w0c72909wS;n1:?65g<fk2wx9ih50;0xZ0g734?:n7o7;|q6``<72;qU94h4=43a><b<uz?oh7>52z\6=`=:=8h15?5rs4fa>5<5sW?2o63:1`8g1>{t=mk1<7<t^4;a?836i3337p}:d883>7}Y=0k018?n:858yv3c03:1>vP:989>14g=1?1v8j8:181[3>027>=l465:p1a0=838pR878;<72e??33ty>h84?:3y]1<0<5<;j64=4}r7g0?6=:rT>585250c9f7=z{<n86=4={_7:0>;29h0i=6s|5e094?4|V<3870;>a;f7?xu2l90;6?uQ5838907f2k:0q~;lf;296~X219169<o5ag9~w0eb2909wS;7f:?65d<fm2wx9nj50;0xZ0>b34?:m7ok;|q6gf<72;qU95j4=43b>de<uz?hn7>52z\6<f=:=8k1m55rs4ab>5<5sW?3n63:1`8:`>{t=j31<7<t^4:b?836i3397p}:c983>7}Y=13018?m:`;8yv3d?3:1>vP:899>14d=i>1v8m::181[3?>27>=o4n6:p1f2=838pR86:;<72f?g23ty>o>4?:3y]1=2<5<;i6l:4}r7`6?6=:rT>4>5250`9e6=z{<i:6=4={_7;6>;29k0j>6s|5b294?4|V<2:70;>b;c3?xu2jo0;6?uQ5928907e20l0q~;me;296~X2?o169<l59d9~w0dc2909wS;8e:?65g<>k2wx9om50;0xZ01c34?:n77m;|q6fd<72;qU9:l4=43a><g<uz?i57>52z\63d=:=8h1545rs4`;>5<5sW?<563:1`8g<>{t=k=1<7<t^45;?836i3ki7p}:b783>7}Y=>=018?n:`;8yv3e=3:1>vP:779>14g=i>1v8l;:181[30=27>=l4n6:p1g5=838pR89;;<72e?g23ty>n?4?:3y]125<5<;j6l:4}r7a5?6=:rT>;?5250c9e6=z{<l;6=4={_7bb>;29h0j>6s|5dd94?4|V<kn70;>a;f5?xu2ml0;6?uQ5`f8907f2h;0q~;jd;296~X2i1169<o5a19~w0c02909wS;6d:?65d<>n2wx9im50;0xZ0?534?:m77j;|q6`4<72;qU9594=43b><e<uz?h:7>52z\63f=:=8k15o5rs4`a>5<5sW?<=63:1`8:e>{t=k:1<7<t^453?836i3327p}>e983>a}:=<k1>894=2c1>=1<5:h>6594=57;>=1<5=<i6594=2g6>=1<5:n86594=5;b>=1<5=2j6594=43b>44c34?:m7?=c:?65g<6:m169<l513a8yv3583:1?v3:208:4>;2:9099n5250d9<0=z{<8:6=4={<715?42k27>>>4>3g9~w04>2908w0;=a;;3?835032>70;=9;06g>{t=;k1<7<t=40b>73d34?9o7?<f:p14`=839p18<?:828907a2;?h70;=3;374>{t=;21<7=t=40;>73d34?9577?;<71g?7382wx9?<50;1x90402;?i70;=6;06f>;2::09985rs406>5<5=r7>>:4=5b9>7f0=:<h019><:9a8916521i019>?:9a896`a21i019>>:9a896`b21i01>hk:9a896`e21i01>hn:37a?85ak32h70:>7;:`?826>32h70:>5;:`?826<32h70:>3;:`?826:32h70:>1;:`?826832h70:?f;:`?827m32h70=68;06f>;40<03o63<8e8;g>;40j03o63<8c8;g>;40h03o63<888;g>;40103o63<868;g>;40?03o63<858;g>;4=;03o63<4g8;g>;4=?099o52347960d<uz?987>527y>170=:<i01>>9:9a8966221i01>>;:9a8966421i01>>=:9a8966621i01>>?:9a897`a21i01?hj:9a8962321i01>:<:9a8962521i01>:>:9a8962721i01>=i:9a8965b21i01>=k:9a8965d21i01>==:9a8965621i01>=?:9a8964a21i01><j:9a8964c21i01><l:9a8964e21i01><n:9a897e221i01?m;:9a897e421i01?m=:9a897e621i01?m?:9a897da21i01?lj:9a897dc21i01?ll:9a8yv35j3:1?v3:31811g=:=;l1>8l4=40`>7323ty>>h4?:3;x90572;?h70:8d;06f>;3?j099o524569<f=:<=914n524509<f=:<=;14n524529<f=:<:l14n5242g9<f=:<:n14n5242a9<f=:<<91>8l4=56f>73e34>i976l;<6a0?>d34>i?76l;<6a6?>d34>i=76l;<6a4?>d34>ji7<:b:?7ea<?k27?mk47c:?7g4<?k27?nk4=5c9>0f6=0j168n<58b9>0f5=0j168n:58b9>0f3=0j168n858b9>0f1=0j168i758b9>0a>=0j168i958b9>0a0=0j168i;58b9>0a2=0j168i=58b9>0a4=0j168i?58b9~w04c2909:v3:2g811f=::h314n522`:9<f=::h=14n522`49<f=::h?14n522`69<f=::h914n522`09<f=::h;14n522d`9<f=::lk14n522d;9<f=::l214n522d59<f=::l<14n522d79<f=::l>14n522d19<f=::m314n522e:9<f=::m=14n522e49<f=::m?14n522e69<f=::m914n522e09<f=::m;14n522e29<f=:;;:14n5230d9<f=:;8o14n5230f9<f=:;8i14n5230`9<f=:;8k14n5230;9<f=:;8214n5rs2a4>5<5s49h:77?;<1`<?42=2wx?n850;4x96e12;?h70=;d;374>;4?00:8?523b:9516<5:??6<:?;<143?74m2wx8:k50;1x911c20:0199l:828911a2;?>7p};7b83>1}:<>i1>8m4=571>42734>3<7?;0:?73c<6<91v>o>:18185f>32<70=n5;061>{t;hh1<7<t=2c5>70f349i<7<:5:p7f2=838p1>o9:376?85d03;?86s|3`294?4|5:k>6594=2c7>7323ty8ml4?:3y>7d3=:?k01>oi:376?xu41o0;6?u23`69<2=:;h91>8;4}r1b=?6=:r78m94=6`9>7dc=:<?0q~=6e;296~;4i:03;63<a38110=z{:k36=4={<1b7?41i278mi4=549~w6g02909w0=n2;05e>;4ij09985rs2`7>5<5s49i5768;<1a<?42=2wx?ok50;0x96d>2;<j70=l3;061>{t;j?1<7<t=2`:>732349h47?;3:p7g5=838p1>l7:95896d02;?>7p}<be83>7}:;k21>;o4=2a1>7323ty8n?4?:3y>7g1=0>16?o852478yv5ek3:1>v3<b6812d=:;j;1>8;4}r1a5?6=:r78n;477:?0f0<5=<1v>lm:18185e>38=m63<c18110=z{:hj6=4={<1a1?41i278nk4=549~w1302909w0::c;:4?822j38>96s|47394?4|5=?h6?8n;<652?42=2wx8:o50;0x913d2;?>70:8f;376>{t<<<1<7<t=57a>=1<5=?j6?;:;|q725<72;q688l527c891022;?>7p};5483>7}:<<k14:5244;9603<uz>>j7>52z?71d<5>h168;:52478yv22<3:1>v3;588;3>;3=109985rs57f>5<5s4>>57<9a:?726<5=<1v9;k:181822038=m63;638110=z{=<j6=4={<65b?>034>=i7<:5:p022=838p198i:34b?820138>96s|46`94?4|5=<m6?;:;<64b?7392wx8;750;0x910b21=0198k:376?xu3?:0;6?u247g963g<5==36?;:;|q72=<72;q68;j5869>03e=:<?0q~:82;296~;3>m09:l524659603<uz>=;7>52z?72f<??27?:o4=549~w1162909w0:9c;05e>;3??09985rs553>5<5s4>=n7<9a:?730<5=<1v?k=:18484f133;70<jb;06g>;5?>0:8=5226:9516<5;=j6<:<;<04=?738279;o4>419~w7g7290?w0<n9;06g>;3?00:8=524749516<5=826<:?;|q1ed<72;q6>l65919>621=:<?0q~<6f;290~;5i1099n5246:9516<5=<>6<:?;<61<?7382wx>ll50;0x97g020:01?97:376?xu51l0;69u22`5960e<5==36<:=;<651?73:27?>:4>419~w7gd2909w0<n6;;3?840138>96s|28f94?2|5;k=6?;l;<643?73827?:94>419>070=9=:0q~<nd;291~;5i<02<63=7b8204=::>n1=9<4=35f>424348<m7<:5:p6<e=83>p1?o::37`?820?3;?>63;658207=:<;?1=9>4}r0ba?6=:r79m9460:?13g<5=<1v?7m:18784f<38>o63;778205=:<?91=9>4=507>4273ty9mk4?:3y>6d5=1916>:m52478yv4>i3:18v3=a2811f=:<><1=9<4=540>42534>9?7?;0:p6g6=838p1?o=:828971c2;?>7p}=9883>1}::h81>8m4=556>42734>=>7?;0:?767<6<91v?l>:18184f933;70<8e;061>{t:021<7:t=3c2>73d34><97?;2:?727<6<;168??51528yv54j3:1;v3<078:4>;4<=099n5229:9516<5;226<:?;<0;f?73;2794l4>419>6=e=9=:0q~<id;290~;48?099n523b19516<5:h;6<:?;<157?74n2wx?=950;0x966220:01?67:376?xu5nj0;68u2317960e<5:<964?4=2a0>425349i<7?<f:?02g<6;o1v>>7:181857<33;70<79;061>{t:oh1<7;t=227>73d349==77>;<1`6?738278mk4>419>73g=9:l0q~=?9;296~;48:02<63=8`8110=z{;lj6=4:{<137?42k278:=461:?0g7<6<;16?lh512d8960>289m7p}<0`83>0}:;9815=5229f9517<5;2n6<:=;<0;b?73;2794o4=549~w7`>290>w0=?2;06g>;4=o02=63<c08205=:;ho1=9>4=24;>45a3ty8<o4?:3y>757=1916>5m52478yv4a03:19v3<00811f=:;<o15<523b39514<5:kn6<=i;<153?74n2wx?=m50;0x966720:01?6k:376?xu5n>0;68u2312960e<5:?o64?4=2a3>427349jh7?;0:?023<6;o1v>>k:18184an33;70<7e;061>{t:o<1<7;t=3de>73d349>o77>;<1`4?73:278mi4>3g9>733=9:l0q~=?e;296~;5nl02<63=8g8110=z{;l>6=4:{<0ea?42k2789o461:?0fc<6<816?lm512d89603289m7p}<2883>7}:;=>15=52320960e<uz9947>52z?006<>8278?<4=5b9~w65f290=w0=;3;06g>;5010:?k5229;956`<5;2i6<:=;<0;e?74n2794n4>3g9~w6402909w0=;2;;3?854838>o6s|32;94?3|5:>96?;l;<0;=?7392794o4>409>6=g=9=;01?6l:062?xu4:?0;6?u23539=5=:;;l1>8m4}r10<?6=<r788<4=5b9>6=d=9=:01?6n:061?84?k3;?>6s|33794?4|5:>;64>4=20f>73d3ty8?:4?:2y>716=:<i01?6m:01e?84?k3;??6s|33694?4|5:9m64>4=20g>73d3ty8?;4?:4y>76`=:<i01?6k:063?84?m3;?=63=8g8207=::1i1=9:4}r117?6=:r78?h460:?06f<5=j1v>=::187854m38>o63=8e827c=::1o1=9>4=3:e>4263ty8>?4?:3y>76b=1916??l524a8yv54<3:1?v3<3e811f=::1o1=>h4=3:e>4273ty8><4?:3y>76e=1916??o524a8yv54;3:1>v3<3b811f=::1l1=>h4}r0`b?6=:r79io460:?1`<<5=j1v?mj:18184bi33;70<k8;06g>{t:l;1<78t=3gb>73d348<;7?<f:?13=<6;o16>:o51508971>289m70<8b;30b>{t:jn1<7<t=3g:><6<5;n<6?;l;|q1a5<72<q6>h7524a8971?28>:70<8a;375>;5?00:8<5226`9517<uz8ho7>52z?1a=<>8279h;4=5b9~w7ba290?w0<j8;06g>;5?h0:8=5226;9514<5;=i6<:=;|q1gg<72;q6>h95919>6a3=:<i0q~<ke;297~;5m>099n5226c956`<5;=i6<:<;|q1gd<72;q6>h85919>6a2=:<i0q~<kd;291~;5m?099n5226a9516<5;=o6<:>;<04a?73:279;o4>459~w7e>2909w0<j5;;3?84c;38>o6s|2ea94?2|5;o>6?;l;<04g?74n279;i4>419>62c=9=;0q~<l8;296~;5m=02<63=d3811f=z{;ni6=4<{<0f0?42k279;i4>3g9>62c=9=:0q~<l7;296~;5m:02<63=d0811f=z{;nj6=4={<0f7?42k279;h4>3g9~w6702909w0=<2;;3?855838>o6s|30494?4|5:9:64>4=23e>73d3ty8=84?:3y>766=1916?<k524a8yv56<3:1>v3<2g8:4>;49m099n5rs230>5<5s499i77?;<12g?42k2wx?<<50;0x964c20:01>?m:37`?xu4980;6?u233a9=5=:;8k1>8m4}r124?6=:r78>o460:?05<<5=j1v>>i:181855i33;70=>8;06g>{t:kh1<7<t=3f:><6<5;i>6?;l;|q1fd<72;q6>i65919>6f2=:<i0q~<m9;296~;5l>02<63=c2811f=z{;h36=4={<0g2??7348h>7<:c:p6g1=838p1?j::82897e62;?h7p}=b783>7}::m>15=522b2960e<uz8i97>52z?1`6<>8279nk4=5b9~w7d32909w0<k2;;3?84em38>o6s|2c194?4|5;n:64>4=3`g>73d3ty9n?4?:3y>6a6=1916>om524a8yv4d>3:1=v3=d1811f=z{=:o6=46{<0`1??734>:;7<:c:?13c<6;o16?o>51508960428>;70;>a;7b?836i3<i70;>b;7b?836j3<i7p}=eb83>7}::j>15=5226d9603<uz8nh7>52z?1g6<>82794=4=549~w7cb2909w0<l2;;3?84?938>96s|2dd94?4|5;i:64>4=3:1>7323ty9j=4?:3y>6f6=1916>5=52478yv4a93:1>v3=bg8:4>;50=09985rs3d1>5<5s48ii77?;<0;1?42=2wx>k=50;0x97dc20:01?69:376?xu5n=0;6?u22ca9=5=::1=1>8;4}r6g4?6=?r78>=460:?7`<<5=j16>4>512d8907f2:3018?n:5c8907e2:3018?m:5c8yv53=3:1>v3<1g8:4>;51909985rs265>5<5s49:i77?;<0:5?42=2wx?9950;0x967c20:01?7=:376?xu4<10;6?u230a9=5=::091>8;4}r17=?6=:r78=o460:?1=1<5=<1v>:n:181856i33;70<65;061>{t;=h1<7<t=23:><6<5;3=6?;:;|q00f<72;q6?<65919>6<1=:<?0q~;>c;295<}:<99148524109<0=:<9:148523gd9<0=:<9;148523gg9<0=:;on148523g`9<0=:;ok148523ga9<0=:<8=148524049<0=:<8?148524069<0=:<89148524009<0=:<8;148524029<0=:<9l1485241g9<0=:;=n1>8;4=43b>44a34?:m7?=e:?65g<6:o169<l513g8yv5c:3:1>v3;028:4>;4ml09985rs2d:>5<3s4>;?7<:c:?752<>8278o>4>3g9>7``=9:l0q~=k1;296~;38;02<63<ee8110=z{:l36=4;{<636?42k27?=;460:?0g6<6<816?h:512d8yv5dn3:1>v3;018:4>;4mk09985rs2d5>5<3s4>;<7<:c:?751<>8278o?4>409>7`6=9:l0q~=le;296~;4no02<63<e`8110=z{:l>6=4;{<1eb?42k27?=>460:?0g4<6;o16?ik512d8yv5c83:1>v3;008:4>;4mj09985rs2d4>5<3s4>;=7<:c:?750<>8278o?4>3g9>7`4=9:l0q~=ld;296~;4nl02<63<e88110=z{:l?6=4;{<1ea?42k27?=?460:?0g4<6<816?im512d8yv5dk3:1>v3<fe8:4>;4m109985rs2d0>5<3s49mh7<:c:?754<>8278o=4>3g9>7ag=9:l0q~=la;296~;4nk02<63<e78110=z{:l:6=4;{<1ef?42k27?<k460:?0fc<6<916?i8512d8yv5d13:1>v3<f`8:4>;4m<09985rs2d3>5<3s49mm7<:c:?74`<>8278nk4>3g9>7a2=9:l0q~=lb;296~;4nj02<63<e68110=z{:l96=4;{<1eg?42k27?==460:?0g5<6<816?i6512d8yv5b;3:1>v3<ed8;3>;4m:09985rs2ge>5<5s49ni7?kb:?0ac<5=<1v>k>:18085bl32<70=j3;:4?85b938>96s|3d694?5|5:oo6<jm;<1f7?41i278i94=549~w6ba2908w0=jc;:4?85b932<70=kf;061>{t;l81<7=t=2g`>4be349n=7<9a:?0a7<5=<1v>jk:18085bj32<70=kf;:4?85cl38>96s|3d294?5|5:oi6<jm;<1gb?41i278i=4=549~w6be2908w0=ja;:4?85cl32<70=kb;061>{t;mo1<7=t=2gb>4be349oh7<9a:?0``<5=<1v>j6:18085b132<70=kb;:4?85c138>96s|3ea94?5|5:o26<jm;<1gf?41i278hn4=549~w6b02908w0=j8;:4?85c132<70=k7;061>{t;mk1<7=t=2g;>4be349o57<9a:?0`d<5=<1v>j::18085b?32<70=k7;:4?85c=38>96s|3e:94?5|5:o<6<jm;<1g3?41i278h54=549~w6b42908w0=j6;:4?85c=32<70=k3;061>{t;m<1<7=t=2g5>4be349o97<9a:?0`3<5=<1v>j;:18085b=3;on63<d2812d=:;m>1>8;4}r63g?6=1r7?=;4=5b9>6=6=9:l01?9i:063?85e83;?=63<6c8205=:=8k1945250c92d=:=8h1945250`92d=z{=:i6=46{<621?42k2794<4>3g9>6=6=9=:01>oi:061?851i3;?<63:1`86<>;29h0=563:1c86<>;29k0=56s|41c94??|5=;?6?;l;<0;6?74n2794<4>419>7d`=9=;01>86:063?836i3?<70;>a;4;?836j3?<70;>b;4;?xu3800;64u2401960e<5;286<=i;<0;6?738278mh4>439>73>=9=:018?n:748907f2><018?m:748907e2><0q~:?8;29=~;39;099n52296956`<5;286<:?;<1ba?739278::4>419>14g=><169<o5749>14d=><169<l5749~w1602902w0:>1;06g>;50<0:?k522969516<5:ko6<:=;<152?73827>=l494:?65d<0<27>=o494:?65g<0<2wx8=850;;x91772;?h70<76;30b>;50<0:8=523`f9517<5:<>6<:?;<72e?0434?:m79<;<72f?0434?:n79<;|q740<720q68=h524a897>0289m70<76;374>;4ij0:8<523769516<5<;j6;<4=43b>24<5<;i6;<4=43a>24<uz>;87>58z?74`<5=j16>595152896gd28>;70=6d;30a>;29h0==63:1`845>;29k0==63:1c845>{t;?81<7<t=24`>=1<5:<96?;:;|q026<72;q6?;m51e`896042;?>7p}<8d83>7}:;?i1>8;4=2:6><6<uz9==7>53z?030<??278:?477:?024<5=<1v>8m:180850=3;on63<63812d=:;?h1>8;4}r1:3?6=:r78;84=549>7=b=191v>8?:180850<32<70=91;:4?851838>96s|37c94?5|5:=?6<jm;<155?41i278:l4=549~w6?12909w0=84;061>;40j02<6s|34d94?5|5:=86594=243>=1<5:?m6?;:;|q02<<72:q6?:=51e`896072;<j70=99;061>{t;0?1<7<t=250>7323493n77?;|q01`<72:q6?:<5869>70`=0>16?8k52478yv5103:1?v3<7382`g=:;<l1>;o4=24;>7323ty8594?:3y>724=:<?01>6n:828yv52l3:1?v3<708;3>;4=l03;63<5e8110=z{:<<6=4<{<145?7cj2789h4=6`9>731=:<?0q~=63;296~;4?809985239;9=5=z{:?h6=4<{<144?>0349>h768;<16g?42=2wx?;850;1x961728ni70=:d;05e>;4>?09985rs2;1>5<5s49<<7<:5:?0<=<>82wx?8l50;1x960a21=01>;l:958963e2;?>7p}<6483>6}:;?l1=il4=27`>70f349=97<:5:p7<7=838p1>8i:376?85??33;7p}<5`83>6}:;?o14:5234`9<2=:;<k1>8;4}r150?6=;r78:h4>dc9>70d=:?k01>8;:376?xu4190;6?u237g9603<5:2=64>4}r16=?6=;r78:i477:?01d<??278944=549~w6?d2908w0=9d;3gf>;4=h09:l5238f9603<uz93j7>52z?02a<5=<16?5:5919~w61?2909w0=:9;;2?850138>96s|36494?4|5:3365;4=254>7323ty8544?:3y>7<>=1916?4o52478yv50i3:1>v3<84811f=:;0k1=9<4}r1;7?6=:r784i4=5b9>7<g=9:l0q~=72;296~;40j099n5238c9516<uz93=7>52z?0<g<5=j16?4o51518yv5?83:1>v3<8`811f=:;0k1=9?4}r14b?6=:r78444=5b9>7<d=9=90q~=8e;296~;401099n5238`956`<uz9<h7>52z?0<2<5=j16?4l51508yv50k3:1>v3<87811f=:;0h1=9>4}r14f?6=:r78494=5b9>7<d=9=;0q~=:3;296~;4=;02<63<558110=z{:?96=47{<166?42k278954>409>71c=9=:01>;>:01e?853l3;?=63<788204=:;j21=9?4=277>45a3ty89=4?:3y>71`=1916?8?52478yv53n3:14v3<4g811f=:;<21=9>4=26f>45a349>=7?;0:?00a<6<;16?:75152896e?28>970=:4;375>{t;<=1<7=t=275><6<5:?>64>4=27;>7323ty8984?:3y>703=:<i01>;7:01e?xu3:90;6?u24209<2=:<;:1>8;4}r61=?6=:r7???4>dc9>07?=:<?0q~:;d;296~;3;;0998524569=5=z{=;m6=4<{<605?>034>9<768;<62b?42=2wx8?650;1x915628ni70:=0;05e>;3:109985rs56`>5<5s4>8=7<:5:?706<>82wx8oj50;7x914720;019m9:37`?82c033;70:95;30b>;3:10:?k5rs53f>5<4s4>8<768;<62b?>034>:i7<:5:p071=839p19=?:0fa?826n38=m63;268110=z{=>i6=4={<604?42=27?8?460:p0ge=83?p19?i:83891e22;?h70:k7;;3?821=3;?=63;26827c=z{=;o6=4<{<61b?>034>:i768;<62`?42=2wx8?850;1x914a28ni70:>e;05e>;3:?09985rs56b>5<5s4>9j7<:5:?704<>82wx8ol50;7x917b20;019m;:37`?82c>33;70:94;30b>;3:?0:?k5rs53`>5<4s4>9i768;<62`?>034>:o7<:5:p073=839p19<j:0fa?826l38=m63;248110=z{=>26=4={<61a?42=27?8=460:p0gg=83?p19?k:83891e42;?h70:k5;;3?821<3;?=63;24827c=z{=;i6=4<{<61`?>034>:o768;<62f?42=2wx8?:50;1x914c28ni70:>c;05e>;3:=09985rs56;>5<5s4>9h7<:5:?77c<>82wx8o750;7x917d20;019m=:37`?82c<33;70:93;30b>;3:=0:?k5rs53b>5<4s4>9o768;<62f?>034>:m7<:5:p075=839p19<l:0fa?826j38=m63;228110=z{=><6=4={<61g?42=27??h460:p0g>=83?p19?m:83891e62;?h70:k3;;3?821;3;?=63;22827c=z{=;26=4<{<61f?>034>:m768;<62=?42=2wx8?<50;1x914e28ni70:>a;05e>;3:;09985rs565>5<5s4>9n7<:5:?77a<>82wx8o950;7x917f20;019m?:37`?82c:33;70:92;30b>;3:;0:?k5rs53;>5<4s4>9m768;<62=?>034>:47<:5:p077=839p19<n:0fa?826138=m63;208110=z{=>>6=4={<61e?42=27??n460:p0g0=83?p19?6:83891da2;?h70:k1;;3?821:3;?=63;20827c=z{<;n6=4=dz?75=<>927?n8475:?7f1<?=27?n>475:?7f7<?=27?n<475:?7f5<?=27?mh475:?7ea<?=27?mk475:?7g4<?=27?nk475:?7g5<?=27?o?475:?7g6<?=27?o9475:?7g0<?=27?o;475:?7g2<?=27?h4475:?7`=<?=27?h:475:?7`3<?=27?h8475:?7`1<?=27?h>475:?7`7<?=27?h<475:?7<5<5=<169<o552g8907f2<9h70;>a;70f>;29h0>?l5250c916?<5<;j68=8;<72e?34>27>=l4:349>14d==:o018?m:41`?836j3?8n63:1c867d=:=8h19>74=43a>05034?:n7;<6:?65g<2;<1v9=m:181823<38>o63;4g8207=z{=9j6=4={<677?42k27?8k4>3g9~w15>2909w0:;2;06g>;3<o0:8<5rs51;>5<5s4>?=7<:c:?70c<6<91v9=8:181823838>o63;51827c=z{=9=6=4={<60b?42k27?9=4>419~w1522909w0:<e;06g>;3=90:8>5rs517>5<5s4>8h7<:c:?715<6<;1v9=<:181824k38>o63;518204=z{=?86=4<{<667?42k27?9?4>3g9>01`=9=90q~::1;296~;3<l03963;538110=z{=>m6=4={<67a??734>?j7<:5:p0=?=838p19l::82891g52;?>7p};ab83>1}:<k?1>8m4=5a4><6<5==26<=i;<6b7?74n2wx85650;0x91d320:019o>:376?xu3ik0;69u24c6960e<5=i=64>4=55;>45a34>257?<f:p0=1=838p19l<:82891g72;?>7p};a`83>1}:<k91>8m4=5a6><6<5==36<:>;<6:3?74n2wx85850;0x91d520:0197i:376?xu3i00;69u24c0960e<5=i?64>4=554>45a34>297?<f:p0=3=838p19l>:82891?b2;?>7p};a983>1}:<k;1>8m4=5a0><6<5==<6<:>;<6:7?74n2wx85:50;0x91d720:0197k:376?xu3i>0;69u24c2960e<5=i964>4=555>45a34>2=7?<f:p0=4=838p19oj:82891?e2;?>7p};a483>1}:<ho1>8m4=5a3><6<5==>6<=i;<6;`?74n2wx85?50;0x91gc20:0197n:376?xu3i=0;69u24`f960e<5=hm64>4=556>42634>3n7?<f:p0=5=838p19oi:82891?d2;?>7p};a783>1}:<hl1>8m4=5a2><6<5===6<:>;<6;b?74n2wx84650;0x91g521=01977:376?xu3i:0;6?u24`095ad<5=k86?;:;|q7=3<72:q68l?5869>0<>=0>1684852478yv2>13:1?v3;a082`g=:<021>;o4=5;:>7323ty?594?:2y>0d6=0>168485869>0<2=:<?0q~:67;297~;3i90:ho52484963g<5=3<6?;:;|q7=7<72:q684h5869>0<2=0>1684<52478yv2>=3:1?v3;9g82`g=:<0>1>;o4=5;6>7323ty?5=4?:2y>0<c=0>1684<5869>0<6=:<?0q~:63;297~;31l0:ho52480963g<5=386?;:;|q7<`<72:q684j5869>0<6=0>1685k52478yv2>93:1?v3;9e82`g=:<0:1>;o4=5;2>7323ty?4n4?:2y>0<e=0>1685k5869>0=e=:<?0q~:7f;297~;31j0:ho5249g963g<5=2m6?;:;|q7<d<72:q684l5869>0=e=0>1685o52478yv2?l3:1?v3;9c82`g=:<1i1>;o4=5:g>7323ty?4o4?:2y>0<g=9mh0196n:34b?82?j38>96s|4cg94?2|5=i<6?;l;<6g=??734>=:7?<f:?76<<6;o1v9mi:18482c038>o63=90827c=::0:1=9>4=43b>6><5<;j6974=43a>6><5<;i6974}r6`a?6=?r7?h:4=5b9>6<4=9:l01?7>:063?836i39<70;>a;6;?836j39<70;>b;6;?xu3km0;6:u24e4960e<5;386<=i;<0:6?73827>=l4<6:?65d<3?27>=o4<6:?65g<3?2wx8nm50;5x91b22;?h70<64;30b>;51:0:8=5250c900=:=8k1985250`900=:=8h1985rs5aa>5<0s4>o87<:c:?1=0<6;o16>4:51528907f2=>018?n:468907e2=>018?m:468yv2di3:1;v3;d2811f=::0<1=>h4=3;6>42734?:m7:<;<72e?3434?:n7:<;<72f?343ty?o44?:6y>0a4=:<i01?78:01e?84>>3;?<63:1`876>;29h0>>63:1c876>;29k0>>6s|4b:94?0|5=n:6?;l;<0:3?73827>=l4;1:?65d<2927>=o4;1:?65g<292wx9<j50;0282a;32>70:i2;:6?82a832>70:jf;:6?82bm32>70:jd;:6?82bk32>70:jb;:6?82bi32>70:j9;:6?82b032>70:j7;:6?82b=32>70:j4;:6?82b;32>70:j2;:6?82b932>70:j0;:6?82cn32>70:ke;:6?82cl32>70:kc;:6?82a132>70:i8;:6?82a?32>70:i6;:6?82a=32>70:i4;:6?82a932>70:j6;:6?82cj32>70:ka;:6?853m38>96s|50094?4|5=l864>4=43a>4623ty>=<4?:3y>0c4=19169<l51168yv37n3:1>v3;f18:4>;29k0:<>5rs42f>5<5s4>nj77?;<72f?77:2wx9=j50;0x91cb20:018?m:022?xu28j0;6?u24df9=5=:=8h1=?>4}r73f?6=:r7?in460:?65g<69o1v8>n:18182bj33;70;>b;32`>{t=931<7<t=5gb><6<5<;i6<?l;|q64=<72;q68h75919>14d=98h0q~;?7;296~;3m102<63:1c825d=z{<:=6=4={<6f3??734?:n7?>6:p152=838p19k::828907e28:i7p}:0283>7}:<l>15=5250`9556<uz?;>7>52z?7a6<>827>=l4>299~w0662909w0:j2;;3?836i3;;:6s|51294?4|5=o:64>4=43b>4623ty?jk4?:3y>0`6=19169<o51168yv2am3:1>v3;dg8:4>;29h0:<>5rs5dg>5<5s4>oi77?;<72e?77:2wx8km50;0x91bc20:018?n:022?xu3nk0;6?u24ea9=5=:=8k1=?>4}r72=?6=:r7?j4460:?65d<69o1v8?7:18182a033;70;>a;313>{t=8=1<7<t=5d4><6<5<;j6<?j;|q653<72;q68k85919>14g=98n0q~;>5;296~;3n<02<63:1`825f=z{<;?6=4={<6e0??734?:m7?>b:p145=838p19h>:828907f28;j7p}:1183>7}:<l<15=5250c9540<uz?;97>52z?7`g<>827>=l4>0c9~w1`f2909w0:ka;;3?836i3;;<6s|22294?4|5:3i6?;:;<1:e?73<2wx>><50;0x91372;?>70:;f;370>{z{<oh6=4={_7bg>;013?jo6*:308101=z{<oj6=4={_7bf>;013?jn6*:30810c=z{<o26=4={_7be>;013?jm6*:308115=z{<o36=4={_7b=>;013?j56*:308114=z{<o=6=4={_7b3>;013?j;6*:3082a<=z{<o>6=4={_7b2>;013?j:6*:3082ad=z{<o?6=4={_7b1>;013?j96*:3082ag=z{<o86=4={_7b0>;013?j86*:3082a`=z{<o96=4={_7b7>;013?j?6*:3082b7=z{<o:6=4={_7b6>;013?j>6*:3082b2=z{<o;6=4={_7b5>;013?j=6*:308147=z{<nm6=4={_7b4>;013?j<6*:30814a=z{<nn6=4={_7:b>;013?2j6*:30815==z{<no6=4={_7:a>;013?2i6*:30815g=z{<ni6=4={_7:g>;013?2o6*:30815f=z{<nj6=4={_7:f>;013?2n6*:30815a=z{<n26=4={_7:e>;013?2m6*:30815`=z{<n36=4={_7:=>;013?256*:30815c=z{<n<6=4={_7:<>;013?246*:308165=z{<n=6=4={_7:3>;013?2;6*:308164=z{<n>6=4={_7:2>;013?2:6*:308167=z{<n?6=4={_7:1>;013?296*:308166=z{<n86=4={_7:0>;013?286*:308161=z{<n96=4={_7:7>;013?2?6*:308160=z{<n;6=4={_7:5>;013?2=6*:308163=z{<im6=4={_7:4>;013?2<6*:308162=z{<in6=4={_7;b>;013?3j6*:30816==z{<io6=4={_7;a>;013?3i6*:30816<=z{<ih6=4={_7;`>;013?3h6*:30816d=z{<ii6=4={_7;g>;013?3o6*:30816g=z{<ij6=4={_7;f>;013?3n6*:30816f=z{<i26=4={_7;e>;013?3m6*:30816a=z{<i36=4={_7;=>;013?356*:30816`=z{<i<6=4={_7;<>;013?346*:30816c=z{<i>6=4={_7;2>;013?3:6*:308175=z{<i?6=4={_7;1>;013?396*:308174=z{<i86=4={_7;0>;013?386*:308177=z{<i96=4={_7;7>;013?3?6*:308176=z{<i:6=4={_7;6>;013?3>6*:308171=z{<i;6=4={_7;5>;013?3=6*:308170=z{<hm6=4={_7;4>;013?3<6*:308173=z{<hn6=4={_74b>;013?<j6*:308172=z{<ho6=4={_74a>;013?<i6*:30817==z{<hh6=4={_74`>;013?<h6*:30817<=z{<hj6=4={_74f>;013?<n6*:30817d=z{<h26=4={_74e>;013?<m6*:30817g=z{<h36=4={_74=>;013?<56*:30817f=z{<h<6=4={_74<>;013?<46*:30817a=z{<h=6=4={_743>;013?<;6*:30817`=z{<h>6=4={_742>;013?<:6*:30817c=z{<h?6=4={_741>;013?<96*:308105=z{<h86=4={_740>;013?<86*:308104=z{<h96=4={_747>;013?<?6*:308107=z{<h:6=4={_746>;013?<>6*:308106=z{<l;6=4={_7bb>;013?jj6*:308100=z{<om6=4={_7ba>;013?ji6*:308103=z{<on6=4={_7b`>;013?jh6*:308102=z{<oo6=4={_7b<>;013?j46*:30810==z{<o<6=4={_7:`>;013?2h6*:30810<=z{<nh6=4={_7:6>;013?2>6*:30810d=z{<n:6=4={_7;3>;013?3;6*:30810g=z{<i=6=4={_74g>;013?<o6*:30810f=z{<hi6=4={_745>;013?<=6*:30810a=z{<h;6=4={_744>;013?<<6*:30810`=z{?=n6=4={_44a>;013<<i6*:3082af=z{?2i6=4={_4;f>;013<3n6*:3082aa=z{?2o6=4={_4;`>;013<3h6*:3082ac=z{?3>6=4={_4:1>;013<296*:3082b5=z{?k;6=4={_4b4>;013<j<6*:3082b4=z{?8j6=4={_43e>;013<;m6*:3082b6=z{?836=4={_43=>;013<;56*:3082b1=z{?8=6=4={_433>;013<;;6*:3082b0=z{?8>6=4={_432>;013<;:6*:3082b3=z{?8?6=4={_431>;013<;96*:3082b==z{?886=4={_430>;013<;86*:3082b<=z{?896=4={_437>;013<;?6*:3082bd=z{?8:6=4={_436>;013<;>6*:3082bg=z{?8;6=4={_435>;013<;=6*:3082bf=z{?;m6=4={_434>;013<;<6*:3082ba=z{?;n6=4={_7eb>;013?mj6*:3082b`=z{?;o6=4={_7ea>;013?mi6*:3082bc=z{?;i6=4={_7eg>;013?mo6*:308145=z{?;j6=4={_7ef>;013?mn6*:308144=z{?;26=4={_7ee>;013?mm6*:308146=z{?;36=4={_7e=>;013?m56*:308141=z{?;<6=4={_7e<>;013?m46*:308140=z{?;=6=4={_7e3>;013?m;6*:308143=z{?;>6=4={_7e2>;013?m:6*:308142=z{?;?6=4={_7e1>;013?m96*:30814==z{?;86=4={_7e0>;013?m86*:30814<=z{?;96=4={_7e7>;013?m?6*:30814d=z{?9:6=4={_424>;013<:<6*:30814g=z{?9;6=4={_43b>;013<;j6*:30814f=z{?8m6=4={_43a>;013<;i6*:30814`=z{?8n6=4={_43`>;013<;h6*:30814c=z{?8o6=4={_43g>;013<;o6*:308155=z{?8h6=4={_43f>;013<;n6*:308154=z{?8i6=4={_43<>;013<;46*:308157=z{?8<6=4={_7e`>;013?mh6*:308156=z{?;h6=4={_7e6>;013?m>6*:308151=z{?;:6=4={_7e5>;013?m=6*:308150=z{?986=4={_407>;013<8?6*:308153=z{?926=4={_40=>;013<856*:308152=z{?9o6=4={_40`>;013<8h6*:30815<=z{??m6=4={_46b>;013<>j6*:30815d=zugion7>52zJ677=zfjnh6=4={I706>{ikmn1<7<tH411?xhdll0;6?uG5208ykecn3:1>vF:339~jfc72909wE;<2:mg`7=838pD8==;|l`a7<72;qC9><4}oaf7?6=:rB>??5rnbg7>5<5sA?8>6sacd794?4|@<997p`le783>7}O=:80qcmj7;296~N2;;1vbnk7:181M34:2weoh750;0xL0553tdhil4?:3yK164<uginn7>52zJ677=zfjoh6=4={I706>{ikln1<7<tH411?xhdml0;6?uG5208ykebn3:1>vF:339~jf`72909wE;<2:mgc7=838pD8==;|l`b7<72;qC9><4}oae7?6=:rB>??5rnbd7>5<5sA?8>6sacg794?4|@<997p`lf783>7}O=:80qcmi7;296~N2;;1vbnh7:181M34:2weok750;0xL0553tdhjl4?:3yK164<ugimn7>52zJ677=zfjlh6=4={I706>{ikon1<7<tH411?xhdnl0;6?uG5208ykean3:1>vF:339~ja672909wE;<2:m`57=838pD8==;|lg47<72;qC9><4}of37?6=:rB>??5rne27>5<5sA?8>6sad1794?4|@<997p`k0783>7}O=:80qcj?7;296~N2;;1vbi>7:181M34:2weh=750;0xL0553tdo<l4?:3yK164<ugn;n7>52zJ677=zfm:h6=4={I706>{il9n1<7<tH411?xhc8l0;6?uG5208ykb7n3:1>vF:339~ja772909wE;<2:m`47=838pD8==;|lg57<72;qC9><4}of27?6=:rB>??5rne37>5<5sA?8>6sad0794?4|@<997p`k1783>7}O=:80qcj>7;296~N2;;1vbi?7:181M34:2weh<750;0xL0553tdo=l4?:3yK164<ugn:n7>52zJ677=zfm;h6=4={I706>{il8n1<7<tH411?xhc9l0;6?uG5208ykb6n3:1>vF:339~ja472909wE;<2:m`77=838pD8==;|lg67<72;qC9><4}of17?6=:rB>??5rne07>5<5sA?8>6sad3794?4|@<997p`k2783>7}O=:80qcj=7;296~N2;;1vbi<7:181M34:2weh?750;0xL0553tdo>l4?:3yK164<ugn9n7>52zJ677=zfm8h6=4={I706>{il;n1<7<tH411?xhc:l0;6?uG5208ykb5n3:1>vF:339~ja572909wE;<2:m`67=838pD8==;|lg77<72;qC9><4}of07?6=:rB>??5rne17>5<5sA?8>6sad2794?4|@<997p`k3783>7}O=:80qcj<7;296~N2;;1vbi=7:181M34:2weh>750;0xL0553tdo?l4?:3yK164<ugn8n7>52zJ677=zfm9h6=4={I706>{il:n1<7<tH411?xhc;l0;6?uG5208ykb4n3:1>vF:339~ja272909wE;<2:m`17=838pD8==;|lg07<72;qC9><4}of77?6=:rB>??5rn`ga>5<6sA?8>6sab4694?7|@<997p`m5483>4}#9l>1:4?4H411?xhe=?0;6<u+1d692<7<@<997p`m5683>4}#9l>1:4?4H411?xhe=10;6<u+1d692<7<@<997p`m5883>4}#9l>1:4?4H411?xhe=h0;6<u+1d692<7<@<997p`m5c83>4}O=:80qcl:c;295~N2;;1vbo;k:182M34:2wen8k50;3xL0553tdi9k4?:0yK164<ugh=<7>51zJ677=zfk<:6=4>{I706>{ij?81<7?tH411?xhe>:0;6<uG5208ykd1<3:1=vF:339~jg02290:wE;<2:mf30=83;pD8==;|la22<728qC9><4}o`5<?6=9rB>??5rnc4:>5<6sA?8>6sab7c94?7|@<997p`m6c83>4}O=:80qcl9c;295~N2;;1vbo8k:182M34:2wen;k50;3xL0553tdi:k4?:0yK164<ugh<<7>51zJ677=zfk=:6=4>{I706>{ij>81<7?tH411?xhe?:0;6<uG5208ykd0<3:1=vF:339~jg12290:wE;<2:mf20=83;pD8==;|la32<728qC9><4}o`4<?6=9rB>??5rnc5:>5<6sA?8>6sab6c94?7|@<997p`m7c83>4}O=:80qcl8c;295~N2;;1vbo9k:182M34:2wen:k50;3xL0553tdi;k4?:0yK164<ugh3<7>51zJ677=zfk2:6=4>{I706>{ij181<7?tH411?xhe0:0;6<uG5208ykd?<3:1=vF:339~jg>2290:wE;<2:mf=0=83;pD8==;|la<2<728qC9><4}o`;<?6=9rB>??5rnc::>5<6sA?8>6sab9c94?7|@<997p`m8c83>4}O=:80qcl7c;295~N2;;1vbo6k:182M34:2wen5k50;3xL0553tdi4k4?:0yK164<ugh2<7>51zJ677=zfk3:6=4>{I706>{ij081<7?tH411?xhe1:0;6<uG5208ykd><3:1=vF:339~jg?2290:wE;<2:mf<0=83;pD8==;|la=2<728qC9><4}o`:<?6=9rB>??5rnc;:>5<6sA?8>6sab8c94?7|@<997p`m9c83>4}O=:80qcl6c;295~N2;;1vbo7k:182M34:2wen4k50;3xL0553tdi5k4?:0yK164<ughj<7>51zJ677=zfkk:6=4>{I706>{ijh81<7?tH411?xhei:0;6<uG5208ykdf<3:1=vF:339~jgg2290:wE;<2:mfd0=83;pD8==;|lae2<728qC9><4}o`b<?6=9rB>??5rncc:>5<6sA?8>6sab`c94?7|@<997p`mac83>4}O=:80qclnc;295~N2;;1vbook:182M34:2wenlk50;3xL0553tdimk4?:0yK164<ughi<7>51zJ677=zfkh:6=4>{%3f0?0>92B>??5rnc`1>5<6s-;n87861:J677=zfkh86=4>{I706>{ijk>1<7?t$0g7>3?63A?8>6sabc794?7|@<997p`mb783>4}#9l>1:4?4H411?xhej>0;6<uG5208ykde03:1=v*>e585=4=O=:80qclm9;295~N2;;1vboln:182!7b<3<2=6F:339~jgde290:wE;<2:mfge=83;p(<k;:7;2?M34:2wenoj50;3xL0553tdinh4?:0y'5`2=>0;0D8==;|lafc<728qC9><4}o``4?6=9r.:i949909K164<ughh=7>51zJ677=zfki96=4>{%3f0?0>92B>??5rnca0>5<6s-;n87861:J677=zfki?6=4>{I706>{ijj?1<7?t$0g7>3?63A?8>6sabb494?7|@<997p`mc683>4}#9l>1:4?4H411?xhek10;6<uG5208ykdd13:1=v*>e585=4=O=:80qclla;295~N2;;1vbomm:182!7b<3<2=6F:339~jged290:wE;<2:mffb=83;p(<k;:7;2?M34:2wennk50;3xL0553tdiok4?:0y'5`2=>0;0D8==;|la`5<728qC9><4}o`g5?6=9r.:i949909K164<ugho>7>51zJ677=zfkn86=4>{I706>{ijm>1<7?tH411?xhel<0;6<uG5208ykdc>3:1=vF:339~jgb0290:wE;<2:mfa>=83;pD8==;|la`<<728qC9><4}o`ge?6=9rB>??5rncfa>5<6sA?8>6sabea94?7|@<997p`mde83>4}O=:80qclke;295~N2;;1vboji:182M34:2wenh>50;3xL0553tdii<4?:0yK164<ughn>7>51zJ677=zfko86=4>{I706>{ijl>1<7?tH411?xhem<0;6<uG5208ykdb>3:1=v*>e585=4=O=:80qclj7;295~N2;;1vbok7:182M34:2wenh750;3xL0553tdiil4?:0yK164<ughnn7>51zJ677=zfkoh6=4>{I706>{ijln1<7?tH411?xheml0;6<uG5208ykdbn3:1=vF:339~jg`7290:wE;<2:mfc7=83;pD8==;|lab7<728qC9><4}o`e7?6=9rB>??5rncd7>5<6sA?8>6sabg794?7|@<997p`mf783>4}O=:80qcli7;295~N2;;1vboh7:182M34:2wenk750;3xL0553tdijl4?:0yK164<ughmn7>51zJ677=zfklh6=4>{I706>{ijon1<7?tH411?xhenl0;6<uG5208ykdan3:1=vF:339~jf67290:wE;<2:mg57=83;pD8==;|l`47<728qC9><4}oa37?6=9rB>??5rnb27>5<6sA?8>6sac1794?7|@<997p`l0783>4}O=:80qcm?7;295~N2;;1vbn>7:182M34:2weo=750;3xL0553tdh<l4?:0yK164<ugi;n7>51zJ677=zfj:h6=4>{I706>{ik9n1<7?tH411?xhd8l0;6<uG5208yke7n3:1=vF:339~jf77290:wE;<2:mg47=83;pD8==;|l`57<728qC9><4}oa27?6=9rB>??5rnb37>5<6sA?8>6sac0794?7|@<997p`l1783>4}O=:80qcm>7;295~N2;;1vbn?7:182M34:2weo<750;3xL0553tdh=l4?:0yK164<ugi:n7>51zJ677=zfj;h6=4>{I706>{ik8n1<7?tH411?xhd9l0;6<uG5208yke6n3:1=vF:339~jf47290:wE;<2:mg77=83;pD8==;|l`67<728qC9><4}oa17?6=9rB>??5rnb07>5<6sA?8>6sac3794?7|@<997p`l2783>4}O=:80qcm=7;295~N2;;1vbn<7:182M34:2weo?750;3xL0553tdh>l4?:0yK164<ugi9n7>51zJ677=zfj8h6=4>{I706>{ik;n1<7?tH411?xhd:l0;6<uG5208yke5n3:1=vF:339~jf57290:wE;<2:mg67=83;pD8==;|l`77<728qC9><4}oa07?6=9rB>??5rnb17>5<6sA?8>6sac2794?7|@<997p`l3783>4}O=:80qcm<7;295~N2;;1vbn=7:182M34:2weo>750;3xL0553tdh?l4?:0yK164<ugi8n7>51zJ677=zfj9h6=4>{I706>{ik:n1<7?tH411?xhd;l0;6<uG5208yke4n3:1=vF:339~jf27290:wE;<2:mg17=83;pD8==;|l`07<728qC9><4}oa77?6=9rB>??5rnb67>5<6sA?8>6sac5794?7|@<997p`l4783>4}O=:80qcm;7;295~N2;;1vbn:7:182M34:2weo9750;3xL0553tdh8l4?:0yK164<ugi?n7>51zJ677=zfj>h6=4>{I706>{ik=n1<7?tH411?xhd<l0;6<uG5208yke3n3:1=vF:339~jf37290:wE;<2:mg07=83;pD8==;|l`17<728qC9><4}oa67?6=9rB>??5rnb77>5<6sA?8>6sac4794?7|@<997p`l5783>4}O=:80qcm:7;295~N2;;1vbn;7:182M34:2weo8750;3xL0553tdh9l4?:0yK164<ugi>n7>51zJ677=zfj?h6=4>{I706>{ik<n1<7?tH411?xhd=l0;6<uG5208yke2n3:1=vF:339~jf07290:wE;<2:mg37=83;pD8==;|l`27<728qC9><4}oa57?6=9rB>??5rnb47>5<6sA?8>6sac7794?7|@<997p`l6783>4}O=:80qcm97;295~N2;;1vbn87:182M34:2weo;750;3xL0553tdh:l4?:0yK164<ugi=n7>51zJ677=zfj<h6=4>{I706>{ik?n1<7?tH411?xhd>l0;6<uG5208yke1n3:1=vF:339~jf17290:wE;<2:mg27=83;pD8==;|l`37<728qC9><4}oa47?6=9rB>??5rnb57>5<6sA?8>6sac6794?7|@<997p`l7783>4}O=:80qcm87;295~N2;;1vbn97:182M34:2weo:750;3xL0553tdh;l4?:0yK164<ugi<n7>51zJ677=zfj=h6=4>{I706>{ik>n1<7?tH411?xhd?l0;6<uG5208yke0n3:1=vF:339~jf>7290:wE;<2:mg=7=83;pD8==;|l`<7<728qC9><4}oa;7?6=9rB>??5rnb:7>5<6sA?8>6sac9794?7|@<997p`l8783>4}O=:80qcm77;295~N2;;1vbn67:182M34:2weo5750;3xL0553tdh4l4?:0yK164<ugi3n7>51zJ677=zfj2h6=4>{I706>{ik1n1<7?tH411?xhd0l0;6<uG5208yke?n3:1=vF:339~jf?7290:wE;<2:mg<7=83;pD8==;|l`=7<728qC9><4}oa:7?6=9rB>??5rnb;7>5<6sA?8>6sac8794?7|@<997p`l9783>4}O=:80qcm67;295~N2;;1vbn77:182M34:2weo4750;3xL0553tdh5l4?:0yK164<ugi2n7>51zJ677=zfj3h6=4>{I706>{ik0n1<7?tH411?xhd1l0;6<uG5208yke>n3:1=vF:339~jfg7290:wE;<2:mgd7=83;pD8==;|l`e7<728qC9><4}oab7?6=9rB>??5rnbc7>5<6sA?8>6sac`794?7|@<997p`la783>4}O=:80qcmn7;295~N2;;1vbno7:182M34:2weol750;3xL0553tdhml4?:0yK164<ugijn7>51zJ677=zfjkh6=4>{I706>{ikhn1<7?tH411?xhdil0;6<uG5208ykefn3:1=vF:339~jfd7290:wE;<2:mgg7=83;pD8==;|l`f7<728qC9><4}oaa7?6=9rB>??5rnb`7>5<6sA?8>6sacc794?7|@<997p`lb783>4}O=:80qcmm7;295~N2;;1vbnl7:182M34:2weoo750;3xL0553tdhnl4?:0yK164<ugiin7>51zJ677=zfjhh6=4>{I706>{ikkn1<7?tH411?xhdjl0;6<uG5208ykeen3:1=vF:339~jfe7290:wE;<2:mgf7=83;pD8==;|l`g7<728qC9><4}oa`7?6=9rB>??5rnba7>5<6sA?8>6sacb794?7|@<997p`lc783>4}O=:80qcml7;295~N2;;1vbnm7:182M34:2weon750;3xL0553tdhol4?:0yK164<ugihn7>51zJ677=zfjih6=4>{I706>{ikjn1<7?tH411?xhdkl0;6<uG5208ykedn3:1=vF:339~jfb7290:wE;<2:mga7=83;pD8==;|l``7<728qC9><4}oag7?6=9rB>??5rnbf7>5<6sA?8>6sace794?7|@<997p`ld783>4}O=:80qcmk7;295~N2;;1vbnj7:182M34:2weoi750;3xL0553tdhhl4?:0yK164<utwvLMMtf279aa4?;h;=qMNM{1CDU}zHI
/trunk/npi_vga_v1_00_b/netlist/fifo_v4_64.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:12:31 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc4vfx12
SET devicefamily = virtex4
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = sf363
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -10
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_v4_64
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=64
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=false
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: 42f3030e
 
/trunk/npi_vga_v1_00_b/netlist/fifo_v5_64.xco
0,0 → 1,82
##############################################################
#
# Xilinx Core Generator version K.31
# Date: Thu Apr 24 20:15:44 2008
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = False
SET asysymbol = False
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = False
SET designentry = VHDL
SET device = xc5vlx20t
SET devicefamily = virtex5
SET flowvendor = Other
SET formalverification = False
SET foundationsym = False
SET implementationfiletype = Ngc
SET package = ff323
SET removerpms = False
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = False
SET vhdlsim = True
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 4.3
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_v5_64
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=100
CSET empty_threshold_negate_value=101
CSET enable_ecc=false
CSET enable_int_clk=false
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=350
CSET full_threshold_negate_value=349
CSET input_data_width=64
CSET input_depth=512
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=false
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
GENERATE
# CRC: ef13848c
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/graphics.vhd
0,0 → 1,406
----------------------------------------------------------------------
---- ----
---- Main Graphics controller ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity graphic is
Generic(
C_FAMILY : string := "virtex5";
C_VD_DATA_WIDTH : integer := 64;
PIXEL_DEPTH : integer := 6;
PIXEL_WIDTH : natural := 32;
 
C_VD_V_POL : std_logic := '0';
C_VCNT_SIZE : natural := 10;
C_VBACK_PORCH : natural := 25+8;
C_VFRONT_PORCH : natural := 2+8;
C_VVIDEO_ACTIVE : natural := 480;
C_VSYNC_PULSE : natural := 2;
 
C_VD_H_POL : std_logic := '0';
C_HCNT_SIZE : natural := 10;
C_HBACK_PORCH : natural := 40+8;
C_HFRONT_PORCH : natural := 8+8+31;
C_HVIDEO_ACTIVE : natural := 640;
C_HSYNC_PULSE : natural := 96);
 
port (
-- System interface
Sys_Clk : in std_logic; -- Base system clock
NPI_CLK : in std_logic;
Sys_Rst : in std_logic; -- System reset
VIDEO_CLK : in std_logic; -- LCD Clock signal
 
VIDEO_VSYNC : out std_logic;
VIDEO_HSYNC : out std_logic;
VIDEO_DE : out std_logic;
VIDEO_CLK_OUT : out std_logic;
VIDEO_R : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
INTR : out std_logic;
DMA_INIT : in std_logic;
DMA_DACK : in std_logic;
DMA_DATA : in std_logic_vector(C_VD_DATA_WIDTH - 1 downto 0);
DMA_DREQ : out std_logic;
DMA_RSYNC : out std_logic;
DMA_TC : in std_logic;
 
GR_DATA_I : in std_logic_vector(31 downto 0);
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_ADDR : in std_logic_vector(15 downto 0);
GR_RNW : in std_logic;
GR_CS : in std_logic;
 
X : out std_logic_vector(7 downto 0));
end graphic;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of graphic is
 
component video_ctrl is
Generic(
 
C_FAMILY : string;
PIXEL_DEPTH : integer;
 
C_VCNT_SIZE : natural;
C_VBACK_PORCH : natural;
C_VFRONT_PORCH : natural;
C_VVIDEO_ACTIVE : natural;
C_VSYNC_PULSE : natural;
 
C_HCNT_SIZE : natural;
C_HBACK_PORCH : natural;
C_HFRONT_PORCH : natural;
C_HVIDEO_ACTIVE : natural;
C_HSYNC_PULSE : natural);
port (
-- System interface
Sys_Rst : in std_logic; -- System reset
 
VSYNC_POL : in std_logic;
HSYNC_POL : in std_logic;
DE_POL : in std_logic;
 
X_HSYNC_DELAY : in std_logic_vector(3 downto 0);
X_VSYNC_DELAY : in std_logic_vector(3 downto 0);
X_DE_DELAY : in std_logic_vector(3 downto 0);
 
VSYNC : out std_logic;
HSYNC : out std_logic;
DE : out std_logic;
VSYNC_VALUE : out std_logic_vector(C_VCNT_SIZE - 1 downto 0);
HSYNC_VALUE : out std_logic_vector(C_HCNT_SIZE - 1 downto 0);
LAST_LINE : out std_logic;
FRAME_END : out std_logic;
 
VIDEO_EN : in std_logic;
VIDEO_DATA_R : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_DATA_G : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_DATA_B : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_CLK_IN : in std_logic; -- LCD Clock signal
VIDEO_VSYNC : out std_logic;
VIDEO_HSYNC : out std_logic;
VIDEO_DE : out std_logic;
VIDEO_CLK_OUT : out std_logic;
VIDEO_R : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
 
X_0 : out std_logic;
X_1 : out std_logic;
X_2 : out std_logic;
X_3 : out std_logic;
X_4 : out std_logic;
X_5 : out std_logic);
 
end component;
 
component data_rgb is
Generic(
C_FAMILY : string;
C_VD_DATA_WIDTH : integer;
V_CNT_SIZE : integer;
H_CNT_SIZE : integer;
PIXEL_WIDTH : natural;
PIXEL_DEPTH : integer);
port (
-- System interface
Sys_Rst : in std_logic; -- System reset
NPI_CLK : in std_logic;
Sys_Clk : in std_logic;
VIDEO_CLK : in std_logic; -- LCD Clock signal
VIDEO_DE : in std_logic;
VIDEO_EN : in std_logic;
 
VIDEO_R : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_A : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
 
INTR : out std_logic;
 
-- DMA Channel input and control
DMA_INIT : in std_logic;
DMA_DACK : in std_logic;
DMA_DATA : in std_logic_vector(C_VD_DATA_WIDTH - 1 downto 0);
DMA_DREQ : out std_logic;
DMA_RSYNC : out std_logic;
DMA_TC : in std_logic;
 
X_0 : out std_logic;
X_1 : out std_logic;
X_2 : out std_logic;
X_3 : out std_logic;
X_4 : out std_logic;
X_5 : out std_logic);
end component;
 
 
constant VCC : std_logic := '1';
constant GND : std_logic := '0';
 
signal line_e_i : std_logic;
 
signal frame_end : std_logic;
 
signal lcd_en_i : std_logic;
 
signal vsync_value : std_logic_vector(C_VCNT_SIZE - 1 downto 0);
signal hsync_value : std_logic_vector(C_HCNT_SIZE - 1 downto 0);
signal hsync_i : std_logic;
signal vsync_i : std_logic;
signal de_i : std_logic;
 
-- OPB signals section
 
signal video_data_in : std_logic_vector((3 * PIXEL_DEPTH) - 1 downto 0);
 
signal x_hsync_delay : std_logic_vector(3 downto 0);
signal x_vsync_delay : std_logic_vector(3 downto 0);
signal x_de_delay : std_logic_vector(3 downto 0);
 
signal row_position : std_logic_vector(C_VCNT_SIZE - 1 downto 0);
signal col_position : std_logic_vector(C_HCNT_SIZE - 1 downto 0);
 
signal last_line : std_logic;
signal video_en : std_logic;
 
signal video_en_video_clk : std_logic;
signal video_en_i_video_clk : std_logic;
signal video_en_p_video_clk : std_logic;
 
-- Service signals
signal V_CTRL_X0 : std_logic;
signal V_CTRL_X1 : std_logic;
signal V_CTRL_X2 : std_logic;
signal V_CTRL_X3 : std_logic;
signal V_CTRL_X4 : std_logic;
signal V_CTRL_X5 : std_logic;
 
-- Fifo signals
signal dreq_i : std_logic;
signal dack_i : std_logic;
signal rsync : std_logic;
signal tc_i : std_logic;
signal data_in : std_logic_vector(31 downto 0);
signal user_rst : std_logic;
signal fifo_data_out : std_logic_vector(31 downto 0);
signal fifo_init : std_logic;
 
signal ch0_r : std_logic_vector(PIXEL_DEPTH - 1 downto 0);
signal ch0_g : std_logic_vector(PIXEL_DEPTH - 1 downto 0);
signal ch0_b : std_logic_vector(PIXEL_DEPTH - 1 downto 0);
signal ch0_a : std_logic_vector(PIXEL_DEPTH - 1 downto 0);
signal ch0_int : std_logic;
 
-- Control Registers and bit aliases
signal video_ctrl_reg : std_logic_vector(31 downto 0) := (Others => '0');
 
signal xxx : std_logic_vector(3 downto 0);
signal xxx_1 : std_logic_vector(3 downto 0);
 
signal ctrl_data : std_logic_vector(31 downto 0);
signal ctrl_addr : std_logic_vector(31 downto 0);
signal ctrl_wr : std_logic;
 
signal delay_cnt : std_logic_vector(20 downto 0);
signal video_en_e : std_logic;
 
BEGIN
 
-- Fifo instance and DMA CTRL
 
user_rst <= Not video_en_video_clk;
--user_read <= '0';
 
CH0_FIFO_I : data_rgb
Generic map (
C_FAMILY => C_FAMILY,
C_VD_DATA_WIDTH => C_VD_DATA_WIDTH,
V_CNT_SIZE => C_VCNT_SIZE,
H_CNT_SIZE => C_HCNT_SIZE,
PIXEL_WIDTH => PIXEL_WIDTH,
PIXEL_DEPTH => PIXEL_DEPTH)
port map (
-- System interface
Sys_Rst => Sys_Rst,
NPI_CLK => NPI_CLK,
 
Sys_Clk => Sys_Clk,
VIDEO_CLK => VIDEO_CLK,
VIDEO_DE => de_i,
VIDEO_EN => video_en_video_clk,
 
VIDEO_R => ch0_r,
VIDEO_G => ch0_g,
VIDEO_B => ch0_b,
VIDEO_A => ch0_a,
 
INTR => ch0_int,
 
DMA_INIT => DMA_INIT,
DMA_DACK => DMA_DACK,
DMA_DATA => DMA_DATA,
DMA_DREQ => DMA_DREQ,
DMA_RSYNC => DMA_RSYNC,
DMA_TC => DMA_TC,
 
X_0 => open,
X_1 => open,
X_2 => open,
X_3 => open,
X_4 => open,
X_5 => open);
 
x_hsync_delay <= conv_std_logic_vector(2, x_hsync_delay'length);
x_vsync_delay <= conv_std_logic_vector(2, x_vsync_delay'length);
x_de_delay <= conv_std_logic_vector(0, x_de_delay'length);
 
-- Video CTRL instance
 
PROCESS(sys_clk)
BEGIN
If Sys_Rst = '1' Then
delay_cnt <= (others => '1');
ElsIf sys_clk'event And sys_clk = '1' Then
If DMA_INIT = '0' Then
delay_cnt <= (others => '1');
ElsIf delay_cnt > 0 Then
delay_cnt <= delay_cnt - 1;
End If;
video_en <= video_en_e;
End If;
END PROCESS;
 
video_en_e <= '1' When delay_cnt = 0 Else '0'; --video_ctrl_reg(0);
 
res_video_en : entity work.resample_r
port map(
Clk => VIDEO_CLK,
Rst => Sys_Rst,
D_i => video_en,
D_o => video_en_video_clk);
 
video_ctrl_i : video_ctrl
generic map (
C_FAMILY => C_FAMILY,
PIXEL_DEPTH => PIXEL_DEPTH,
 
C_VCNT_SIZE => C_VCNT_SIZE,
C_VBACK_PORCH => C_VBACK_PORCH,
C_VFRONT_PORCH => C_VFRONT_PORCH,
C_VVIDEO_ACTIVE => C_VVIDEO_ACTIVE,
C_VSYNC_PULSE => C_VSYNC_PULSE,
 
C_HCNT_SIZE => C_HCNT_SIZE,
C_HBACK_PORCH => C_HBACK_PORCH,
C_HFRONT_PORCH => C_HFRONT_PORCH,
C_HVIDEO_ACTIVE => C_HVIDEO_ACTIVE,
C_HSYNC_PULSE => C_HSYNC_PULSE)
 
port map (
-- System interface
Sys_Rst => SYS_RST, -- System reset
 
VSYNC_POL => C_VD_V_POL,
HSYNC_POL => C_VD_H_POL,
DE_POL => VCC,
 
X_HSYNC_DELAY => x_hsync_delay,
X_VSYNC_DELAY => x_vsync_delay,
X_DE_DELAY => x_de_delay,
 
VSYNC => vsync_i,
HSYNC => hsync_i,
DE => de_i,
VSYNC_VALUE => row_position,
HSYNC_VALUE => col_position,
LAST_LINE => last_line,
FRAME_END => frame_end,
 
VIDEO_EN => video_en_video_clk,
VIDEO_DATA_R => ch0_r,
VIDEO_DATA_G => ch0_g,
VIDEO_DATA_B => ch0_b,
VIDEO_CLK_IN => VIDEO_CLK,
VIDEO_VSYNC => VIDEO_VSYNC,
VIDEO_HSYNC => VIDEO_HSYNC,
VIDEO_DE => VIDEO_DE,
VIDEO_CLK_OUT => VIDEO_CLK_OUT,
VIDEO_R => VIDEO_R,
VIDEO_G => VIDEO_G,
VIDEO_B => VIDEO_B,
 
X_0 => V_CTRL_X0,
X_1 => V_CTRL_X1,
X_2 => V_CTRL_X2,
X_3 => V_CTRL_X3,
X_4 => V_CTRL_X4,
X_5 => V_CTRL_X5);
 
 
end implementation;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/plbbr.vhd
0,0 → 1,445
------------------------------------------------------------------------------
-- plbbr.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plbbr.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Sun Apr 13 14:29:06 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
 
library plbv46_slave_single_v1_00_a;
use plbv46_slave_single_v1_00_a.plbv46_slave_single;
 
library npi_vga_v1_00_b;
use npi_vga_v1_00_b.user_logic;
 
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_FAMILY -- Xilinx FPGA family
-- C_MEM0_BASEADDR -- User memory space 0 base address
-- C_MEM0_HIGHADDR -- User memory space 0 high address
-- C_MEM1_BASEADDR -- User memory space 1 base address
-- C_MEM1_HIGHADDR -- User memory space 1 high address
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
 
entity plbbr is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_FAMILY : string := "virtex5";
C_MEM0_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_MEM0_HIGHADDR : std_logic_vector := X"00000000";
C_MEM1_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_MEM1_HIGHADDR : std_logic_vector := X"00000000"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
 
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_DATA_I0 : in std_logic_vector(31 downto 0);
GR_DATA_I1 : in std_logic_vector(31 downto 0);
GR_ADDR : out std_logic_vector(15 downto 2);
GR_RNW : out std_logic;
GR_CS : out std_logic_vector(1 downto 0);
 
-- ADD USER PORTS ABOVE THIS LINE ------------------
 
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
 
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
 
end entity plbbr;
 
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
 
architecture IMP of plbbr is
 
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
 
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & C_MEM0_BASEADDR, -- user logic memory space 0 base address
ZERO_ADDR_PAD & C_MEM0_HIGHADDR, -- user logic memory space 0 high address
ZERO_ADDR_PAD & C_MEM1_BASEADDR, -- user logic memory space 1 base address
ZERO_ADDR_PAD & C_MEM1_HIGHADDR -- user logic memory space 1 high address
);
 
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_NUM_MEM : integer := 2;
 
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 1, -- number of ce for user logic memory space 0 (always 1 chip enable)
1 => 1 -- number of ce for user logic memory space 1 (always 1 chip enable)
);
 
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
 
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
 
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
 
------------------------------------------
-- Width of the slave address bus (32 only)
------------------------------------------
constant USER_SLV_AWIDTH : integer := C_SPLB_AWIDTH;
 
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_MEM0_CS_INDEX : integer := 0;
 
constant USER_CS_INDEX : integer := USER_MEM0_CS_INDEX;
 
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
 
begin
 
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_00_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
 
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity npi_vga_v1_00_b.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
 
C_SLV_AWIDTH => USER_SLV_AWIDTH,
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_MEM => USER_NUM_MEM
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
GR_DATA_O => GR_DATA_O,
GR_DATA_I0 => GR_DATA_I0,
GR_DATA_I1 => GR_DATA_I1,
GR_ADDR => GR_ADDR,
GR_RNW => GR_RNW,
GR_CS => GR_CS,
 
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_CS => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1),
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
 
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
 
case ipif_Bus2IP_CS is
when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
 
end process IP2BUS_DATA_MUX_PROC;
 
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
 
end IMP;
/trunk/npi_vga_v1_00_b/hdl/vhdl/video_clk_gen_v4.vhd
0,0 → 1,93
----------------------------------------------------------------------
---- ----
---- Vertical V4 clock generator ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.all;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity video_clk_gen_v4 is
Generic (
POLARITY : natural := 1); -- Define polarity of the output clock signal
port (
CLK : in std_logic; -- Input clock
RST : in std_logic; -- System reset
CLK_OUT : out std_logic);
end video_clk_gen_v4;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of video_clk_gen_v4 is
 
constant gnd : std_logic := '0';
constant vcc : std_logic := '1';
 
component ODDR
port (
Q : out std_logic;
D1 : in std_logic;
D2 : in std_logic;
C : in std_logic;
CE : in std_logic;
R : in std_logic;
S : in std_logic);
end component;
 
signal clk_n : std_logic;
signal d0_i : std_logic;
signal d1_i : std_logic;
 
BEGIN
 
clk_n <= Not clk;
d0_i <= '1' When POLARITY = 1 Else '0';
d1_i <= '0' When POLARITY = 1 Else '1';
 
GEN_PIXEL_CLK : ODDR
port map (
Q => CLK_OUT,
D1 => d0_i,
D2 => d1_i,
C => clk,
CE => vcc,
R => gnd,
S => gnd);
 
end implementation;
/trunk/npi_vga_v1_00_b/hdl/vhdl/data_rgb.vhd
0,0 → 1,268
----------------------------------------------------------------------
---- ----
---- Data RGBA module ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity data_rgb is
Generic(
C_FAMILY : string := "virtex5";
C_VD_DATA_WIDTH : integer := 32;
V_CNT_SIZE : integer := 10;
H_CNT_SIZE : integer := 10;
PIXEL_WIDTH : natural := 32;
PIXEL_DEPTH : integer := 6);
port (
-- System interface
Sys_Rst : in std_logic; -- System reset
Sys_Clk : in std_logic;
NPI_CLK : in std_logic;
 
VIDEO_CLK : in std_logic; -- LCD Clock signal
VIDEO_DE : in std_logic;
VIDEO_EN : in std_logic;
 
VIDEO_R : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_A : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
 
INTR : out std_logic;
 
-- DMA Channel input and control
DMA_INIT : in std_logic;
DMA_DACK : in std_logic;
DMA_DATA : in std_logic_vector(C_VD_DATA_WIDTH - 1 downto 0);
DMA_DREQ : out std_logic;
DMA_RSYNC : out std_logic;
DMA_TC : in std_logic;
 
X_0 : out std_logic;
X_1 : out std_logic;
X_2 : out std_logic;
X_3 : out std_logic;
X_4 : out std_logic;
X_5 : out std_logic);
 
end data_rgb;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of data_rgb is
 
component d_fifo
generic (
C_FAMILY : string;
C_VD_DATA_WIDTH : integer);
port (
-- System interface
Sys_Clk : in std_logic; -- Base system clock
NPI_CLK : in std_logic;
Sys_Rst : in std_logic; -- System reset
 
-- DMA Channel interface
-- DMA_CLK : in std_logic; -- DMA clock time domain (the asynchronous FIFO will be used)
DMA_DREQ : out std_logic; -- Data request
DMA_DACK : in std_logic; -- Data ack
DMA_RSYNC : out std_logic; -- Synchronization reset (restarts the channel)
DMA_TC : in std_logic; -- Terminal count (the signal is generated at the end of the transfer)
DMA_DATA : in std_logic_vector(C_VD_DATA_WIDTH - 1 downto 0);
 
-- User interface (the reader side)
USER_CLK : in std_logic; -- User clk is used as an asynchronous read clock
USER_RST : in std_logic;
USER_DREQ : in std_logic;
USER_RD : in std_logic;
USER_DRDY : out std_logic;
 
XXX : out std_logic_vector(3 downto 0);
USER_DATA : out std_logic_vector(31 downto 0));
end component;
 
constant VCC : std_logic := '1';
constant GND : std_logic := '0';
 
signal de_i : std_logic;
 
-- Fifo signals
signal dreq_i : std_logic;
signal dack_i : std_logic;
signal rsync : std_logic;
signal tc_i : std_logic;
signal data_in : std_logic_vector(31 downto 0);
signal user_rst : std_logic;
signal user_dreq : std_logic;
signal user_read : std_logic;
signal user_drdy : std_logic;
signal fifo_data_out : std_logic_vector(31 downto 0);
signal fifo_init : std_logic;
 
signal de_cnt : integer range 0 to (32 / PIXEL_WIDTH) - 1;
signal de_cnt_preset : integer range 0 to (32 / PIXEL_WIDTH) - 1;
 
signal video_d : std_logic_vector(PIXEL_DEPTH - 1 downto 0);
 
signal XXX : std_logic_vector(3 downto 0);
 
BEGIN
 
-- Fifo instance and DMA CTRL
INTR <= '0';
dack_i <= DMA_DACK;
DMA_DREQ <= dreq_i;
user_dreq <= VIDEO_EN;
rsync <= '0';
fifo_init <= (Not video_en) Or Sys_Rst Or (Not DMA_INIT);
user_rst <= Not VIDEO_EN;
de_i <= VIDEO_DE;
 
de_cnt_preset <= 0 When PIXEL_WIDTH = 32 Else
1 When PIXEL_WIDTH = 16 Else
3 When PIXEL_WIDTH = 8 Else 0;
 
PROCESS(VIDEO_CLK, Sys_Rst)
BEGIN
If Sys_Rst = '1' Then
de_cnt <= de_cnt_preset;
ElsIf VIDEO_CLK'event And VIDEO_CLK = '1' Then
If de_i = '1' Then
If de_cnt = 0 Then
de_cnt <= de_cnt_preset;
Else
de_cnt <= de_cnt - 1;
End If;
Else
de_cnt <= de_cnt_preset;
End If;
End If;
END PROCESS;
 
user_read <= '1' When (de_i = '1') And (de_cnt = 0) And (user_drdy = '1') Else '0';
 
fifo_i : d_fifo
generic map (
C_FAMILY => C_FAMILY,
C_VD_DATA_WIDTH => C_VD_DATA_WIDTH)
port map (
-- System interface
Sys_Clk => Sys_Clk, -- Base system clock
NPI_CLK => NPI_CLK,
Sys_Rst => fifo_init,
 
-- DMA Channel interface
DMA_DREQ => dreq_i,
DMA_DACK => dack_i,
DMA_RSYNC => rsync,
DMA_TC => tc_i,
DMA_DATA => DMA_DATA,
 
-- User interface (the reader side)
USER_CLK => VIDEO_CLK,
USER_RST => user_rst,
USER_DREQ => user_dreq,
USER_RD => user_read,
USER_DRDY => user_drdy,
XXX => XXX,
 
USER_DATA => fifo_data_out);
 
G_32 : If PIXEL_WIDTH = 32 Generate
PROCESS(VIDEO_CLK) -- 32 bits data
BEGIN
If VIDEO_CLK'event And VIDEO_CLK = '1' Then
VIDEO_R <= fifo_data_out(7 downto 2);--fifo_data_out(2 to 7);
VIDEO_G <= fifo_data_out(15 downto 10);--fifo_data_out(10 to 15);
VIDEO_B <= fifo_data_out(23 downto 18);--fifo_data_out(18 to 23);
VIDEO_A <= fifo_data_out(31 downto 26);--fifo_data_out(26 to 31);
End If;
END PROCESS;
End Generate;
 
G_16 : If PIXEL_WIDTH = 16 Generate
PROCESS(VIDEO_CLK) -- 16 bits data
BEGIN
If VIDEO_CLK'event And VIDEO_CLK = '1' Then
VIDEO_A <= (Others => '0');
If de_cnt = 1 Then
VIDEO_R <= fifo_data_out(4 downto 0) & '0';
VIDEO_G <= fifo_data_out(9 downto 5) & '0';
VIDEO_B <= fifo_data_out(14 downto 10) & '0';
Else
VIDEO_R <= fifo_data_out(20 downto 16) & '0';
VIDEO_G <= fifo_data_out(25 downto 21) & '0';
VIDEO_B <= fifo_data_out(30 downto 26) & '0';
End If;
End If;
END PROCESS;
End Generate;
 
G_8 : If PIXEL_WIDTH = 8 Generate
PROCESS(VIDEO_CLK) -- 8 bits data - go through the LUT (will be added later)
BEGIN
If VIDEO_CLK'event And VIDEO_CLK = '1' Then
If de_cnt = 0 Then
video_d <= fifo_data_out(31 downto 24);
ElsIf de_cnt = 1 Then
video_d <= fifo_data_out(23 downto 16);
ElsIf de_cnt = 2 Then
video_d <= fifo_data_out(15 downto 8);
Else
video_d <= fifo_data_out(7 downto 0);
End If;
End If;
END PROCESS;
 
VIDEO_R <= video_d;
VIDEO_G <= video_d;
VIDEO_B <= video_d;
 
End Generate;
 
 
X_0 <= XXX(0);
X_1 <= XXX(1);
X_2 <= XXX(2);
X_3 <= XXX(3);
 
 
end implementation;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/dvi_out.vhd
0,0 → 1,151
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
library UNISIM;
use UNISIM.VComponents.all;
 
entity dvi_out is
Generic (
C_FAMILY : string := "spartan3adsp");
Port ( clk : in STD_LOGIC;
ce : in STD_LOGIC;
de_i : in STD_LOGIC;
vsync_i : in STD_LOGIC;
hsync_i : in STD_LOGIC;
red_i : in STD_LOGIC_VECTOR (7 downto 0);
green_i : in STD_LOGIC_VECTOR (7 downto 0);
blue_i : in STD_LOGIC_VECTOR (7 downto 0);
de : out STD_LOGIC;
vsync : out STD_LOGIC;
hsync : out STD_LOGIC;
dvi_data : out STD_LOGIC_VECTOR (11 downto 0);
dvi_clk_p : out STD_LOGIC;
dvi_clk_n : out STD_LOGIC;
reset_n : out STD_LOGIC);
end dvi_out;
 
architecture Behavioral of dvi_out is
signal d1 : STD_LOGIC_VECTOR (11 downto 0);
signal d2 : STD_LOGIC_VECTOR (11 downto 0);
signal d2_r : STD_LOGIC_VECTOR (11 downto 0);
 
begin
 
reset_n <= '1';
d1 <= green_i(3 downto 0) & blue_i;
d2 <= red_i & green_i(7 downto 4);
OUT_Reg : process (clk)
begin
if clk'event and clk = '1' then
de <= de_i;
vsync <= vsync_i;
hsync <= hsync_i;
end if;
end process;
 
V5_GEN : if (C_FAMILY /= "spartan3adsp") generate
R1: for I in 0 to 11 generate
ODDR_inst : ODDR
generic map(
DDR_CLK_EDGE => "SAME_EDGE")
port map(
Q => dvi_data(I),
C => clk,
CE => '1',
D1 => d1(I),
D2 => d2(I),
R => '0',
S => '0');
end generate R1;
ODDR_dvi_clk_p : ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map (
Q => dvi_clk_p,
C => clk,
CE => '1',
D1 => '0',
D2 => '1',
R => '0',
S => '0');
ODDR_dvi_clk_n : ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map (
Q => dvi_clk_n,
C => clk,
CE => '1',
D1 => '1',
D2 => '0',
R => '0',
S => '0');
end generate V5_GEN;
S3ADSP_GEN : if (C_FAMILY = "spartan3adsp") generate
 
Delay_Reg : process (clk)
begin
if (clk'event and (clk = '1')) then
d2_r <= d2;
end if;
end process;
 
R1: for I in 0 to 11 generate
ODDR_inst : ODDR2
generic map (
DDR_ALIGNMENT => "NONE", -- "NONE", "C0" or "C1"
INIT => '1', -- Sets initial state of Q
SRTYPE => "ASYNC") -- Reset type
port map (
Q => dvi_data(I),
C0 => clk,
C1 => not clk,
CE => '1',
D0 => d1(I),
D1 => d2_r(I),
R => '0',
S => '0');
end generate R1;
 
ODDR_dvi_clk_p : ODDR2
generic map (
DDR_ALIGNMENT => "NONE", -- "NONE", "C0" or "C1"
INIT => '1', -- Sets initial state of Q
SRTYPE => "ASYNC") -- Reset type
port map (
Q => dvi_clk_p,
C0 => clk,
C1 => not clk,
CE => '1',
D0 => '0',
D1 => '1',
R => '0',
S => '0');
 
ODDR_dvi_clk_n : ODDR2
generic map (
DDR_ALIGNMENT => "NONE", -- "NONE", "C0" or "C1"
INIT => '1', -- Sets initial state of Q
SRTYPE => "ASYNC") -- Reset type
port map (
Q => dvi_clk_n,
C0 => clk,
C1 => not clk,
CE => '1',
D0 => '1',
D1 => '0',
R => '0',
S => '0');
end generate S3ADSP_GEN;
end Behavioral;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/hsync_gen.vhd
0,0 → 1,99
----------------------------------------------------------------------
---- ----
---- Horizontal generator ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
library UNISIM;
use UNISIM.VComponents.all;
 
entity hsync_gen is
Generic (
C_HCNT_SIZE : natural := 11;
C_BACK_PORCH : natural := 40+8;
C_FRONT_PORCH : natural := 8+8;
C_VIDEO_ACTIVE : natural := 640;
C_HSYNC_PULSE : natural := 96);
Port (
CLK : in std_logic;
RST : in std_logic;
HSYNC_VALUE : out std_logic_vector(C_HCNT_SIZE - 1 downto 0);
HSYNC_EN : in std_logic;
LINE_E : out std_logic;
DE : out std_logic;
HSYNC : out std_logic);
end hsync_gen;
 
architecture Behavioral of hsync_gen is
 
constant c_scan_line : std_logic_vector(C_HCNT_SIZE - 1 downto 0) :=
CONV_STD_LOGIC_VECTOR(C_BACK_PORCH + C_FRONT_PORCH +
C_VIDEO_ACTIVE + C_HSYNC_PULSE, C_HCNT_SIZE);
 
signal pixel_cnt : std_logic_vector(C_HCNT_SIZE - 1 downto 0);
signal hsync_i : std_logic;
signal de_i : std_logic;
signal line_rst : std_logic;
signal rst_i : std_logic;
 
begin
 
rst_i <= line_rst Or (Not HSYNC_EN);
 
hsync_cnt : PROCESS(CLK, rst_i, pixel_cnt)
BEGIN
If RST = '1' Then
pixel_cnt <= (Others => '0');
ElsIf CLK'event And CLK = '1' Then
If rst_i = '1' Then
pixel_cnt <= (Others => '0');
Else
pixel_cnt <= pixel_cnt + 1;
End If;
End If;
END PROCESS;
 
line_rst <= '0' When pixel_cnt < c_scan_line - 1 Else '1' after 1 ns;
hsync_i <= '1' When (pixel_cnt >= 0) And (pixel_cnt < C_HSYNC_PULSE) Else '0';
de_i <= '1' When (pixel_cnt >= C_HSYNC_PULSE + C_BACK_PORCH) And (pixel_cnt <
C_HSYNC_PULSE + C_BACK_PORCH + C_VIDEO_ACTIVE) Else '0';
 
HSYNC <= hsync_i;
DE <= de_i;
LINE_E <= line_rst;
HSYNC_VALUE <= pixel_cnt;
 
end Behavioral;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/npi_eng.vhd
0,0 → 1,260
----------------------------------------------------------------------
---- ----
---- NPI DMA Engine ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use npi_vga_v1_00_b.video_cfg.all;
 
entity npi_eng is
generic (
C_FAMILY : string := "virtex5";
C_VD_ADDR : std_logic_vector := x"00800000";
C_VD_PIXEL_D : natural := 32;
C_VD_STRIDE : natural := 640;
C_VD_WIDTH : natural := 640;
C_VD_HEIGHT : natural := 480;
C_NPI_BURST_SIZE : natural := 256;
C_NPI_ADDR_WIDTH : natural := 32;
C_NPI_DATA_WIDTH : natural := 64;
C_NPI_BE_WIDTH : natural := 8;
C_NPI_RDWDADDR_WIDTH : natural := 4);
port(
 
NPI_Clk : in std_logic;
Sys_Clk : in std_logic;
NPI_RST : in std_logic;
 
NPI_Addr : out std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
NPI_AddrReq : out std_logic;
NPI_AddrAck : in std_logic;
NPI_RNW : out std_logic;
NPI_Size : out std_logic_vector(3 downto 0);
NPI_WrFIFO_Data : out std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
NPI_WrFIFO_BE : out std_logic_vector(C_NPI_BE_WIDTH - 1 downto 0);
NPI_WrFIFO_Push : out std_logic;
NPI_RdFIFO_Data : in std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
NPI_RdFIFO_Pop : out std_logic;
NPI_RdFIFO_RdWdAddr : in std_logic_vector(C_NPI_RDWDADDR_WIDTH - 1 downto 0);
NPI_WrFIFO_Empty : in std_logic;
NPI_WrFIFO_AlmostFull : in std_logic;
NPI_WrFIFO_Flush : out std_logic;
NPI_RdFIFO_Empty : in std_logic;
NPI_RdFIFO_Flush : out std_logic;
NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0);
NPI_RdModWr : out std_logic;
NPI_InitDone : in std_logic;
 
GR_DATA_I : in std_logic_vector(31 downto 0);
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_ADDR : in std_logic_vector(15 downto 0);
GR_RNW : in std_logic;
GR_CS : in std_logic;
 
DMA_INIT : out std_logic;
DMA_DREQ : in std_logic; -- Data request
DMA_DACK : out std_logic; -- Data ack
DMA_RSYNC : in std_logic; -- Synchronization reset (restarts the channel)
DMA_TC : out std_logic; -- Terminal count (the signal is generated at the end of the transfer)
DMA_DATA : out std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
X : out std_logic_vector(7 downto 0));
end entity;
 
architecture arch_npi_eng of npi_eng is
 
constant BYTES_PER_PIXEL : natural := (C_VD_PIXEL_D / 8);
constant VD_STRIDE : natural := C_VD_STRIDE * BYTES_PER_PIXEL;
constant BURST_LENGHT : natural := (C_VD_WIDTH * BYTES_PER_PIXEL) / C_NPI_BURST_SIZE;
signal burst_cnt : integer range 0 to BURST_LENGHT;
signal burst_cnt_one : std_logic;
 
signal line_cnt : integer range 0 to C_VD_HEIGHT;
signal line_cnt_one : std_logic;
 
signal addr_cnt_i : std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
signal line_addr : std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
 
signal NPI_AddrReq_i : std_logic;
signal NPI_RNW_i : std_logic;
signal NPI_RdFIFO_Pop_i : std_logic;
 
signal NPI_RST_i : std_logic;
signal RD_Req : std_logic;
 
signal DMA_DataReq : std_logic;
 
signal dma_dack_d0 : std_logic;
signal dma_dack_d1 : std_logic;
 
signal vd_addr_i : std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
 
BEGIN
 
PROCESS(Sys_Clk)
BEGIN
If Sys_Clk'event And Sys_Clk = '1' Then
If (NPI_RST_i = '1') Then
vd_addr_i <= C_VD_ADDR;
Else
If gr_cs = '1' And gr_rnw = '0' Then
vd_addr_i <= GR_DATA_I;
End If;
End If;
End If;
END PROCESS;
 
PROCESS(NPI_Clk)
BEGIN
If NPI_Clk'event And NPI_Clk = '1' Then
If (NPI_RST_i = '1') Then
burst_cnt <= BURST_LENGHT;
ElsIf NPI_AddrAck = '1' Then
If burst_cnt_one = '1' Then
burst_cnt <= BURST_LENGHT;
Else
burst_cnt <= burst_cnt - 1;
End If;
End If;
End If;
END PROCESS;
 
burst_cnt_one <= '1' When burst_cnt = 1 Else '0';
 
PROCESS(NPI_Clk)
BEGIN
If NPI_Clk'event And NPI_Clk = '1' Then
If (NPI_RST_i = '1') Then
line_cnt <= C_VD_HEIGHT;
ElsIf NPI_AddrAck = '1' And burst_cnt_one = '1' Then
If line_cnt_one = '1' Then
line_cnt <= C_VD_HEIGHT;
Else
line_cnt <= line_cnt - 1;
End If;
End If;
End If;
END PROCESS;
 
line_cnt_one <= '1' When line_cnt = 1 Else '0';
 
PROCESS(NPI_Clk)
BEGIN
If NPI_Clk'event And NPI_Clk = '1' Then
If NPI_RST_i = '1' Then
line_addr <= C_VD_ADDR;
addr_cnt_i <= C_VD_ADDR;
ElsIf NPI_AddrAck = '1' Then
If burst_cnt_one = '1' Then
If line_cnt_one = '1' Then
line_addr <= vd_addr_i;
addr_cnt_i <= vd_addr_i;
Else
line_addr <= line_addr + VD_STRIDE;
addr_cnt_i <= line_addr + VD_STRIDE;
End If;
Else
addr_cnt_i <= addr_cnt_i + C_NPI_BURST_SIZE;
End If;
End If;
End If;
END PROCESS;
 
DMA_DataReq <= DMA_DREQ;
 
NPI_RST_i <= Not NPI_InitDone Or NPI_RST;
 
PROCESS (NPI_Clk)
BEGIN
If NPI_Clk'event And NPI_CLK = '1' Then
If NPI_RST_i = '1' Then
NPI_AddrReq_i <= '0';
ElsIf RD_Req = '1' Then
NPI_AddrReq_i <= '1';
ElsIf NPI_AddrAck = '1' Then
NPI_AddrReq_i <= '0';
End If;
End If;
END PROCESS;
 
NPI_RNW_i <= NPI_AddrReq_i;
NPI_RNW <= NPI_RNW_i;
NPI_AddrReq <= NPI_AddrReq_i;
 
RD_Req <= '1' When NPI_AddrAck = '0' And DMA_DataReq = '1' Else '0';
 
NPI_RdFIFO_Pop_i <= Not NPI_RdFIFO_Empty;
 
NPI_Addr <= addr_cnt_i;
NPI_Size <= get_NPI_Size(C_NPI_DATA_WIDTH, C_NPI_BURST_SIZE);
NPI_WrFIFO_Data <= (Others => '0');
NPI_WrFIFO_BE <= (Others => '0');
NPI_WrFIFO_Push <= '0';
NPI_WrFIFO_Flush <= '0';
NPI_RdFIFO_Flush <= NPI_RST_i;
NPI_RdModWr <= '0';
 
NPI_RdFIFO_Pop <= NPI_RdFIFO_Pop_i;
 
-- Data are fliped
DMA_DATA <= NPI_RdFIFO_Data(31 downto 0) & NPI_RdFIFO_Data(63 downto 32) When C_NPI_DATA_WIDTH = 64
Else NPI_RdFIFO_Data(31 downto 0);
 
PROCESS (NPI_Clk)
BEGIN
If NPI_Clk'event And NPI_CLK = '1' Then
If NPI_RST_i = '1' Then
dma_dack_d0 <= '0';
dma_dack_d1 <= '0';
Else
dma_dack_d0 <= NPI_RdFIFO_Empty;
dma_dack_d1 <= dma_dack_d0;
End If;
End If;
END PROCESS;
 
DMA_DACK <= Not NPI_RdFIFO_Empty When NPI_RdFIFO_Latency = "00" Else
Not dma_dack_d0 When NPI_RdFIFO_Latency = "01" Else
Not dma_dack_d1 When NPI_RdFIFO_Latency = "10" Else '0';
 
DMA_INIT <= NPI_InitDone;
 
X(0) <= NPI_AddrReq_i;
X(1) <= NPI_RNW_i;
X(2) <= NPI_AddrAck;
X(3) <= NPI_RdFIFO_Empty;
X(4) <= NPI_RdFIFO_Pop_i;
 
end arch_npi_eng;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/reclock.vhd
0,0 → 1,118
----------------------------------------------------------------------
---- ----
---- Misc utility ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity resample_r is -- Resample signal at rising edges
port (
Clk : in std_logic; -- A new clock domain
Rst : in std_logic; -- System reset
D_i : in std_logic; -- Input data
D_o : out std_logic); -- Output data with new time domain
end resample_r;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of resample_r is
 
signal r_1 : std_logic; -- Avoid metastability
 
BEGIN
 
PROCESS(Clk, Rst, D_i)
BEGIN
If Rst = '1' Then
r_1 <= '0';
D_o <= '0';
ElsIf Clk'event And Clk = '1' Then
r_1 <= D_i;
D_o <= r_1;
End If;
END PROCESS;
 
END Implementation;
 
-- ********************
-- *** Start det_re ***
-- ********************
 
-- Detects rising edge on signal D_i
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity det_re is -- Run process on the rising edge at signal D_i which belong to Clk1 time domain
port (
Clk : in std_logic; -- Clock
Rst : in std_logic; -- System reset
D_i : in std_logic; -- Input data
D_o : out std_logic); -- Output data with new time domain
end det_re;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of det_re is
 
signal r_1 : std_logic; -- Avoid metastability
 
BEGIN
 
PROCESS(Clk)
BEGIN
If Clk'event And Clk = '1' Then
r_1 <= D_i; -- generates one clock delay
End If;
End PROCESS;
 
D_o <= '1' When (r_1 = '0') And (D_i = '1') Else '0';
 
End Implementation;
 
-- ******************
-- *** End det_re ***
-- ******************
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/fifo.vhd
0,0 → 1,290
----------------------------------------------------------------------
---- ----
---- fifo wrapper ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity d_fifo is
generic (
C_VD_DATA_WIDTH : integer := 64;
C_FAMILY : string := "virtex5");
port (
-- System interface
Sys_Clk : in std_logic; -- Base system clock
NPI_CLK : in std_logic;
Sys_Rst : in std_logic; -- System reset
 
-- DMA Channel interface
-- DMA_CLK : in std_logic; -- DMA clock time domain (the asynchronous FIFO will be used)
DMA_DREQ : out std_logic; -- Data request
DMA_DACK : in std_logic; -- Data ack
DMA_RSYNC : out std_logic; -- Synchronization reset (restarts the channel)
DMA_TC : in std_logic; -- Terminal count (the signal is generated at the end of the transfer)
DMA_DATA : in std_logic_vector(C_VD_DATA_WIDTH - 1 downto 0);
 
-- User interface (the reader side)
USER_CLK : in std_logic; -- User clk is used as an asynchronous read clock
USER_RST : in std_logic;
USER_DREQ : in std_logic;
USER_RD : in std_logic;
USER_DRDY : out std_logic;
 
XXX : out std_logic_vector(3 downto 0);
USER_DATA : out std_logic_vector(31 downto 0));
 
end d_fifo;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of d_fifo is
 
component fifo_sp_64
port (
din : in std_logic_vector(63 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
component fifo_v4_64
port (
din : in std_logic_vector(63 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
component fifo_v5_64
port (
din : in std_logic_vector(63 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
component fifo_sp_32
port (
din : in std_logic_vector(31 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
component fifo_v4_32
port (
din : in std_logic_vector(31 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
component fifo_v5_32
port (
din : in std_logic_vector(31 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
dout : out std_logic_vector(31 downto 0);
empty : out std_logic;
full : out std_logic;
prog_empty : out std_logic;
prog_full : out std_logic);
end component;
 
constant low : std_logic := '0';
constant high : std_logic := '1';
 
signal fifo_prog_full : std_logic;
signal fifo_rst : std_logic;
signal fifo_prog_empty : std_logic;
signal fifo_data_out : std_logic_vector(31 downto 0);
signal fifo_full : std_logic;
signal fifo_empty : std_logic;
signal fifo_wr_en : std_logic;
 
begin -- architecture IMP
 
DMA_DREQ <= '1' When (USER_DREQ = '1') And (fifo_prog_full = '0') Else '0';
fifo_rst <= '1' When (Sys_Rst = '1') Or (USER_RST = '1') Else '0';
USER_DRDY <= Not fifo_prog_empty;
 
fifo_wr_en <= '1' When DMA_DACK = '1' And Sys_Rst = '0' Else '0';
gen_sp : if (C_FAMILY = "spartan3e") Or (C_FAMILY = "spartan3a") generate
sp_fw_64 : If C_VD_DATA_WIDTH = 64 generate
data_fifo : fifo_sp_64
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
sp_fw_32 : If C_VD_DATA_WIDTH = 32 generate
data_fifo : fifo_sp_32
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
End Generate;
 
gen_v4 : if (C_FAMILY = "virtex4") generate
v4_fw_64 : If C_VD_DATA_WIDTH = 64 generate
data_fifo : fifo_v4_64
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
v4_fw_32 : If C_VD_DATA_WIDTH = 32 generate
data_fifo : fifo_v4_32
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
End Generate;
 
gen_v5 : if (C_FAMILY = "virtex5") generate
v5_fw_64 : If C_VD_DATA_WIDTH = 64 generate
data_fifo : fifo_v5_64
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
v5_fw_32 : If C_VD_DATA_WIDTH = 32 generate
data_fifo : fifo_v5_32
port map (
din => DMA_DATA,
rd_clk => User_Clk,
rd_en => USER_RD,
rst => fifo_rst,
wr_clk => NPI_CLK,
wr_en => fifo_wr_en,--DMA_DACK,
dout => fifo_data_out,
empty => fifo_empty,
full => fifo_full,
prog_empty => fifo_prog_empty,
prog_full => fifo_prog_full);
End Generate;
End Generate;
 
USER_DATA <= fifo_data_out;
 
XXX <= fifo_rst & fifo_prog_full & fifo_full & fifo_empty;
 
end implementation;
/trunk/npi_vga_v1_00_b/hdl/vhdl/npi_vga.vhd
0,0 → 1,577
----------------------------------------------------------------------
---- ----
---- NPI VGA Top module ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.video_cfg.all;
 
entity npi_vga is
generic (
C_VD_ADDR : std_logic_vector := x"00800000";
C_VD_STRIDE : natural := 1280;
C_VD_WIDTH : natural := 1280;
C_VD_HEIGHT : integer := 1024;
C_VD_PIXEL_D : natural := 32;
C_VD_PIXEL_DEPTH : natural := 8;
C_VD_H_BP : natural := 48 + 8;
C_VD_H_FP : natural := 8 + 8;
C_VD_H_SYNC_W : natural := 96;
C_VD_H_POL : std_logic := '0';
C_VD_V_BP : natural := 25 + 8;
C_VD_V_FP : natural := 2 + 8;
C_VD_V_SYNC_W : natural := 2;
C_VD_V_POL : std_logic := '0';
 
C_NPI_BURST_SIZE : integer := 256;
C_NPI_ADDR_WIDTH : integer := 32;
C_NPI_DATA_WIDTH : integer := 64;
C_NPI_BE_WIDTH : integer := 8;
C_NPI_RDWDADDR_WIDTH : integer := 4;
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_FAMILY : string := "virtex5";
C_MEM0_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_MEM0_HIGHADDR : std_logic_vector := X"00000000";
C_MEM1_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_MEM1_HIGHADDR : std_logic_vector := X"00000000"
 
);
port(
 
NPI_Clk : in std_logic;
NPI_RST : in std_logic;
 
NPI_Addr : out std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
NPI_AddrReq : out std_logic;
NPI_AddrAck : in std_logic;
NPI_RNW : out std_logic;
NPI_Size : out std_logic_vector(3 downto 0);
NPI_WrFIFO_Data : out std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
NPI_WrFIFO_BE : out std_logic_vector(C_NPI_BE_WIDTH - 1 downto 0);
NPI_WrFIFO_Push : out std_logic;
NPI_RdFIFO_Data : in std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
NPI_RdFIFO_Pop : out std_logic;
NPI_RdFIFO_RdWdAddr : in std_logic_vector(C_NPI_RDWDADDR_WIDTH - 1 downto 0);
NPI_WrFIFO_Empty : in std_logic;
NPI_WrFIFO_AlmostFull : in std_logic;
NPI_WrFIFO_Flush : out std_logic;
NPI_RdFIFO_Empty : in std_logic;
NPI_RdFIFO_Flush : out std_logic;
NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0);
NPI_RdModWr : out std_logic;
NPI_InitDone : in std_logic;
 
INTR : out std_logic;
VIDEO_CLK : in std_logic; -- LCD Clock signal
VIDEO_VSYNC : out std_logic;
VIDEO_HSYNC : out std_logic;
VIDEO_DE : out std_logic;
VIDEO_CLK_OUT : out std_logic;
VIDEO_R : out std_logic_vector(C_VD_PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(C_VD_PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(C_VD_PIXEL_DEPTH - 1 downto 0);
X : out std_logic_vector(7 downto 0);
X1 : out std_logic;
X2 : out std_logic;
X3 : out std_logic;
X4 : out std_logic;
X5 : out std_logic;
X6 : out std_logic;
X7 : out std_logic;
X8 : out std_logic;
X9 : out std_logic;
X10 : out std_logic;
 
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
);
end entity;
 
architecture arch_npi_vga OF npi_vga IS
 
constant c_vcnt_range : natural := C_VD_V_BP + C_VD_V_FP + C_VD_HEIGHT + C_VD_V_SYNC_W;
constant C_VCNT_SIZE : natural := log2(c_vcnt_range);
constant c_hcnt_range : natural := C_VD_H_BP + C_VD_H_FP + C_VD_WIDTH + C_VD_H_SYNC_W;
constant C_HCNT_SIZE : natural := log2(c_hcnt_range);
 
component plbbr is
generic (
C_SPLB_AWIDTH : integer;
C_SPLB_DWIDTH : integer;
C_SPLB_NUM_MASTERS : integer;
C_SPLB_MID_WIDTH : integer;
C_SPLB_NATIVE_DWIDTH : integer;
C_SPLB_P2P : integer;
C_SPLB_SUPPORT_BURSTS : integer;
C_SPLB_SMALLEST_MASTER : integer;
C_SPLB_CLK_PERIOD_PS : integer;
C_FAMILY : string;
C_MEM0_BASEADDR : std_logic_vector;
C_MEM0_HIGHADDR : std_logic_vector;
C_MEM1_BASEADDR : std_logic_vector;
C_MEM1_HIGHADDR : std_logic_vector);
port (
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_DATA_I0 : in std_logic_vector(31 downto 0);
GR_DATA_I1 : in std_logic_vector(31 downto 0);
GR_ADDR : out std_logic_vector(15 downto 2);
GR_RNW : out std_logic;
GR_CS : out std_logic_vector(1 downto 0);
 
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1));
end component;
 
constant BYTES_PER_PIXEL : natural := (C_VD_PIXEL_D / 8);
constant VD_STRIDE : natural := C_VD_STRIDE * BYTES_PER_PIXEL;
constant BURST_LENGHT : natural := (C_VD_WIDTH * BYTES_PER_PIXEL) / C_NPI_BURST_SIZE;
 
component graphic is
Generic(
C_FAMILY : string;
C_VD_DATA_WIDTH : integer;
PIXEL_DEPTH : integer;
PIXEL_WIDTH : natural;
 
C_VD_V_POL : std_logic;
C_VCNT_SIZE : integer;
C_VBACK_PORCH : natural;
C_VFRONT_PORCH : natural;
C_VVIDEO_ACTIVE : natural;
C_VSYNC_PULSE : natural;
 
C_VD_H_POL : std_logic;
C_HCNT_SIZE : natural;
C_HBACK_PORCH : natural;
C_HFRONT_PORCH : natural;
C_HVIDEO_ACTIVE : natural;
C_HSYNC_PULSE : natural);
port (
-- System interface
Sys_Clk : in std_logic; -- Base system clock
NPI_CLK : in std_logic;
 
Sys_Rst : in std_logic; -- System reset
 
VIDEO_CLK : in std_logic; -- LCD Clock signal
 
VIDEO_VSYNC : out std_logic;
VIDEO_HSYNC : out std_logic;
VIDEO_DE : out std_logic;
VIDEO_CLK_OUT : out std_logic;
 
VIDEO_R : out std_logic_vector(0 to PIXEL_DEPTH - 1);
VIDEO_G : out std_logic_vector(0 to PIXEL_DEPTH - 1);
VIDEO_B : out std_logic_vector(0 to PIXEL_DEPTH - 1);
 
INTR : out std_logic;
 
DMA_INIT : in std_logic;
DMA_DACK : in std_logic;
DMA_DATA : in std_logic_vector(0 to C_VD_DATA_WIDTH - 1);
DMA_DREQ : out std_logic;
DMA_RSYNC : out std_logic;
DMA_TC : in std_logic;
 
GR_DATA_I : in std_logic_vector(31 downto 0);
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_ADDR : in std_logic_vector(15 downto 0);
GR_RNW : in std_logic;
GR_CS : in std_logic;
 
X : out std_logic_vector(7 downto 0));
end component;
 
component npi_eng is
generic (
C_FAMILY : string;
C_VD_ADDR : std_logic_vector;
C_VD_PIXEL_D : natural;
C_VD_STRIDE : integer;
C_VD_WIDTH : integer;
C_VD_HEIGHT : integer;
C_NPI_BURST_SIZE : integer;
C_NPI_ADDR_WIDTH : integer;
C_NPI_DATA_WIDTH : integer;
C_NPI_BE_WIDTH : integer;
C_NPI_RDWDADDR_WIDTH : integer);
port(
 
NPI_Clk : in std_logic;
Sys_Clk : in std_logic;
NPI_RST : in std_logic;
 
NPI_Addr : out std_logic_vector(C_NPI_ADDR_WIDTH-1 downto 0);
NPI_AddrReq : out std_logic;
NPI_AddrAck : in std_logic;
NPI_RNW : out std_logic;
NPI_Size : out std_logic_vector(3 downto 0);
NPI_WrFIFO_Data : out std_logic_vector(C_NPI_DATA_WIDTH-1 downto 0);
NPI_WrFIFO_BE : out std_logic_vector(C_NPI_BE_WIDTH-1 downto 0);
NPI_WrFIFO_Push : out std_logic;
NPI_RdFIFO_Data : in std_logic_vector(C_NPI_DATA_WIDTH-1 downto 0);
NPI_RdFIFO_Pop : out std_logic;
NPI_RdFIFO_RdWdAddr : in std_logic_vector(C_NPI_RDWDADDR_WIDTH-1 downto 0);
NPI_WrFIFO_Empty : in std_logic;
NPI_WrFIFO_AlmostFull : in std_logic;
NPI_WrFIFO_Flush : out std_logic;
NPI_RdFIFO_Empty : in std_logic;
NPI_RdFIFO_Flush : out std_logic;
NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0);
NPI_RdModWr : out std_logic;
NPI_InitDone : in std_logic;
 
GR_DATA_I : in std_logic_vector(31 downto 0);
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_ADDR : in std_logic_vector(15 downto 0);
GR_RNW : in std_logic;
GR_CS : in std_logic;
DMA_INIT : out std_logic;
DMA_DREQ : in std_logic; -- Data request
DMA_DACK : out std_logic; -- Data ack
DMA_RSYNC : in std_logic; -- Synchronization reset (restarts the channel)
DMA_TC : out std_logic; -- Terminal count (the signal is generated at the end of the transfer)
DMA_DATA : out std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
X : out std_logic_vector(7 downto 0));
end component;
 
signal burst_cnt : integer range 0 to C_VD_WIDTH / C_NPI_BURST_SIZE;
signal burst_cnt_one : std_logic;
 
signal line_cnt : integer range 0 to C_VD_HEIGHT;
signal line_cnt_one : std_logic;
 
signal addr_cnt_i : std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
signal line_addr : std_logic_vector(C_NPI_ADDR_WIDTH - 1 downto 0);
 
signal NPI_AddrReq_i : std_logic;
signal NPI_RNW_i : std_logic;
signal NPI_RdFIFO_Pop_i : std_logic;
 
signal NPI_RST_i : std_logic;
signal RD_Req : std_logic;
 
signal DMA_DataReq : std_logic;
 
signal dma_dack_d0 : std_logic;
signal dma_dack_d1 : std_logic;
 
signal dma_dreq : std_logic;
signal dma_dack : std_logic;
signal dma_rsync : std_logic;
signal dma_tc : std_logic;
signal dma_data : std_logic_vector(C_NPI_DATA_WIDTH - 1 downto 0);
signal dma_init : std_logic;
signal gr_data_i : std_logic_vector(31 downto 0);
signal gr_data_o0 : std_logic_vector(31 downto 0);
signal gr_data_o1 : std_logic_vector(31 downto 0);
signal gr_addr : std_logic_vector(15 downto 0);
signal gr_rnw : std_logic;
signal gr_cs : std_logic_vector(0 to 1);
 
BEGIN
 
npi_eng_inst : npi_eng
generic map (
C_FAMILY => C_FAMILY,
C_VD_ADDR => C_VD_ADDR,
C_VD_PIXEL_D => C_VD_PIXEL_D,
C_VD_STRIDE => C_VD_STRIDE,
C_VD_WIDTH => C_VD_WIDTH,
C_VD_HEIGHT => C_VD_HEIGHT,
C_NPI_BURST_SIZE => C_NPI_BURST_SIZE,
C_NPI_ADDR_WIDTH => C_NPI_ADDR_WIDTH,
C_NPI_DATA_WIDTH => C_NPI_DATA_WIDTH,
C_NPI_BE_WIDTH => C_NPI_BE_WIDTH,
C_NPI_RDWDADDR_WIDTH => C_NPI_RDWDADDR_WIDTH)
port map (
NPI_Clk => NPI_Clk,
Sys_Clk => SPLB_Clk,
NPI_RST => NPI_Rst,
 
NPI_Addr => NPI_Addr,
NPI_AddrReq => NPI_AddrReq,
NPI_AddrAck => NPI_AddrAck,
NPI_RNW => NPI_RNW,
NPI_Size => NPI_Size,
NPI_WrFIFO_Data => NPI_WrFIFO_Data,
NPI_WrFIFO_BE => NPI_WrFIFO_BE,
NPI_WrFIFO_Push => NPI_WrFIFO_Push,
NPI_RdFIFO_Data => NPI_RdFIFO_Data,
NPI_RdFIFO_Pop => NPI_RdFIFO_Pop,
NPI_RdFIFO_RdWdAddr => NPI_RdFIFO_RdWdAddr,
NPI_WrFIFO_Empty => NPI_WrFIFO_Empty,
NPI_WrFIFO_AlmostFull => NPI_WrFIFO_AlmostFull,
NPI_WrFIFO_Flush => NPI_WrFIFO_Flush,
NPI_RdFIFO_Empty => NPI_RdFIFO_Empty,
NPI_RdFIFO_Flush => NPI_RdFIFO_Flush,
NPI_RdFIFO_Latency => NPI_RdFIFO_Latency,
NPI_RdModWr => NPI_RdModWr,
NPI_InitDone => NPI_InitDone,
 
GR_DATA_I => gr_data_i,
GR_DATA_O => gr_data_o1,
GR_ADDR => gr_addr,
GR_RNW => gr_rnw,
GR_CS => gr_cs(1),
 
DMA_INIT => dma_init,
DMA_DREQ => dma_dreq,
DMA_DACK => dma_dack,
DMA_RSYNC => dma_rsync,
DMA_TC => dma_tc,
DMA_DATA => dma_data,
X => X);
 
graphic_ctrl_inst : graphic
Generic map (
 
C_FAMILY => C_FAMILY,
C_VD_DATA_WIDTH => C_NPI_DATA_WIDTH,
PIXEL_DEPTH => C_VD_PIXEL_DEPTH,
PIXEL_WIDTH => C_VD_PIXEL_D,
 
C_VCNT_SIZE => C_VCNT_SIZE,
C_VD_V_POL => C_VD_V_POL,
C_VBACK_PORCH => C_VD_V_BP,
C_VFRONT_PORCH => C_VD_V_FP,
C_VVIDEO_ACTIVE => C_VD_HEIGHT,
C_VSYNC_PULSE => C_VD_V_SYNC_W,
 
C_HCNT_SIZE => C_HCNT_SIZE,
C_VD_H_POL => C_VD_H_POL,
C_HBACK_PORCH => C_VD_H_BP,
C_HFRONT_PORCH => C_VD_H_FP,
C_HVIDEO_ACTIVE => C_VD_WIDTH,
C_HSYNC_PULSE => C_VD_H_SYNC_W)
 
port map (
-- System interface
Sys_Clk => SPLB_Clk,
NPI_CLK => NPI_CLK,
Sys_Rst => NPI_Rst,
 
VIDEO_CLK => VIDEO_CLK,
 
VIDEO_VSYNC => VIDEO_VSYNC,
VIDEO_HSYNC => VIDEO_HSYNC,
VIDEO_DE => VIDEO_DE,
VIDEO_CLK_OUT => VIDEO_CLK_OUT,
 
VIDEO_R => VIDEO_R,
VIDEO_G => VIDEO_G,
VIDEO_B => VIDEO_B,
 
INTR => INTR,
DMA_INIT => dma_init,
DMA_DACK => dma_dack,
DMA_DATA => dma_data,
DMA_DREQ => dma_dreq,
DMA_RSYNC => dma_rsync,
DMA_TC => dma_tc,
 
GR_DATA_I => gr_data_i,
GR_DATA_O => gr_data_o0,
GR_ADDR => gr_addr,
GR_RNW => gr_rnw,
GR_CS => gr_cs(0),
 
X => open);
 
plbbr_inst : plbbr
generic map (
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH,
C_SPLB_P2P => C_SPLB_P2P,
C_SPLB_SUPPORT_BURSTS => C_SPLB_SUPPORT_BURSTS,
C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER,
C_SPLB_CLK_PERIOD_PS => C_SPLB_CLK_PERIOD_PS,
C_FAMILY => C_FAMILY,
C_MEM0_BASEADDR => C_MEM0_BASEADDR,
C_MEM0_HIGHADDR => C_MEM0_HIGHADDR,
C_MEM1_BASEADDR => C_MEM1_BASEADDR,
C_MEM1_HIGHADDR => C_MEM1_HIGHADDR)
 
port map (
GR_DATA_O => gr_data_i,
GR_DATA_I0 => gr_data_o0,
GR_DATA_I1 => gr_data_o1,
GR_ADDR => gr_addr(15 downto 2),
GR_RNW => gr_rnw,
GR_CS => gr_cs,
 
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ);
 
END arch_npi_vga;
 
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/video_cfg.vhd
0,0 → 1,141
----------------------------------------------------------------------
---- ----
---- Cfg package ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
 
package video_cfg is
 
type video_params_t is record
x_size : natural;
y_size : natural;
pixel_depth : natural;
h_back_porch : natural;
h_front_porch : natural;
h_sync_width : natural;
h_sync_pol : std_logic;
v_back_porch : natural;
v_front_porch : natural;
v_sync_width : natural;
v_sync_pol : std_logic;
end record;
 
function log2(x : natural) return integer;
function get_NPI_Size(constant C_NPI_DATA_WIDTH : natural; constant C_NPI_BURST_SIZE : natural) return std_logic_vector;
 
end video_cfg;
 
package body video_cfg is
 
function log2(x : natural) return integer is
variable i : integer := 0;
begin
if x = 0 then
return 0;
else
while 2**i < x loop
i := i+1;
end loop;
return i;
end if;
end function log2;
 
function get_NPI_Size(constant C_NPI_DATA_WIDTH : natural; constant C_NPI_BURST_SIZE : natural) return std_logic_vector is
BEGIN
Case C_NPI_BURST_SIZE is
When 4 =>
If C_NPI_DATA_WIDTH = 64 Then
ASSERT FALSE
REPORT "4 byte NPI Burst size is not supported for 64bit interface!"
SEVERITY ERROR;
return x"F";
Else
return x"0";
End If;
When 8 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"0";
Else
REPORT "8 byte NPI Burst size is not supported for 32bit interface!"
SEVERITY ERROR;
return x"F";
End If;
 
When 16 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"1";
Else
return x"1";
End If;
 
When 32 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"2";
Else
return x"2";
End If;
When 64 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"3";
Else
return x"3";
End If;
 
When 128 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"4";
Else
return x"4";
End If;
When 256 =>
If C_NPI_DATA_WIDTH = 64 Then
return x"5";
Else
ASSERT FALSE
REPORT "NPI Burst size is not supported!"
SEVERITY ERROR;
return x"F";
End If;
 
When Others =>
ASSERT FALSE
REPORT "NPI Burst size is not supported!"
SEVERITY ERROR;
return x"F";
End Case;
END FUNCTION get_NPI_Size;
 
end video_cfg;
/trunk/npi_vga_v1_00_b/hdl/vhdl/video_clk_gen.vhd
0,0 → 1,95
----------------------------------------------------------------------
---- ----
---- Clock output buffer ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.all;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity video_clk_gen is
Generic (
POLARITY : natural := 1); -- Define polarity of the output clock signal
port (
CLK : in std_logic; -- Input clock
RST : in std_logic; -- System reset
CLK_OUT : out std_logic);
end video_clk_gen;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of video_clk_gen is
 
constant gnd : std_logic := '0';
constant vcc : std_logic := '1';
 
component FDDRRSE
port (
Q : out std_logic;
D0 : in std_logic;
D1 : in std_logic;
C0 : in std_logic;
C1 : in std_logic;
CE : in std_logic;
R : in std_logic;
S : in std_logic);
end component;
 
signal clk_n : std_logic;
signal d0_i : std_logic;
signal d1_i : std_logic;
 
BEGIN
 
clk_n <= Not clk;
d0_i <= '1' When POLARITY = 1 Else '0';
d1_i <= '0' When POLARITY = 1 Else '1';
 
GEN_PIXEL_CLK : FDDRRSE
port map (
Q => CLK_OUT,
D0 => d0_i,
D1 => d1_i,
C0 => clk,
C1 => clk_n,
CE => vcc,
R => gnd,
S => gnd);
 
end implementation;
/trunk/npi_vga_v1_00_b/hdl/vhdl/video_ctrl.vhd
0,0 → 1,329
----------------------------------------------------------------------
---- ----
---- Video Control Module ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity video_ctrl is
Generic(
C_FAMILY : string := "virtex5";
PIXEL_DEPTH : integer := 6;
 
C_VCNT_SIZE : natural := 10;
C_VBACK_PORCH : natural := 25+8;
C_VFRONT_PORCH : natural := 2+8;
C_VVIDEO_ACTIVE : natural := 480;
C_VSYNC_PULSE : natural := 2;
 
C_HCNT_SIZE : natural := 10;
C_HBACK_PORCH : natural := 40+8;
C_HFRONT_PORCH : natural := 8+8+31;
C_HVIDEO_ACTIVE : natural := 640;
C_HSYNC_PULSE : natural := 96);
 
port (
-- System interface
Sys_Rst : in std_logic; -- System reset
 
VSYNC_POL : in std_logic;
HSYNC_POL : in std_logic;
DE_POL : in std_logic;
 
X_HSYNC_DELAY : in std_logic_vector(3 downto 0);
X_VSYNC_DELAY : in std_logic_vector(3 downto 0);
X_DE_DELAY : in std_logic_vector(3 downto 0);
VSYNC : out std_logic;
HSYNC : out std_logic;
DE : out std_logic;
VSYNC_VALUE : out std_logic_vector(C_VCNT_SIZE - 1 downto 0);
HSYNC_VALUE : out std_logic_vector(C_HCNT_SIZE - 1 downto 0);
LAST_LINE : out std_logic;
FRAME_END : out std_logic;
 
VIDEO_EN : in std_logic;
VIDEO_DATA_R : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_DATA_G : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_DATA_B : in std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_CLK_IN : in std_logic; -- LCD Clock signal
VIDEO_VSYNC : out std_logic;
VIDEO_HSYNC : out std_logic;
VIDEO_DE : out std_logic;
VIDEO_CLK_OUT : out std_logic;
VIDEO_R : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_G : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
VIDEO_B : out std_logic_vector(PIXEL_DEPTH - 1 downto 0);
 
X_0 : out std_logic;
X_1 : out std_logic;
X_2 : out std_logic;
X_3 : out std_logic;
X_4 : out std_logic;
X_5 : out std_logic);
 
end video_ctrl;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of video_ctrl is
 
constant arch : string := "SPARTAN";
 
component hsync_gen is
Generic (
C_HCNT_SIZE : natural;
C_BACK_PORCH : natural;
C_FRONT_PORCH : natural;
C_VIDEO_ACTIVE : natural;
C_HSYNC_PULSE : natural);
Port (
CLK : in std_logic;
RST : in std_logic;
HSYNC_VALUE : out std_logic_vector(C_HCNT_SIZE - 1 downto 0);
HSYNC_EN : in std_logic;
LINE_E : out std_logic;
DE : out std_logic;
HSYNC : out std_logic);
end component;
 
component vsync_gen is
Generic(
C_VCNT_SIZE : natural;
C_BACK_PORCH : natural;
C_FRONT_PORCH : natural;
C_VIDEO_ACTIVE : natural;
C_VSYNC_PULSE : natural);
Port(
CLK : in std_logic;
RST : in std_logic;
VSYNC_VALUE : out std_logic_vector(C_VCNT_SIZE - 1 downto 0);
LCD_EN : in std_logic;
LINE_E : in std_logic;
FRAME_E : out std_logic;
LAST_LINE : out std_logic;
V_DE : out std_logic;
VSYNC : out std_logic);
end component;
 
component video_clk_gen is
Generic (
POLARITY : natural); -- Define polarity of the output clock signal
port (
CLK : in std_logic; -- Input clock
RST : in std_logic; -- System reset
CLK_OUT : out std_logic);
end component;
 
component video_clk_gen_v4 is
Generic (
POLARITY : natural); -- Define polarity of the output clock signal
port (
CLK : in std_logic; -- Input clock
RST : in std_logic; -- System reset
CLK_OUT : out std_logic);
end component;
 
component delay is
port (
CLK : in std_logic; -- Input clock
ADD_DELAY : in std_logic_vector(3 downto 0);
D_IN : in std_logic;
D_OUT : out std_logic);
end component;
 
constant R_MSB : natural := 0;
constant G_MSB : natural := 6;
constant B_MSB : natural := 12;
 
constant VCC : std_logic := '1';
constant GND : std_logic := '0';
 
signal line_e_i : std_logic;
signal hsync_de : std_logic;
signal hsync_i : std_logic;
signal hsync_d : std_logic;
signal vsync_de : std_logic;
signal vsync_i : std_logic;
signal vsync_d : std_logic;
 
signal de_i : std_logic;
signal de_d : std_logic;
 
BEGIN
 
gen_sp_cp : If (C_FAMILY = "spartan3e") Or (C_FAMILY = "spartan3a") generate
begin
video_clk_gen_i : entity video_clk_gen
Generic map (
POLARITY => 1) -- Define polarity of the output clock signal
port map (
CLK => VIDEO_CLK_IN, -- Input clock
RST => sys_rst, -- System reset
CLK_OUT => VIDEO_CLK_OUT);
end generate;
 
gen_v_cp : If (C_FAMILY = "virtex4") Or (C_FAMILY = "virtex5fx") Or
(C_FAMILY = "virtex5lx") generate
begin
 
video_clk_gen_i : entity video_clk_gen_v4
Generic map (
POLARITY => 1) -- Define polarity of the output clock signal
port map (
CLK => VIDEO_CLK_IN, -- Input clock
RST => sys_rst, -- System reset
CLK_OUT => VIDEO_CLK_OUT);
 
end generate;
 
hsync_g : hsync_gen
Generic map (
C_HCNT_SIZE => C_HCNT_SIZE,
C_BACK_PORCH => C_HBACK_PORCH,
C_FRONT_PORCH => C_HFRONT_PORCH,
C_VIDEO_ACTIVE => C_HVIDEO_ACTIVE,
C_HSYNC_PULSE => C_HSYNC_PULSE)
Port map(
CLK => VIDEO_CLK_IN,
RST => sys_rst,
HSYNC_VALUE => hsync_value,
HSYNC_EN => VIDEO_EN,
LINE_E => line_e_i,
DE => hsync_de,
HSYNC => hsync_i);
 
hsync_delay : delay
port map (
CLK => VIDEO_CLK_IN,
ADD_DELAY => X_HSYNC_DELAY,
D_IN => hsync_i,
D_OUT => hsync_d);
 
vsync_g : vsync_gen
Generic map (
C_VCNT_SIZE => C_VCNT_SIZE,
C_BACK_PORCH => C_VBACK_PORCH,
C_FRONT_PORCH => C_VFRONT_PORCH,
C_VIDEO_ACTIVE => C_VVIDEO_ACTIVE,
C_VSYNC_PULSE => C_VSYNC_PULSE)
Port map(
CLK => VIDEO_CLK_IN,
RST => sys_rst,
VSYNC_VALUE => vsync_value,
LCD_EN => VIDEO_EN,
LINE_E => line_e_i,
FRAME_E => frame_end,
LAST_LINE => last_line,
V_DE => vsync_de,
VSYNC => vsync_i);
 
vsync_delay : delay
port map (
CLK => VIDEO_CLK_IN,
ADD_DELAY => X_VSYNC_DELAY,
D_IN => vsync_i,
D_OUT => vsync_d);
 
de_i <= vsync_de And hsync_de; -- Valid video
DE <= de_i;
 
de_delay : delay
port map (
CLK => VIDEO_CLK_IN,
ADD_DELAY => X_DE_DELAY,
D_IN => de_i,
D_OUT => de_d);
 
GEN_VSYNC_OUT : PROCESS(VIDEO_CLK_IN, VSYNC_POL, vsync_d)
BEGIN
If VIDEO_CLK_IN'event And VIDEO_CLK_IN = '1' Then
If VSYNC_POL = '1' Then
VIDEO_VSYNC <= vsync_d;
Else
VIDEO_VSYNC <= Not vsync_d;
End If;
End If;
END PROCESS;
 
GEN_HSYNC_OUT : PROCESS(VIDEO_CLK_IN, HSYNC_POL, hsync_d)
BEGIN
If VIDEO_CLK_IN'event And VIDEO_CLK_IN = '1' Then
If HSYNC_POL = '1' Then
VIDEO_HSYNC <= hsync_d;
Else
VIDEO_HSYNC <= Not hsync_d;
End If;
End If;
END PROCESS;
 
GEN_DE_OUT : PROCESS(VIDEO_CLK_IN, DE_POL, de_d)
BEGIN
If VIDEO_CLK_IN'event And VIDEO_CLK_IN = '1' Then
If DE_POL = '1' Then
VIDEO_DE <= de_d;
Else
VIDEO_DE <= Not de_d;
End If;
End If;
END PROCESS;
 
GEN_DATA_OUT : PROCESS(VIDEO_CLK_IN, DE_POL, de_d)
BEGIN
If Sys_Rst = '1' Then
VIDEO_R <= (Others => '0');
VIDEO_G <= (Others => '0');
VIDEO_B <= (Others => '0');
ElsIf VIDEO_CLK_IN'event And VIDEO_CLK_IN = '1' Then
If de_d = '1' Then
VIDEO_R <= VIDEO_DATA_R;
VIDEO_G <= VIDEO_DATA_G;
VIDEO_B <= VIDEO_DATA_B;
Else
VIDEO_R <= (Others => '0');
VIDEO_G <= (Others => '0');
VIDEO_B <= (Others => '0');
End If;
End If;
END PROCESS;
 
end implementation;
 
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/user_logic.vhd
0,0 → 1,161
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Sun Apr 13 14:29:06 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity user_logic is
generic (
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32;
C_NUM_MEM : integer := 2);
port (
GR_DATA_O : out std_logic_vector(31 downto 0);
GR_DATA_I0 : in std_logic_vector(31 downto 0);
GR_DATA_I1 : in std_logic_vector(31 downto 0);
GR_ADDR : out std_logic_vector(15 downto 2);
GR_RNW : out std_logic;
GR_CS : out std_logic_vector(1 downto 0);
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_SLV_AWIDTH-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_MEM-1);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_MEM-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_MEM-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic);
 
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
 
end entity user_logic;
 
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
 
architecture IMP of user_logic is
 
signal mem_select : std_logic_vector(0 to 1);
signal mem_read_enable : std_logic;
signal mem_read_enable_dly1 : std_logic;
signal mem_read_req : std_logic;
signal mem_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal mem_read_ack_dly1 : std_logic;
signal mem_read_ack : std_logic;
signal mem_write_ack : std_logic;
signal gr_cs_i : std_logic_vector(1 downto 0);
signal gr_rnw_i : std_logic;
 
begin
 
mem_select <= Bus2IP_CS;
mem_read_enable <= ( Bus2IP_CS(0) or Bus2IP_CS(1) ) and Bus2IP_RNW;
mem_read_ack <= mem_read_ack_dly1;
mem_write_ack <= ( Bus2IP_CS(0) or Bus2IP_CS(1) ) and not(Bus2IP_RNW);
 
-- implement single clock wide read request
mem_read_req <= mem_read_enable and not(mem_read_enable_dly1);
BRAM_RD_REQ_PROC : process( Bus2IP_Clk ) is
begin
 
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
mem_read_enable_dly1 <= '0';
else
mem_read_enable_dly1 <= mem_read_enable;
end if;
end if;
 
end process BRAM_RD_REQ_PROC;
 
-- this process generates the read acknowledge 1 clock after read enable
-- is presented to the BRAM block. The BRAM block has a 1 clock delay
-- from read enable to data out.
BRAM_RD_ACK_PROC : process( Bus2IP_Clk ) is
begin
 
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
mem_read_ack_dly1 <= '0';
else
mem_read_ack_dly1 <= mem_read_req;
end if;
end if;
 
end process BRAM_RD_ACK_PROC;
 
PROCESS(Bus2IP_Clk)
BEGIN
If Bus2IP_Clk'event And Bus2IP_Clk = '1' Then
If Bus2IP_Reset = '1' Then
gr_cs_i <= (Others => '0');
gr_rnw_i <= '0';
Else
If mem_write_ack = '1' Then
gr_cs_i <= Bus2IP_CS;
gr_rnw_i <= '0';
gr_data_o <= Bus2IP_Data;
gr_addr <= Bus2IP_Addr(16 to 29);
ElsIf mem_read_enable = '1' Then
gr_cs_i <= Bus2IP_CS;
gr_rnw_i <= '1';
gr_addr <= Bus2IP_Addr(16 to 29);
Else
gr_cs_i <= (Others => '0');
End If;
End If;
End If;
END PROCESS;
 
GR_CS <= gr_cs_i;
GR_RNW <= gr_rnw_i;
 
mem_ip2bus_data <= GR_DATA_I0 When Bus2IP_CS(0) = '1' Else
GR_DATA_I1 When Bus2IP_CS(1) = '1' Else
(Others => '0');
 
IP2Bus_Data <= (others => '0');--mem_ip2bus_data when mem_read_ack = '1' else
 
 
IP2Bus_WrAck <= mem_write_ack;
IP2Bus_RdAck <= mem_read_ack;
IP2Bus_Error <= '0';
 
end IMP;
/trunk/npi_vga_v1_00_b/hdl/vhdl/vsync_gen.vhd
0,0 → 1,108
----------------------------------------------------------------------
---- ----
---- Vertical sync generator ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity vsync_gen is
Generic(
C_VCNT_SIZE : natural := 11;
C_BACK_PORCH : natural := 25+8;
C_FRONT_PORCH : natural := 2+8;
C_VIDEO_ACTIVE : natural := 480;
C_VSYNC_PULSE : natural := 2);
Port(
CLK : in std_logic;
RST : in std_logic;
VSYNC_VALUE : out std_logic_vector(C_VCNT_SIZE - 1 downto 0);
LCD_EN : in std_logic;
LINE_E : in std_logic;
FRAME_E : out std_logic;
LAST_LINE : out std_logic;
V_DE : out std_logic;
VSYNC : out std_logic);
end vsync_gen;
 
architecture Behavioral of vsync_gen is
 
constant c_frame : std_logic_vector(C_VCNT_SIZE - 1 downto 0) :=
CONV_STD_LOGIC_VECTOR(C_BACK_PORCH + C_FRONT_PORCH
+ C_VIDEO_ACTIVE + C_VSYNC_PULSE, C_VCNT_SIZE);
 
 
signal line_cnt : std_logic_vector(C_VCNT_SIZE - 1 downto 0);
signal vsync_i : std_logic;
signal de_i : std_logic;
signal frame_rst : std_logic;
signal rst_i : std_logic;
signal last_line_i : std_logic;
 
begin
 
rst_i <= frame_rst Or Not LCD_EN;
 
PROCESS(CLK, rst_i, line_cnt, LINE_E)
BEGIN
If RST = '1' Then
line_cnt <= (Others => '0');
ElsIf CLK'event And CLK = '1' Then
If rst_i = '1' Then
line_cnt <= (Others => '0');
ElsIf LINE_E = '1' Then
line_cnt <= line_cnt + '1';
End If;
End If;
END PROCESS;
 
last_line_i <= '0' When line_cnt < c_frame - 1 Else '1' after 1 ns;
vsync_i <= '1' When (line_cnt >= 0) And (line_cnt < C_VSYNC_PULSE) Else '0';
de_i <= '1' When (line_cnt >= C_VSYNC_PULSE + C_BACK_PORCH) And (line_cnt <
C_VSYNC_PULSE + C_BACK_PORCH + C_VIDEO_ACTIVE) Else '0';
 
frame_rst <= last_line_i And LINE_E;
 
VSYNC <= vsync_i;
V_DE <= de_i;
FRAME_E <= frame_rst;
VSYNC_VALUE <= line_cnt;
LAST_LINE <= last_line_i;
 
end Behavioral;
 
/trunk/npi_vga_v1_00_b/hdl/vhdl/delay.vhd
0,0 → 1,77
----------------------------------------------------------------------
---- ----
---- Shift register ----
---- ----
---- Author(s): ----
---- - Slavek Valach, s.valach@dspfpga.com ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2008 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.0 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU General Public License for more details.----
---- ----
---- You should have received a copy of the GNU General ----
---- Public License along with this source; if not, download it ----
---- from http://www.gnu.org/licenses/gpl.txt ----
---- ----
----------------------------------------------------------------------
 
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.all;
 
-------------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
 
entity delay is
port (
CLK : in std_logic; -- Input clock
ADD_DELAY : in std_logic_vector(3 downto 0);
D_IN : in std_logic;
D_OUT : out std_logic);
end delay;
 
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of delay is
 
constant gnd : std_logic := '0';
constant vcc : std_logic := '1';
 
BEGIN
 
SRL16_I : SRL16
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
D => D_IN,
Clk => Clk,
A0 => ADD_DELAY(0),
A1 => ADD_DELAY(1),
A2 => ADD_DELAY(2),
A3 => ADD_DELAY(3),
Q => D_OUT);
 
end implementation;
/trunk/npi_vga_v1_00_b/data/npi_vga_v2_1_0.bbd
0,0 → 1,11
C_FAMILY C_NPI_DATA_WIDTH FILES
virtex4 32 fifo_v4_32.ngc
virtex4 64 fifo_v4_64.ngc
virtex5 32 fifo_v5_32.ngc
virtex5 64 fifo_v5_64.ngc
virtex5lx 32 fifo_v5_32.ngc
virtex5lx 64 fifo_v5_64.ngc
spartan3e 32 fifo_sp_32.ngc
spartan3e 64 fifo_sp_64.ngc
 
 
/trunk/npi_vga_v1_00_b/data/npi_vga_v2_1_0.mui
0,0 → 1,84
<?xml version="1.0" encoding="ISO-8859-1"?>
 
<!--
########################################################################
##
## Copyright (c) 2005 Xilinx, Inc. All rights reserved.
##
########################################################################
-->
 
<!DOCTYPE doc SYSTEM "../../ipdialog.dtd" [
<!ENTITY C_DATA_BITS '
<widget id="C_DATA_BITS">
<key>C_DATA_BITS</key>
<label>Number of Data Bits in a Serial Frame</label>
<tip></tip>
</widget>
'>
<!ENTITY C_CLK_FREQ '
<widget id="C_CLK_FREQ">
<key>C_CLK_FREQ</key>
<label>OPB Clock Frequency </label>
<tip></tip>
<unit>Hz</unit>
</widget>
'>
<!ENTITY C_BAUDRATE '
<widget id="C_BAUDRATE">
<key>C_BAUDRATE</key>
<label>UART Lite Baud Rate </label>
<tip></tip>
</widget>
'>
<!ENTITY C_USE_PARITY '
<widget id="C_USE_PARITY">
<key>C_USE_PARITY</key>
<label>Use Parity </label>
<tip>Select parity or no parity</tip>
</widget>
'>
<!ENTITY C_ODD_PARITY '
<widget id="C_ODD_PARITY">
<key>C_ODD_PARITY</key>
<label>Parity Type </label>
<tip>Select odd or even parity</tip>
</widget>
'>
]>
 
<doc>
<view id="User">
<display>User</display>
<group id="All">
<display>All</display>
<item>&C_BAUDRATE;</item>
<item>&C_DATA_BITS;</item>
<item>&C_USE_PARITY;</item>
<item>&C_ODD_PARITY;</item>
</group>
</view>
<view id="System">
<display>System</display>
<group id="Addresses">
<display>Addresses</display>
<item>&C_BASEADDR;</item>
<item>&C_HIGHADDR;</item>
</group>
<group id="PLB">
<display>PLB</display>
<item>&C_SPLB_DWIDTH;</item>
<item>&C_SPLB_AWIDTH;</item>
<item>&C_SPLB_P2P;</item>
<item>&C_SPLB_MID_WIDTH;</item>
<item>&C_SPLB_NATIVE_DWIDTH;</item>
<item>&C_SPLB_NUM_MASTERS;</item>
<item>&C_SPLB_SUPPORT_BURSTS;</item>
<item>&C_SPLB_CLK_FREQ_HZ;</item>
</group>
<group id="Hidden">
<display>Hidden</display>
<item>&C_FAMILY;</item>
</group>
</view>
</doc>
/trunk/npi_vga_v1_00_b/data/npi_vga_v2_1_0.pao
0,0 → 1,38
################################################################################
##
## Copyright (c) 1995-2002 Xilinx, Inc. All rights reserved.
##
## mch_opb_ipif.pao
##
## Peripheral Analyze Order file
##
################################################################################
 
lib npi_vga_v1_00_b video_cfg vhdl
lib npi_vga_v1_00_b npi_eng vhdl
lib npi_vga_v1_00_b npi_vga vhdl
lib npi_vga_v1_00_b graphics vhdl
lib npi_vga_v1_00_b hsync_gen vhdl
lib npi_vga_v1_00_b vsync_gen vhdl
lib npi_vga_v1_00_b reclock vhdl
lib npi_vga_v1_00_b video_clk_gen vhdl
lib npi_vga_v1_00_b video_clk_gen_v4 vhdl
lib npi_vga_v1_00_b delay vhdl
lib npi_vga_v1_00_b fifo vhdl
lib npi_vga_v1_00_b video_ctrl vhdl
lib npi_vga_v1_00_b data_rgb vhdl
 
 
lib proc_common_v2_00_a proc_common_pkg vhdl
lib proc_common_v2_00_a ipif_pkg vhdl
lib proc_common_v2_00_a or_muxcy vhdl
lib proc_common_v2_00_a or_gate128 vhdl
lib proc_common_v2_00_a family_support vhdl
lib proc_common_v2_00_a pselect_f vhdl
lib proc_common_v2_00_a counter_f vhdl
lib plbv46_slave_single_v1_00_a plb_address_decoder vhdl
lib plbv46_slave_single_v1_00_a plb_slave_attachment vhdl
lib plbv46_slave_single_v1_00_a plbv46_slave_single vhdl
lib npi_vga_v1_00_b user_logic vhdl
lib npi_vga_v1_00_b plbbr vhdl
 
/trunk/npi_vga_v1_00_b/data/npi_vga_v2_1_0.mpd
0,0 → 1,149
## Written by SaVa (c)DFC Design
 
BEGIN npi_vga
 
## Peripheral Options
OPTION RUN_NGCBUILD = TRUE
OPTION STYLE = MIX
OPTION IMP_NETLIST = TRUE
OPTION HDL = VHDL
OPTION DESC = npi_vga
OPTION LONG_DESC = Simple NPI VGA Controller
OPTION ARCH_SUPPORT_MAP = (virtex2p = DEVELOPMENT, virtex4 = DEVELOPMENT, spartan3a = DEVELOPMENT, spartan3e = DEVELOPMENT, virtex5fx = DEVELOPMENT, virtex5lx = DEVELOPMENT)
OPTION IP_GROUP = MICROBLAZE:PPC:USER
OPTION IPTYPE = PERIPHERAL
 
## Bus Interfaces
BUS_INTERFACE BUS = MPMC_PIM, BUS_STD = XIL_NPI, BUS_TYPE = INITIATOR
BUS_INTERFACE BUS = SPLB, BUS_TYPE = SLAVE, BUS_STD = PLBV46
 
## Generics for VHDL or Parameters for Verilog
PARAMETER C_VD_PIXEL_DEPTH = 6, DT = integer
 
 
PARAMETER C_NPI_BURST_SIZE = 128, DT = integer, range = (256, 128, 64, 32, 16, 8)
PARAMETER C_NPI_ADDR_WIDTH = 32, DT = INTEGER
PARAMETER C_NPI_DATA_WIDTH = 64, DT = integer, range = (64, 32)
PARAMETER C_NPI_BE_WIDTH = 8, DT = integer, range = (8, 4)
PARAMETER C_NPI_RDWDADDR_WIDTH = 4, DT = integer
 
PARAMETER C_SPLB_AWIDTH = 32, DT = INTEGER, BUS = SPLB, ASSIGNMENT = CONSTANT
PARAMETER C_SPLB_DWIDTH = 32, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128)
PARAMETER C_SPLB_NUM_MASTERS = 8, DT = INTEGER, BUS = SPLB, RANGE = (1:16)
PARAMETER C_SPLB_MID_WIDTH = 1, DT = INTEGER, BUS = SPLB, RANGE = (1:4)
PARAMETER C_SPLB_NATIVE_DWIDTH = 32, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128), ASSIGNMENT = CONSTANT
PARAMETER C_SPLB_P2P = 0, DT = INTEGER, BUS = SPLB, RANGE = (0, 1)
PARAMETER C_SPLB_SUPPORT_BURSTS = 0, DT = INTEGER, BUS = SPLB, RANGE = (0, 1), ASSIGNMENT = CONSTANT
PARAMETER C_SPLB_SMALLEST_MASTER = 32, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128)
PARAMETER C_SPLB_CLK_PERIOD_PS = 10000, DT = INTEGER, BUS = SPLB
PARAMETER C_FAMILY = virtex5, DT = STRING
PARAMETER C_MEM0_BASEADDR = 0xffffffff, DT = std_logic_vector(0 to 31), BUS = SPLB, ADDRESS = BASE, PAIR = C_MEM0_HIGHADDR, MIN_SIZE = 0x10000, ASSIGNMENT = REQUIRE
PARAMETER C_MEM0_HIGHADDR = 0x00000000, DT = std_logic_vector(0 to 31), BUS = SPLB, ADDRESS = HIGH, PAIR = C_MEM0_BASEADDR, ASSIGNMENT = REQUIRE
PARAMETER C_MEM1_BASEADDR = 0xffffffff, DT = std_logic_vector(0 to 31), BUS = SPLB, ADDRESS = BASE, PAIR = C_MEM1_HIGHADDR, MIN_SIZE = 0x10000, ASSIGNMENT = REQUIRE
PARAMETER C_MEM1_HIGHADDR = 0x00000000, DT = std_logic_vector(0 to 31), BUS = SPLB, ADDRESS = HIGH, PAIR = C_MEM1_BASEADDR, ASSIGNMENT = REQUIRE
PARAMETER C_VD_ADDR = 0x00800000, DT = std_logic_vector
PARAMETER C_VD_STRIDE = 640, DT = integer
PARAMETER C_VD_WIDTH = 640, DT = integer
PARAMETER C_VD_HEIGHT = 480, DT = integer
PARAMETER C_VD_PIXEL_D = 32, DT = integer, range = (8, 16, 32)
PARAMETER C_VD_H_BP = 56, DT = integer
PARAMETER C_VD_H_FP = 16, DT = integer
PARAMETER C_VD_H_SYNC_W = 96, DT = integer
PARAMETER C_VD_H_POL = 0, DT = std_logic
PARAMETER C_VD_V_BP = 33, DT = integer
PARAMETER C_VD_V_FP = 10, DT = integer
PARAMETER C_VD_V_SYNC_W = 2, DT = integer
PARAMETER C_VD_V_POL = 0, DT = std_logic
 
## Ports
#
PORT NPI_Clk = "", DIR = I
PORT NPI_RST = "", DIR = I
PORT NPI_Addr = Addr, DIR = O, BUS = MPMC_PIM, VEC = [31:0]
PORT NPI_AddrReq = AddrReq, DIR = O, BUS = MPMC_PIM
PORT NPI_AddrAck = AddrAck, DIR = I, BUS = MPMC_PIM
PORT NPI_RNW = RNW, DIR = O, BUS = MPMC_PIM
PORT NPI_Size = Size, DIR = O, BUS = MPMC_PIM, VEC = [3:0]
PORT NPI_RdModWr = RdModWr, DIR = O, BUS = MPMC_PIM
PORT NPI_WrFIFO_Data = WrFIFO_Data, DIR = O, BUS = MPMC_PIM, VEC = [(C_NPI_DATA_WIDTH-1):0]
PORT NPI_WrFIFO_BE = WrFIFO_BE, DIR = O, BUS = MPMC_PIM, VEC = [(C_NPI_DATA_WIDTH/8-1):0]
PORT NPI_WrFIFO_Push = WrFIFO_Push, DIR = O, BUS = MPMC_PIM
PORT NPI_RdFIFO_Data = RdFIFO_Data, DIR = I, BUS = MPMC_PIM, VEC = [(C_NPI_DATA_WIDTH-1):0]
PORT NPI_RdFIFO_Pop = RdFIFO_Pop, DIR = O, BUS = MPMC_PIM
PORT NPI_RdFIFO_RdWdAddr = RdFIFO_RdWdAddr, DIR = I, BUS = MPMC_PIM, VEC = [3:0]
PORT NPI_WrFIFO_Empty = WrFIFO_Empty, DIR = I, BUS = MPMC_PIM
PORT NPI_WrFIFO_AlmostFull= WrFIFO_AlmostFull, DIR = I, BUS = MPMC_PIM
PORT NPI_WrFIFO_Flush = WrFIFO_Flush, DIR = O, BUS = MPMC_PIM
PORT NPI_RdFIFO_Empty = RdFIFO_Empty, DIR = I, BUS = MPMC_PIM
PORT NPI_RdFIFO_Flush = RdFIFO_Flush, DIR = O, BUS = MPMC_PIM
PORT NPI_RdFIFO_Latency = RDFIFO_Latency, DIR = I, BUS = MPMC_PIM, VEC = [1:0]
PORT NPI_InitDone = InitDone, DIR = I, BUS = MPMC_PIM
 
PORT VIDEO_CLK = "", DIR = I
PORT VIDEO_VSYNC = "", DIR = O
PORT VIDEO_HSYNC = "", DIR = O
PORT VIDEO_DE = "", DIR = O
PORT VIDEO_CLK_OUT = "", DIR = O
PORT VIDEO_R = "", DIR = O, VEC = [C_VD_PIXEL_DEPTH - 1 : 0]
PORT VIDEO_G = "", DIR = O, VEC = [C_VD_PIXEL_DEPTH - 1 : 0]
PORT VIDEO_B = "", DIR = O, VEC = [C_VD_PIXEL_DEPTH - 1 : 0]
 
PORT X1 = "", DIR = O
PORT X2 = "", DIR = O
PORT X3 = "", DIR = O
PORT X4 = "", DIR = O
PORT X5 = "", DIR = O
PORT X6 = "", DIR = O
PORT X7 = "", DIR = O
PORT X8 = "", DIR = O
PORT X9 = "", DIR = O
 
PORT X = "", DIR = O, VEC = [7 : 0]
 
PORT SPLB_Clk = "", DIR = I, SIGIS = CLK, BUS = SPLB
PORT SPLB_Rst = SPLB_Rst, DIR = I, SIGIS = RST, BUS = SPLB
PORT PLB_ABus = PLB_ABus, DIR = I, VEC = [0:31], BUS = SPLB
PORT PLB_UABus = PLB_UABus, DIR = I, VEC = [0:31], BUS = SPLB
PORT PLB_PAValid = PLB_PAValid, DIR = I, BUS = SPLB
PORT PLB_SAValid = PLB_SAValid, DIR = I, BUS = SPLB
PORT PLB_rdPrim = PLB_rdPrim, DIR = I, BUS = SPLB
PORT PLB_wrPrim = PLB_wrPrim, DIR = I, BUS = SPLB
PORT PLB_masterID = PLB_masterID, DIR = I, VEC = [0:(C_SPLB_MID_WIDTH-1)], BUS = SPLB
PORT PLB_abort = PLB_abort, DIR = I, BUS = SPLB
PORT PLB_busLock = PLB_busLock, DIR = I, BUS = SPLB
PORT PLB_RNW = PLB_RNW, DIR = I, BUS = SPLB
PORT PLB_BE = PLB_BE, DIR = I, VEC = [0:((C_SPLB_DWIDTH/8)-1)], BUS = SPLB
PORT PLB_MSize = PLB_MSize, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_size = PLB_size, DIR = I, VEC = [0:3], BUS = SPLB
PORT PLB_type = PLB_type, DIR = I, VEC = [0:2], BUS = SPLB
PORT PLB_lockErr = PLB_lockErr, DIR = I, BUS = SPLB
PORT PLB_wrDBus = PLB_wrDBus, DIR = I, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB
PORT PLB_wrBurst = PLB_wrBurst, DIR = I, BUS = SPLB
PORT PLB_rdBurst = PLB_rdBurst, DIR = I, BUS = SPLB
PORT PLB_wrPendReq = PLB_wrPendReq, DIR = I, BUS = SPLB
PORT PLB_rdPendReq = PLB_rdPendReq, DIR = I, BUS = SPLB
PORT PLB_wrPendPri = PLB_wrPendPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_rdPendPri = PLB_rdPendPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_reqPri = PLB_reqPri, DIR = I, VEC = [0:1], BUS = SPLB
PORT PLB_TAttribute = PLB_TAttribute, DIR = I, VEC = [0:15], BUS = SPLB
PORT Sl_addrAck = Sl_addrAck, DIR = O, BUS = SPLB
PORT Sl_SSize = Sl_SSize, DIR = O, VEC = [0:1], BUS = SPLB
PORT Sl_wait = Sl_wait, DIR = O, BUS = SPLB
PORT Sl_rearbitrate = Sl_rearbitrate, DIR = O, BUS = SPLB
PORT Sl_wrDAck = Sl_wrDAck, DIR = O, BUS = SPLB
PORT Sl_wrComp = Sl_wrComp, DIR = O, BUS = SPLB
PORT Sl_wrBTerm = Sl_wrBTerm, DIR = O, BUS = SPLB
PORT Sl_rdDBus = Sl_rdDBus, DIR = O, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB
PORT Sl_rdWdAddr = Sl_rdWdAddr, DIR = O, VEC = [0:3], BUS = SPLB
PORT Sl_rdDAck = Sl_rdDAck, DIR = O, BUS = SPLB
PORT Sl_rdComp = Sl_rdComp, DIR = O, BUS = SPLB
PORT Sl_rdBTerm = Sl_rdBTerm, DIR = O, BUS = SPLB
PORT Sl_MBusy = Sl_MBusy, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MWrErr = Sl_MWrErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MRdErr = Sl_MRdErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
PORT Sl_MIRQ = Sl_MIRQ, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB
 
 
 
END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.