OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/sdram_controller/trunk/sdram.vhd
458,34 → 458,18
dram_cs <= '0';
data_o <= data1_o when addr_save(0) = '1' else data0_o;
-- capture the addr when op is captured by cmd fsm
-- capture addr, data_i and op for the cmd fsm
-- op needs capture during AR or it might get dropped
process (clk_000)
begin
if (rising_edge(clk_000)) then
if (cap_en = '1') then
addr_save <= addr;
end if;
end if;
end process;
-- capture data_i when op is captured by cmd fsm
process (clk_000)
begin
if (rising_edge(clk_000)) then
if (cap_en = '1') then
datai_save <= data_i;
end if;
end if;
end process;
process (clk_000)
begin
if (rising_edge(clk_000)) then
if (cap_en = '1') then
op_save <= op;
end if;
end if;
end process;
end process;
-- command state machine
process (clk_000)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.