OpenCores
URL https://opencores.org/ocsvn/lwrisc/lwrisc/trunk

Subversion Repositories lwrisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/trunk/QU2/db/ClaiRISC_core.(1).cnf.hdb
0,0 → 1,10
 
 
 
 
+­–’Y·\Sˉ!€Í–“xפ³Ñ½iˆf°L“žæܦyòÍS +¯¿M&¯‘ðÚð2à¼Ü½›v/ñÖýûøyðð/¡Ñ1‘sÔ#|ÏÓ{iÄÖˆEÖó}Ü¿C»5š¬¼tŠk \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.rtlv.hdb
0,0 → 1,3
+€=Âø-kJ¤=_>uêdèÀ6hÚà^ß,hÁª„Yk™…;É,üšE"¡Ãk§¿
/trunk/QU2/db/ClaiRISC_core.(3).cnf.hdb
0,0 → 1,5
 
 
 
 
 
/trunk/QU2/db/ClaiRISC_core.(9).cnf.cdb
0,0 → 1,2
+t“ÎÌo’™Lg3¹þ«®Õu}MñúãúÀòt‹D$Î-Þ"è?º±ŸAá´gaø©ÌŠD \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core_cmp.qrpt --- trunk/QU2/db/ClaiRISC_core.asm.qmsg (nonexistent) +++ trunk/QU2/db/ClaiRISC_core.asm.qmsg (revision 10) @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 4.2 Build 157 12/07/2004 SJ Full Version " "Info: Version 4.2 Build 157 12/07/2004 SJ Full Version" { } { } 0} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 10 16:27:15 2008 " "Info: Processing started: Mon Mar 10 16:27:15 2008" { } { } 0} } { } 4} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core " "Info: Command: quartus_asm --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core" { } { } 0} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 10 16:27:19 2008 " "Info: Processing ended: Mon Mar 10 16:27:19 2008" { } { } 0} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Info: Elapsed time: 00:00:04" { } { } 0} } { } 0}
/trunk/QU2/db/ClaiRISC_core.(5).cnf.hdb
0,0 → 1,8
 
+åÁ)K®Ø¼®–EŠÏO×îe=þÿÿxºÆÌl@,ÄÁî~ñ>þÎŽ!žþ@†gpÈ[!­Èk`%L@i¨’× ` ¤«_H|pH§Ÿ{<xÞàå°b $ó|]ƒƒÝ]!J”÷Š_ºV¢T" +5Ï=È?4 >$2ªJEû£Îy°*N  +vG8,ØÙÃÕ×±¢'þ- PŠd?ƒyºâéæé \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.cmp0.ddb
0,0 → 1,7
 
 
 
+=üh‚Þ`Ã<&íU“¼ä£w~‹1|e¯;Ar˜a>ø'ØZ, \ No newline at end of file
/trunk/QU2/db/altsyncram_u8r.tdf
0,0 → 1,213
--altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone" ENABLE_RUNTIME_MOD="NO" INIT_FILE="init_file.mif" NUMWORDS_A=128 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="UNREGISTERED" WIDTH_A=8 WIDTH_BYTEENA_A=1 WIDTHAD_A=7 address_a clock0 q_a q_b
--VERSION_BEGIN 4.2 cbx_altsyncram 2004:11:16:15:31:02:SJ cbx_cycloneii 2004:08:25:19:39:42:SJ cbx_lpm_add_sub 2004:10:25:10:56:48:SJ cbx_lpm_compare 2004:10:18:11:29:46:SJ cbx_lpm_decode 2004:08:15:21:16:20:SJ cbx_lpm_mux 2004:08:15:21:16:24:SJ cbx_mgl 2004:10:26:10:32:18:SJ cbx_stratix 2004:09:23:18:28:34:SJ cbx_stratixii 2004:08:10:15:01:36:SJ cbx_util_mgl 2004:09:29:16:04:00:SJ VERSION_END
 
 
-- Copyright (C) 1988-2002 Altera Corporation
-- Any megafunction design, and related netlist (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only
-- to program PLD devices (but not masked PLD devices) from Altera. Any
-- other use of such megafunction design, netlist, support information,
-- device programming or simulation file, or any other related documentation
-- or information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to the
-- intellectual property, including patents, copyrights, trademarks, trade
-- secrets, or maskworks, embodied in any such megafunction design, netlist,
-- support information, device programming or simulation file, or any other
-- related documentation or information provided by Altera or a megafunction
-- partner, remains with Altera, the megafunction partner, or their respective
-- licensors. No other licenses, including any licenses needed under any third
-- party's intellectual property, are provided herein.
 
 
PARAMETERS
(
PORT_A_ADDRESS_WIDTH = 1,
PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
PORT_A_DATA_WIDTH = 1,
PORT_B_ADDRESS_WIDTH = 1,
PORT_B_BYTE_ENABLE_MASK_WIDTH = 1,
PORT_B_DATA_WIDTH = 1
);
FUNCTION cyclone_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbrewe)
WITH ( CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, INIT_FILE, INIT_FILE_LAYOUT, LOGICAL_RAM_NAME, mem_init0, mem_init1, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH, PORT_A_BYTE_ENABLE_CLEAR, PORT_A_BYTE_ENABLE_MASK_WIDTH, PORT_A_DATA_IN_CLEAR, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_WRITE_ENABLE_CLEAR, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH, PORT_B_BYTE_ENABLE_CLEAR, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH, PORT_B_DATA_IN_CLEAR, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_ENABLE_WRITE_ENABLE_CLEAR, PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE)
RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]);
 
--synthesis_resources = M4K 1
SUBDESIGN altsyncram_u8r
(
address_a[6..0] : input;
clock0 : input;
q_a[7..0] : output;
q_b[0..0] : output;
)
VARIABLE
ram_block1a0 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 0,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a1 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 1,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a2 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 2,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a3 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 3,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a4 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 4,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a5 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 5,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a6 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 6,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
ram_block1a7 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
INIT_FILE = "init_file.mif",
INIT_FILE_LAYOUT = "port_a",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
OPERATION_MODE = "rom",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_OUT_CLEAR = "none",
PORT_A_DATA_OUT_CLOCK = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 7,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
RAM_BLOCK_TYPE = "auto"
);
 
BEGIN
ram_block1a[7..0].clk0 = clock0;
ram_block1a[0].portaaddr[] = ( address_a[6..0]);
ram_block1a[1].portaaddr[] = ( address_a[6..0]);
ram_block1a[2].portaaddr[] = ( address_a[6..0]);
ram_block1a[3].portaaddr[] = ( address_a[6..0]);
ram_block1a[4].portaaddr[] = ( address_a[6..0]);
ram_block1a[5].portaaddr[] = ( address_a[6..0]);
ram_block1a[6].portaaddr[] = ( address_a[6..0]);
ram_block1a[7].portaaddr[] = ( address_a[6..0]);
q_a[] = ( ram_block1a[7].portadataout[0..0], ram_block1a[6].portadataout[0..0], ram_block1a[5].portadataout[0..0], ram_block1a[4].portadataout[0..0], ram_block1a[3].portadataout[0..0], ram_block1a[2].portadataout[0..0], ram_block1a[1].portadataout[0..0], ram_block1a[0].portadataout[0..0]);
END;
--VALID FILE
/trunk/QU2/db/ClaiRISC_core.fit.qmsg
0,0 → 1,37
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 4.2 Build 157 12/07/2004 SJ Full Version " "Info: Version 4.2 Build 157 12/07/2004 SJ Full Version" { } { } 0} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 10 16:26:50 2008 " "Info: Processing started: Mon Mar 10 16:26:50 2008" { } { } 0} } { } 4}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core " "Info: Command: quartus_fit --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core" { } { } 0}
{ "Info" "IMPP_MPP_USER_DEVICE" "ClaiRISC_core EP1C6Q240C6 " "Info: Selected device EP1C6Q240C6 for design \"ClaiRISC_core\"" { } { } 0}
{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0}
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices. " { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1C12Q240C6 " "Info: Device EP1C12Q240C6 is compatible" { } { } 2} } { } 2}
{ "Info" "IFSAC_FSAC_PINS_MISSING_LOCATION_INFO" "42 42 " "Info: No exact pin location assignment(s) for 42 pins of 42 total pins" { { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[0\] " "Info: Pin wb_din\[0\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[0\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[0] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[0] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[1\] " "Info: Pin wb_din\[1\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[1\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[1] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[1] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[2\] " "Info: Pin wb_din\[2\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[2\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[2] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[2] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[3\] " "Info: Pin wb_din\[3\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[3\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[3] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[3] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[4\] " "Info: Pin wb_din\[4\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[4\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[4] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[4] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[5\] " "Info: Pin wb_din\[5\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[5\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[5] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[5] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[6\] " "Info: Pin wb_din\[6\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[6\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[6] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[6] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "wb_din\[7\] " "Info: Pin wb_din\[7\] not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "wb_din\[7\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_din[7] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { wb_din[7] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_0_ " "Info: Pin out0_out_0_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[0\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[0] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[0] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_1_ " "Info: Pin out0_out_1_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[1\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[1] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[1] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_2_ " "Info: Pin out0_out_2_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[2\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[2] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[2] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_3_ " "Info: Pin out0_out_3_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[3\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[3] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[3] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_4_ " "Info: Pin out0_out_4_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[4\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[4] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[4] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_5_ " "Info: Pin out0_out_5_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[5\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[5] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[5] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_6_ " "Info: Pin out0_out_6_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[6\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[6] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[6] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out0_out_7_ " "Info: Pin out0_out_7_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2941 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out0\[7\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out0[7] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out0[7] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_0_ " "Info: Pin out1_out_0_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[0\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[0] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[0] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_1_ " "Info: Pin out1_out_1_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[1\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[1] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[1] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_2_ " "Info: Pin out1_out_2_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[2\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[2] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[2] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_3_ " "Info: Pin out1_out_3_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[3\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[3] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[3] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_4_ " "Info: Pin out1_out_4_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[4\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[4] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[4] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_5_ " "Info: Pin out1_out_5_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[5\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[5] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[5] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_6_ " "Info: Pin out1_out_6_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[6\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[6] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[6] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "out1_out_7_ " "Info: Pin out1_out_7_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "out1\[7\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { out1[7] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { out1[7] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "clk_in " "Info: Pin clk_in not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { clk } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "rst_in " "Info: Pin rst_in not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2937 12 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "rst" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { rst } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { rst } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_0_ " "Info: Pin in1_in_0_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[0\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[0] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[0] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_1_ " "Info: Pin in1_in_1_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[1\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[1] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[1] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_7_ " "Info: Pin in1_in_7_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[7\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[7] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[7] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_0_ " "Info: Pin in0_in_0_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[0\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[0] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[0] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_1_ " "Info: Pin in0_in_1_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[1\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[1] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[1] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_2_ " "Info: Pin in1_in_2_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[2\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[2] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[2] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_3_ " "Info: Pin in1_in_3_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[3\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[3] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[3] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_4_ " "Info: Pin in1_in_4_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[4\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[4] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[4] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_5_ " "Info: Pin in1_in_5_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[5\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[5] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[5] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in1_in_6_ " "Info: Pin in1_in_6_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2940 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in1\[6\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in1[6] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in1[6] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_7_ " "Info: Pin in0_in_7_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[7\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[7] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[7] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_2_ " "Info: Pin in0_in_2_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[2\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[2] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[2] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_3_ " "Info: Pin in0_in_3_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[3\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[3] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[3] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_4_ " "Info: Pin in0_in_4_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[4\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[4] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[4] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_5_ " "Info: Pin in0_in_5_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[5\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[5] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[5] } "NODE_NAME" } } } 0} { "Info" "IFSAC_FSAC_PIN_MISSING_LOCATION_INFO" "in0_in_6_ " "Info: Pin in0_in_6_ not assigned to an exact location on the device" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "in0\[6\]" } } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[6] } "NODE_NAME" } "" } } { "D:/LWRISC/QU2/ClaiRISC_core.fld" "" { Floorplan "D:/LWRISC/QU2/ClaiRISC_core.fld" "" "" { in0[6] } "NODE_NAME" } } } 0} } { } 0}
{ "Info" "ITAN_TDC_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ITAN_TDC_ASSUMED_DEFAULT_REQUIREMENT" "fmax 1 MHz " "Info: Assuming a global fmax requirement of 1 MHz" { } { } 0} { "Info" "ITAN_TDC_NO_DEFAULT_REQUIREMENT" "tsu " "Info: Not setting a global tsu requirement" { } { } 0} { "Info" "ITAN_TDC_NO_DEFAULT_REQUIREMENT" "tco " "Info: Not setting a global tco requirement" { } { } 0} { "Info" "ITAN_TDC_NO_DEFAULT_REQUIREMENT" "tpd " "Info: Not setting a global tpd requirement" { } { } 0} } { } 0}
{ "Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Info: Performing register packing on registers with non-logic cell location assignments" { } { } 0}
{ "Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Info: Completed register packing on registers with non-logic cell location assignments" { } { } 0}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Info: Completed User Assigned Global Signals Promotion Operation" { } { } 0}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "clk Global clock in PIN 29 " "Info: Automatically promoted signal \"clk\" to use Global clock in PIN 29" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Info: Completed Auto Global Promotion Operation" { } { } 0}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Info: Starting register packing" { } { } 0}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Info: Started Fast Input/Output/OE register processing" { } { } 0}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Info: Finished Fast Input/Output/OE register processing" { } { } 0}
{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0}
{ "Info" "IFSAC_FSAC_START_LUT_IO_RAM_PACKING" "" "Info: Moving registers into I/O cells, LUTs, and RAM blocks to improve timing and density" { } { } 0}
{ "Info" "IFSAC_FSAC_FINISH_LUT_IO_RAM_PACKING" "" "Info: Finished moving registers into I/O cells, LUTs, and RAM blocks" { } { } 0}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" { } { } 0}
{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "41 unused 3.30 25 16 0 " "Info: Number of I/O pins in group: 41 (unused VREF, 3.30 VCCIO, 25 input, 16 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "LVTTL. " "Info: I/O standards used: LVTTL." { } { } 0} } { } 0} } { } 0}
{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use unused 3 41 " "Info: I/O bank number 1 does not use VREF pins and has unused VCCIO pins. 3 total pin(s) used -- 41 pins available" { } { } 0} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use unused 0 48 " "Info: I/O bank number 2 does not use VREF pins and has unused VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use unused 0 45 " "Info: I/O bank number 3 does not use VREF pins and has unused VCCIO pins. 0 total pin(s) used -- 45 pins available" { } { } 0} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use unused 0 48 " "Info: I/O bank number 4 does not use VREF pins and has unused VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0} } { } 0} } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "0 " "Info: Fitter placement preparation operations ending: elapsed time = 0 seconds" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" { } { } 0}
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" { } { } 0}
{ "Info" "ITDB_FULL_ESTIMATED_DATA_PATH_RESULT" "11.346 ns memory register " "Info: Estimated most critical path is memory to register delay of 11.346 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns wb_mem_man:mem_man\|ram128x8:i_reg_file\|altsyncram_Z1:altsyncram_component_Z\|altsyncram:U1\|altsyncram_hg91:auto_generated\|ram_block1a1~portb_address_reg0 1 MEM M4K_X17_Y13 1 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X17_Y13; Fanout = 1; MEM Node = 'wb_mem_man:mem_man\|ram128x8:i_reg_file\|altsyncram_Z1:altsyncram_component_Z\|altsyncram:U1\|altsyncram_hg91:auto_generated\|ram_block1a1~portb_address_reg0'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|ram_block1a1~portb_address_reg0 } "NODE_NAME" } "" } } { "db/altsyncram_hg91.tdf" "" { Text "D:/LWRISC/QU2/db/altsyncram_hg91.tdf" 79 2 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(3.321 ns) 3.321 ns wb_mem_man:mem_man\|ram128x8:i_reg_file\|altsyncram_Z1:altsyncram_component_Z\|altsyncram:U1\|altsyncram_hg91:auto_generated\|q_b\[1\] 2 MEM M4K_X17_Y13 1 " "Info: 2: + IC(0.000 ns) + CELL(3.321 ns) = 3.321 ns; Loc. = M4K_X17_Y13; Fanout = 1; MEM Node = 'wb_mem_man:mem_man\|ram128x8:i_reg_file\|altsyncram_Z1:altsyncram_component_Z\|altsyncram:U1\|altsyncram_hg91:auto_generated\|q_b\[1\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "3.321 ns" { wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|ram_block1a1~portb_address_reg0 wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|q_b[1] } "NODE_NAME" } "" } } { "db/altsyncram_hg91.tdf" "" { Text "D:/LWRISC/QU2/db/altsyncram_hg91.tdf" 47 2 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.880 ns) + CELL(0.340 ns) 4.541 ns wb_mem_man:mem_man\|dout_1 3 COMB LAB_X12_Y13 26 " "Info: 3: + IC(0.880 ns) + CELL(0.340 ns) = 4.541 ns; Loc. = LAB_X12_Y13; Fanout = 26; COMB Node = 'wb_mem_man:mem_man\|dout_1'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.220 ns" { wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|q_b[1] wb_mem_man:mem_man|dout_1 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 350 16 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.186 ns) + CELL(0.333 ns) 6.060 ns un74_w_alu_res_cout\[1\]~COUT1_1 4 COMB LAB_X14_Y11 2 " "Info: 4: + IC(1.186 ns) + CELL(0.333 ns) = 6.060 ns; Loc. = LAB_X14_Y11; Fanout = 2; COMB Node = 'un74_w_alu_res_cout\[1\]~COUT1_1'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.519 ns" { wb_mem_man:mem_man|dout_1 un74_w_alu_res_cout[1]~COUT1_1 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2991 32 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.062 ns) 6.122 ns un74_w_alu_res_cout\[3\]~COUT1 5 COMB LAB_X14_Y11 2 " "Info: 5: + IC(0.000 ns) + CELL(0.062 ns) = 6.122 ns; Loc. = LAB_X14_Y11; Fanout = 2; COMB Node = 'un74_w_alu_res_cout\[3\]~COUT1'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.062 ns" { un74_w_alu_res_cout[1]~COUT1_1 un74_w_alu_res_cout[3]~COUT1 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2991 32 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.468 ns) 6.590 ns un74_w_alu_res\[5\] 6 COMB LAB_X14_Y11 1 " "Info: 6: + IC(0.000 ns) + CELL(0.468 ns) = 6.590 ns; Loc. = LAB_X14_Y11; Fanout = 1; COMB Node = 'un74_w_alu_res\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.468 ns" { un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2979 27 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.422 ns) + CELL(0.088 ns) 7.100 ns w_alu_res_1_6_1_a\[5\] 7 COMB LAB_X14_Y11 1 " "Info: 7: + IC(0.422 ns) + CELL(0.088 ns) = 7.100 ns; Loc. = LAB_X14_Y11; Fanout = 1; COMB Node = 'w_alu_res_1_6_1_a\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.510 ns" { un74_w_alu_res[5] w_alu_res_1_6_1_a[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2981 30 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.422 ns) + CELL(0.088 ns) 7.610 ns w_alu_res_1_6_1\[5\] 8 COMB LAB_X14_Y11 6 " "Info: 8: + IC(0.422 ns) + CELL(0.088 ns) = 7.610 ns; Loc. = LAB_X14_Y11; Fanout = 6; COMB Node = 'w_alu_res_1_6_1\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.510 ns" { w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2953 28 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.803 ns) + CELL(0.225 ns) 8.638 ns w_alu_res_1_6\[5\] 9 COMB LAB_X13_Y13 3 " "Info: 9: + IC(0.803 ns) + CELL(0.225 ns) = 8.638 ns; Loc. = LAB_X13_Y13; Fanout = 3; COMB Node = 'w_alu_res_1_6\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.028 ns" { w_alu_res_1_6_1[5] w_alu_res_1_6[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2967 26 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.940 ns) + CELL(0.088 ns) 9.666 ns w_z_0_a2_a 10 COMB LAB_X12_Y11 1 " "Info: 10: + IC(0.940 ns) + CELL(0.088 ns) = 9.666 ns; Loc. = LAB_X12_Y11; Fanout = 1; COMB Node = 'w_z_0_a2_a'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.028 ns" { w_alu_res_1_6[5] w_z_0_a2_a } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 3025 18 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.170 ns) + CELL(0.340 ns) 10.176 ns w_z_0_a2 11 COMB LAB_X12_Y11 1 " "Info: 11: + IC(0.170 ns) + CELL(0.340 ns) = 10.176 ns; Loc. = LAB_X12_Y11; Fanout = 1; COMB Node = 'w_z_0_a2'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.510 ns" { w_z_0_a2_a w_z_0_a2 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 3024 16 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.422 ns) + CELL(0.088 ns) 10.686 ns wb_mem_man:mem_man\|status_0_i_0_a\[2\] 12 COMB LAB_X12_Y11 1 " "Info: 12: + IC(0.422 ns) + CELL(0.088 ns) = 10.686 ns; Loc. = LAB_X12_Y11; Fanout = 1; COMB Node = 'wb_mem_man:mem_man\|status_0_i_0_a\[2\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.510 ns" { w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 503 27 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.422 ns) + CELL(0.238 ns) 11.346 ns wb_mem_man:mem_man\|status\[2\] 13 REG LAB_X12_Y11 2 " "Info: 13: + IC(0.422 ns) + CELL(0.238 ns) = 11.346 ns; Loc. = LAB_X12_Y11; Fanout = 2; REG Node = 'wb_mem_man:mem_man\|status\[2\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.660 ns" { wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 500 19 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.679 ns 50.05 % " "Info: Total cell delay = 5.679 ns ( 50.05 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "5.667 ns 49.95 % " "Info: Total interconnect delay = 5.667 ns ( 49.95 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "11.346 ns" { wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|ram_block1a1~portb_address_reg0 wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated|q_b[1] wb_mem_man:mem_man|dout_1 un74_w_alu_res_cout[1]~COUT1_1 un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] w_alu_res_1_6[5] w_z_0_a2_a w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } } 0}
{ "Info" "IFITAPI_FITAPI_VPR_PLACER_ESTIMATED_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Info: Estimated interconnect usage is 2% of the available device resources" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "3 " "Info: Fitter placement operations ending: elapsed time = 3 seconds" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "3 " "Info: Fitter routing operations ending: elapsed time = 3 seconds" { } { } 0}
{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { } { } 0}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Fixed Delay Chain Operation " "Info: Completed Fixed Delay Chain Operation" { } { } 0}
{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" { } { } 0}
{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" { } { } 0}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Delay Chain Operation " "Info: Completed Auto Delay Chain Operation" { } { } 0}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 0 s " "Info: Quartus II Fitter was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 10 16:27:12 2008 " "Info: Processing ended: Mon Mar 10 16:27:12 2008" { } { } 0} { "Info" "IQEXE_ELAPSED_TIME" "00:00:23 " "Info: Elapsed time: 00:00:23" { } { } 0} } { } 0}
/trunk/QU2/db/ClaiRISC_core.(7).cnf.hdb
0,0 → 1,3
 
+Rz»ˆ¯4¿H|¹9EtÃœ":dN]SGMå_à°”¹Žý…)S¦ÜoŒÕ¡eµ¼ãA7ðAHÜ”P5ä*î\ªDs{à \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.tan.qmsg
0,0 → 1,9
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer " "Info: Running Quartus II Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 4.2 Build 157 12/07/2004 SJ Full Version " "Info: Version 4.2 Build 157 12/07/2004 SJ Full Version" { } { } 0} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 10 16:27:22 2008 " "Info: Processing started: Mon Mar 10 16:27:22 2008" { } { } 0} } { } 4}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core --timing_analysis_only " "Info: Command: quartus_tan --import_settings_files=off --export_settings_files=off ClaiRISC -c ClaiRISC_core --timing_analysis_only" { } { } 0}
{ "Warning" "WTAN_NO_CLOCKS" "" "Warning: Found pins functioning as undefined clocks and/or memory enables" { { "Info" "ITAN_NODE_MAP_TO_CLK" "clk " "Info: Assuming node \"clk\" is an undefined clock" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } { "c:/altera/quartus42/bin/Assignment Editor.qase" "" { Assignment "c:/altera/quartus42/bin/Assignment Editor.qase" 1 { { 0 "clk" } } } } } 0} } { } 0}
{ "Info" "ITDB_FULL_CLOCK_REG_RESULT" "clk register w_ek_r\[3\] register wb_mem_man:mem_man\|status\[2\] 66.21 MHz 15.103 ns Internal " "Info: Clock \"clk\" has Internal fmax of 66.21 MHz between source register \"w_ek_r\[3\]\" and destination register \"wb_mem_man:mem_man\|status\[2\]\" (period= 15.103 ns)" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "14.878 ns + Longest register register " "Info: + Longest register to register delay is 14.878 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns w_ek_r\[3\] 1 REG LC_X15_Y13_N2 8 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X15_Y13_N2; Fanout = 8; REG Node = 'w_ek_r\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { w_ek_r[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2950 19 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(2.112 ns) + CELL(0.454 ns) 2.566 ns wb_mem_man:mem_man\|dout7_1 2 COMB LC_X15_Y13_N5 5 " "Info: 2: + IC(2.112 ns) + CELL(0.454 ns) = 2.566 ns; Loc. = LC_X15_Y13_N5; Fanout = 5; COMB Node = 'wb_mem_man:mem_man\|dout7_1'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.566 ns" { w_ek_r[3] wb_mem_man:mem_man|dout7_1 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 522 15 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.226 ns) + CELL(0.454 ns) 4.246 ns wb_mem_man:mem_man\|dout_3_a\[3\] 3 COMB LC_X13_Y11_N4 1 " "Info: 3: + IC(1.226 ns) + CELL(0.454 ns) = 4.246 ns; Loc. = LC_X13_Y11_N4; Fanout = 1; COMB Node = 'wb_mem_man:mem_man\|dout_3_a\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.680 ns" { wb_mem_man:mem_man|dout7_1 wb_mem_man:mem_man|dout_3_a[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 510 21 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.951 ns) + CELL(0.225 ns) 5.422 ns wb_mem_man:mem_man\|dout_3_Z\[3\] 4 COMB LC_X14_Y13_N6 1 " "Info: 4: + IC(0.951 ns) + CELL(0.225 ns) = 5.422 ns; Loc. = LC_X14_Y13_N6; Fanout = 1; COMB Node = 'wb_mem_man:mem_man\|dout_3_Z\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.176 ns" { wb_mem_man:mem_man|dout_3_a[3] wb_mem_man:mem_man|dout_3_Z[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 509 21 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.140 ns) + CELL(0.088 ns) 5.650 ns wb_mem_man:mem_man\|dout_a\[3\] 5 COMB LC_X14_Y13_N7 1 " "Info: 5: + IC(0.140 ns) + CELL(0.088 ns) = 5.650 ns; Loc. = LC_X14_Y13_N7; Fanout = 1; COMB Node = 'wb_mem_man:mem_man\|dout_a\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.228 ns" { wb_mem_man:mem_man|dout_3_Z[3] wb_mem_man:mem_man|dout_a[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 508 19 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.337 ns) + CELL(0.454 ns) 6.441 ns wb_mem_man:mem_man\|dout_3 6 COMB LC_X14_Y13_N5 20 " "Info: 6: + IC(0.337 ns) + CELL(0.454 ns) = 6.441 ns; Loc. = LC_X14_Y13_N5; Fanout = 20; COMB Node = 'wb_mem_man:mem_man\|dout_3'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.791 ns" { wb_mem_man:mem_man|dout_a[3] wb_mem_man:mem_man|dout_3 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 346 16 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.529 ns) + CELL(0.333 ns) 8.303 ns un74_w_alu_res_cout\[3\]~COUT1 7 COMB LC_X14_Y11_N7 2 " "Info: 7: + IC(1.529 ns) + CELL(0.333 ns) = 8.303 ns; Loc. = LC_X14_Y11_N7; Fanout = 2; COMB Node = 'un74_w_alu_res_cout\[3\]~COUT1'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.862 ns" { wb_mem_man:mem_man|dout_3 un74_w_alu_res_cout[3]~COUT1 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2991 32 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.468 ns) 8.771 ns un74_w_alu_res\[5\] 8 COMB LC_X14_Y11_N8 1 " "Info: 8: + IC(0.000 ns) + CELL(0.468 ns) = 8.771 ns; Loc. = LC_X14_Y11_N8; Fanout = 1; COMB Node = 'un74_w_alu_res\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.468 ns" { un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2979 27 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.337 ns) + CELL(0.225 ns) 9.333 ns w_alu_res_1_6_1_a\[5\] 9 COMB LC_X14_Y11_N1 1 " "Info: 9: + IC(0.337 ns) + CELL(0.225 ns) = 9.333 ns; Loc. = LC_X14_Y11_N1; Fanout = 1; COMB Node = 'w_alu_res_1_6_1_a\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.562 ns" { un74_w_alu_res[5] w_alu_res_1_6_1_a[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2981 30 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.140 ns) + CELL(0.088 ns) 9.561 ns w_alu_res_1_6_1\[5\] 10 COMB LC_X14_Y11_N2 6 " "Info: 10: + IC(0.140 ns) + CELL(0.088 ns) = 9.561 ns; Loc. = LC_X14_Y11_N2; Fanout = 6; COMB Node = 'w_alu_res_1_6_1\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.228 ns" { w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2953 28 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.986 ns) + CELL(0.340 ns) 10.887 ns w_alu_res_1_6\[5\] 11 COMB LC_X13_Y13_N0 3 " "Info: 11: + IC(0.986 ns) + CELL(0.340 ns) = 10.887 ns; Loc. = LC_X13_Y13_N0; Fanout = 3; COMB Node = 'w_alu_res_1_6\[5\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.326 ns" { w_alu_res_1_6_1[5] w_alu_res_1_6[5] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2967 26 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.900 ns) + CELL(0.088 ns) 12.875 ns w_z_0_a2_a 12 COMB LC_X12_Y11_N8 1 " "Info: 12: + IC(1.900 ns) + CELL(0.088 ns) = 12.875 ns; Loc. = LC_X12_Y11_N8; Fanout = 1; COMB Node = 'w_z_0_a2_a'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.988 ns" { w_alu_res_1_6[5] w_z_0_a2_a } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 3025 18 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.314 ns) + CELL(0.340 ns) 13.529 ns w_z_0_a2 13 COMB LC_X12_Y11_N7 1 " "Info: 13: + IC(0.314 ns) + CELL(0.340 ns) = 13.529 ns; Loc. = LC_X12_Y11_N7; Fanout = 1; COMB Node = 'w_z_0_a2'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.654 ns" { w_z_0_a2_a w_z_0_a2 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 3024 16 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.339 ns) + CELL(0.454 ns) 14.322 ns wb_mem_man:mem_man\|status_0_i_0_a\[2\] 14 COMB LC_X12_Y11_N1 1 " "Info: 14: + IC(0.339 ns) + CELL(0.454 ns) = 14.322 ns; Loc. = LC_X12_Y11_N1; Fanout = 1; COMB Node = 'wb_mem_man:mem_man\|status_0_i_0_a\[2\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.793 ns" { w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 503 27 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.318 ns) + CELL(0.238 ns) 14.878 ns wb_mem_man:mem_man\|status\[2\] 15 REG LC_X12_Y11_N0 2 " "Info: 15: + IC(0.318 ns) + CELL(0.238 ns) = 14.878 ns; Loc. = LC_X12_Y11_N0; Fanout = 2; REG Node = 'wb_mem_man:mem_man\|status\[2\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "0.556 ns" { wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 500 19 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.249 ns 28.56 % " "Info: Total cell delay = 4.249 ns ( 28.56 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "10.629 ns 71.44 % " "Info: Total interconnect delay = 10.629 ns ( 71.44 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "14.878 ns" { w_ek_r[3] wb_mem_man:mem_man|dout7_1 wb_mem_man:mem_man|dout_3_a[3] wb_mem_man:mem_man|dout_3_Z[3] wb_mem_man:mem_man|dout_a[3] wb_mem_man:mem_man|dout_3 un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] w_alu_res_1_6[5] w_z_0_a2_a w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "14.878 ns" { w_ek_r[3] wb_mem_man:mem_man|dout7_1 wb_mem_man:mem_man|dout_3_a[3] wb_mem_man:mem_man|dout_3_Z[3] wb_mem_man:mem_man|dout_a[3] wb_mem_man:mem_man|dout_3 un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] w_alu_res_1_6[5] w_z_0_a2_a w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } { 0.000ns 2.112ns 1.226ns 0.951ns 0.140ns 0.337ns 1.529ns 0.000ns 0.337ns 0.140ns 0.986ns 1.900ns 0.314ns 0.339ns 0.318ns } { 0.000ns 0.454ns 0.454ns 0.225ns 0.088ns 0.454ns 0.333ns 0.468ns 0.225ns 0.088ns 0.340ns 0.088ns 0.340ns 0.454ns 0.238ns } } } } 0} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.023 ns - Smallest " "Info: - Smallest clock skew is -0.023 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk destination 2.248 ns + Shortest register " "Info: + Shortest clock path from clock \"clk\" to destination register is 2.248 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns clk 1 CLK PIN_29 126 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_29; Fanout = 126; CLK Node = 'clk'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.571 ns) + CELL(0.547 ns) 2.248 ns wb_mem_man:mem_man\|status\[2\] 2 REG LC_X12_Y11_N0 2 " "Info: 2: + IC(0.571 ns) + CELL(0.547 ns) = 2.248 ns; Loc. = LC_X12_Y11_N0; Fanout = 2; REG Node = 'wb_mem_man:mem_man\|status\[2\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.118 ns" { clk wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 500 19 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.677 ns 74.60 % " "Info: Total cell delay = 1.677 ns ( 74.60 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "0.571 ns 25.40 % " "Info: Total interconnect delay = 0.571 ns ( 25.40 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.248 ns" { clk wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.248 ns" { clk clk~out0 wb_mem_man:mem_man|status[2] } { 0.000ns 0.000ns 0.571ns } { 0.000ns 1.130ns 0.547ns } } } } 0} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk source 2.271 ns - Longest register " "Info: - Longest clock path from clock \"clk\" to source register is 2.271 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns clk 1 CLK PIN_29 126 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_29; Fanout = 126; CLK Node = 'clk'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.594 ns) + CELL(0.547 ns) 2.271 ns w_ek_r\[3\] 2 REG LC_X15_Y13_N2 8 " "Info: 2: + IC(0.594 ns) + CELL(0.547 ns) = 2.271 ns; Loc. = LC_X15_Y13_N2; Fanout = 8; REG Node = 'w_ek_r\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.141 ns" { clk w_ek_r[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2950 19 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.677 ns 73.84 % " "Info: Total cell delay = 1.677 ns ( 73.84 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "0.594 ns 26.16 % " "Info: Total interconnect delay = 0.594 ns ( 26.16 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk w_ek_r[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 w_ek_r[3] } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.248 ns" { clk wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.248 ns" { clk clk~out0 wb_mem_man:mem_man|status[2] } { 0.000ns 0.000ns 0.571ns } { 0.000ns 1.130ns 0.547ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk w_ek_r[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 w_ek_r[3] } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0} { "Info" "ITDB_FULL_TCO_DELAY" "0.173 ns + " "Info: + Micro clock to output delay of source is 0.173 ns" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2950 19 0 } } } 0} { "Info" "ITDB_FULL_TSU_DELAY" "0.029 ns + " "Info: + Micro setup delay of destination is 0.029 ns" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 500 19 0 } } } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "14.878 ns" { w_ek_r[3] wb_mem_man:mem_man|dout7_1 wb_mem_man:mem_man|dout_3_a[3] wb_mem_man:mem_man|dout_3_Z[3] wb_mem_man:mem_man|dout_a[3] wb_mem_man:mem_man|dout_3 un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] w_alu_res_1_6[5] w_z_0_a2_a w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "14.878 ns" { w_ek_r[3] wb_mem_man:mem_man|dout7_1 wb_mem_man:mem_man|dout_3_a[3] wb_mem_man:mem_man|dout_3_Z[3] wb_mem_man:mem_man|dout_a[3] wb_mem_man:mem_man|dout_3 un74_w_alu_res_cout[3]~COUT1 un74_w_alu_res[5] w_alu_res_1_6_1_a[5] w_alu_res_1_6_1[5] w_alu_res_1_6[5] w_z_0_a2_a w_z_0_a2 wb_mem_man:mem_man|status_0_i_0_a[2] wb_mem_man:mem_man|status[2] } { 0.000ns 2.112ns 1.226ns 0.951ns 0.140ns 0.337ns 1.529ns 0.000ns 0.337ns 0.140ns 0.986ns 1.900ns 0.314ns 0.339ns 0.318ns } { 0.000ns 0.454ns 0.454ns 0.225ns 0.088ns 0.454ns 0.333ns 0.468ns 0.225ns 0.088ns 0.340ns 0.088ns 0.340ns 0.454ns 0.238ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.248 ns" { clk wb_mem_man:mem_man|status[2] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.248 ns" { clk clk~out0 wb_mem_man:mem_man|status[2] } { 0.000ns 0.000ns 0.571ns } { 0.000ns 1.130ns 0.547ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk w_ek_r[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 w_ek_r[3] } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0}
{ "Info" "ITDB_TSU_RESULT" "wb_mem_man:mem_man\|out1_6 rst clk 6.893 ns register " "Info: tsu for register \"wb_mem_man:mem_man\|out1_6\" (data pin = \"rst\", clock pin = \"clk\") is 6.893 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "9.135 ns + Longest pin register " "Info: + Longest pin to register delay is 9.135 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.135 ns) 1.135 ns rst 1 PIN PIN_215 43 " "Info: 1: + IC(0.000 ns) + CELL(1.135 ns) = 1.135 ns; Loc. = PIN_215; Fanout = 43; PIN Node = 'rst'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { rst } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2937 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(5.169 ns) + CELL(0.340 ns) 6.644 ns G_271 2 COMB LC_X13_Y9_N0 8 " "Info: 2: + IC(5.169 ns) + CELL(0.340 ns) = 6.644 ns; Loc. = LC_X13_Y9_N0; Fanout = 8; COMB Node = 'G_271'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "5.509 ns" { rst G_271 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 3020 13 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.824 ns) + CELL(0.667 ns) 9.135 ns wb_mem_man:mem_man\|out1_6 3 REG LC_X12_Y14_N2 1 " "Info: 3: + IC(1.824 ns) + CELL(0.667 ns) = 9.135 ns; Loc. = LC_X12_Y14_N2; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|out1_6'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.491 ns" { G_271 wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 323 16 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.142 ns 23.45 % " "Info: Total cell delay = 2.142 ns ( 23.45 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "6.993 ns 76.55 % " "Info: Total interconnect delay = 6.993 ns ( 76.55 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "9.135 ns" { rst G_271 wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "9.135 ns" { rst rst~out0 G_271 wb_mem_man:mem_man|out1_6 } { 0.000ns 0.000ns 5.169ns 1.824ns } { 0.000ns 1.135ns 0.340ns 0.667ns } } } } 0} { "Info" "ITDB_FULL_TSU_DELAY" "0.029 ns + " "Info: + Micro setup delay of destination is 0.029 ns" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 323 16 0 } } } 0} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk destination 2.271 ns - Shortest register " "Info: - Shortest clock path from clock \"clk\" to destination register is 2.271 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns clk 1 CLK PIN_29 126 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_29; Fanout = 126; CLK Node = 'clk'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.594 ns) + CELL(0.547 ns) 2.271 ns wb_mem_man:mem_man\|out1_6 2 REG LC_X12_Y14_N2 1 " "Info: 2: + IC(0.594 ns) + CELL(0.547 ns) = 2.271 ns; Loc. = LC_X12_Y14_N2; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|out1_6'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.141 ns" { clk wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 323 16 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.677 ns 73.84 % " "Info: Total cell delay = 1.677 ns ( 73.84 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "0.594 ns 26.16 % " "Info: Total interconnect delay = 0.594 ns ( 26.16 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 wb_mem_man:mem_man|out1_6 } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "9.135 ns" { rst G_271 wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "9.135 ns" { rst rst~out0 G_271 wb_mem_man:mem_man|out1_6 } { 0.000ns 0.000ns 5.169ns 1.824ns } { 0.000ns 1.135ns 0.340ns 0.667ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk wb_mem_man:mem_man|out1_6 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 wb_mem_man:mem_man|out1_6 } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0}
{ "Info" "ITDB_FULL_TCO_RESULT" "clk out1\[7\] wb_mem_man:mem_man\|out1_7 6.094 ns register " "Info: tco from clock \"clk\" to destination pin \"out1\[7\]\" through register \"wb_mem_man:mem_man\|out1_7\" is 6.094 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk source 2.248 ns + Longest register " "Info: + Longest clock path from clock \"clk\" to source register is 2.248 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns clk 1 CLK PIN_29 126 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_29; Fanout = 126; CLK Node = 'clk'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.571 ns) + CELL(0.547 ns) 2.248 ns wb_mem_man:mem_man\|out1_7 2 REG LC_X11_Y11_N7 1 " "Info: 2: + IC(0.571 ns) + CELL(0.547 ns) = 2.248 ns; Loc. = LC_X11_Y11_N7; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|out1_7'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.118 ns" { clk wb_mem_man:mem_man|out1_7 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 324 16 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.677 ns 74.60 % " "Info: Total cell delay = 1.677 ns ( 74.60 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "0.571 ns 25.40 % " "Info: Total interconnect delay = 0.571 ns ( 25.40 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.248 ns" { clk wb_mem_man:mem_man|out1_7 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.248 ns" { clk clk~out0 wb_mem_man:mem_man|out1_7 } { 0.000ns 0.000ns 0.571ns } { 0.000ns 1.130ns 0.547ns } } } } 0} { "Info" "ITDB_FULL_TCO_DELAY" "0.173 ns + " "Info: + Micro clock to output delay of source is 0.173 ns" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 324 16 0 } } } 0} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "3.673 ns + Longest register pin " "Info: + Longest register to pin delay is 3.673 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns wb_mem_man:mem_man\|out1_7 1 REG LC_X11_Y11_N7 1 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X11_Y11_N7; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|out1_7'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { wb_mem_man:mem_man|out1_7 } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 324 16 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(2.051 ns) + CELL(1.622 ns) 3.673 ns out1\[7\] 2 PIN PIN_78 0 " "Info: 2: + IC(2.051 ns) + CELL(1.622 ns) = 3.673 ns; Loc. = PIN_78; Fanout = 0; PIN Node = 'out1\[7\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "3.673 ns" { wb_mem_man:mem_man|out1_7 out1[7] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2942 52 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.622 ns 44.16 % " "Info: Total cell delay = 1.622 ns ( 44.16 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "2.051 ns 55.84 % " "Info: Total interconnect delay = 2.051 ns ( 55.84 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "3.673 ns" { wb_mem_man:mem_man|out1_7 out1[7] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "3.673 ns" { wb_mem_man:mem_man|out1_7 out1[7] } { 0.000ns 2.051ns } { 0.000ns 1.622ns } } } } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.248 ns" { clk wb_mem_man:mem_man|out1_7 } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.248 ns" { clk clk~out0 wb_mem_man:mem_man|out1_7 } { 0.000ns 0.000ns 0.571ns } { 0.000ns 1.130ns 0.547ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "3.673 ns" { wb_mem_man:mem_man|out1_7 out1[7] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "3.673 ns" { wb_mem_man:mem_man|out1_7 out1[7] } { 0.000ns 2.051ns } { 0.000ns 1.622ns } } } } 0}
{ "Info" "ITDB_TH_RESULT" "wb_mem_man:mem_man\|reg_in0\[3\] in0\[3\] clk -0.541 ns register " "Info: th for register \"wb_mem_man:mem_man\|reg_in0\[3\]\" (data pin = \"in0\[3\]\", clock pin = \"clk\") is -0.541 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk destination 2.271 ns + Longest register " "Info: + Longest clock path from clock \"clk\" to destination register is 2.271 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns clk 1 CLK PIN_29 126 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_29; Fanout = 126; CLK Node = 'clk'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { clk } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2936 12 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(0.594 ns) + CELL(0.547 ns) 2.271 ns wb_mem_man:mem_man\|reg_in0\[3\] 2 REG LC_X16_Y13_N3 1 " "Info: 2: + IC(0.594 ns) + CELL(0.547 ns) = 2.271 ns; Loc. = LC_X16_Y13_N3; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|reg_in0\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.141 ns" { clk wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 498 20 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.677 ns 73.84 % " "Info: Total cell delay = 1.677 ns ( 73.84 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "0.594 ns 26.16 % " "Info: Total interconnect delay = 0.594 ns ( 26.16 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 wb_mem_man:mem_man|reg_in0[3] } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } } 0} { "Info" "ITDB_FULL_TH_DELAY" "0.012 ns + " "Info: + Micro hold delay of destination is 0.012 ns" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 498 20 0 } } } 0} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "2.824 ns - Shortest pin register " "Info: - Shortest pin to register delay is 2.824 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.130 ns) 1.130 ns in0\[3\] 1 PIN PIN_153 1 " "Info: 1: + IC(0.000 ns) + CELL(1.130 ns) = 1.130 ns; Loc. = PIN_153; Fanout = 1; PIN Node = 'in0\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "" { in0[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2939 18 0 } } } 0} { "Info" "ITDB_NODE_DELAY" "IC(1.605 ns) + CELL(0.089 ns) 2.824 ns wb_mem_man:mem_man\|reg_in0\[3\] 2 REG LC_X16_Y13_N3 1 " "Info: 2: + IC(1.605 ns) + CELL(0.089 ns) = 2.824 ns; Loc. = LC_X16_Y13_N3; Fanout = 1; REG Node = 'wb_mem_man:mem_man\|reg_in0\[3\]'" { } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "1.694 ns" { in0[3] wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 498 20 0 } } } 0} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.219 ns 43.17 % " "Info: Total cell delay = 1.219 ns ( 43.17 % )" { } { } 0} { "Info" "ITDB_TOTAL_IC_DELAY" "1.605 ns 56.83 % " "Info: Total interconnect delay = 1.605 ns ( 56.83 % )" { } { } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.824 ns" { in0[3] wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.824 ns" { in0[3] in0[3]~out0 wb_mem_man:mem_man|reg_in0[3] } { 0.000ns 0.000ns 1.605ns } { 0.000ns 1.130ns 0.089ns } } } } 0} } { { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.271 ns" { clk wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.271 ns" { clk clk~out0 wb_mem_man:mem_man|reg_in0[3] } { 0.000ns 0.000ns 0.594ns } { 0.000ns 1.130ns 0.547ns } } } { "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" "" { Report "D:/LWRISC/QU2/db/ClaiRISC_core_cmp.qrpt" Compiler "ClaiRISC_core" "UNKNOWN" "V1" "D:/LWRISC/QU2/db/ClaiRISC.quartus_db" { Floorplan "D:/LWRISC/QU2/" "" "2.824 ns" { in0[3] wb_mem_man:mem_man|reg_in0[3] } "NODE_NAME" } "" } } { "c:/altera/quartus42/bin/Technology_Viewer.qrui" "" { "Technology Map Viewer" "c:/altera/quartus42/bin/Technology_Viewer.qrui" "2.824 ns" { in0[3] in0[3]~out0 wb_mem_man:mem_man|reg_in0[3] } { 0.000ns 0.000ns 1.605ns } { 0.000ns 1.130ns 0.089ns } } } } 0}
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 " "Info: Quartus II Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 10 16:27:23 2008 " "Info: Processing ended: Mon Mar 10 16:27:23 2008" { } { } 0} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0} } { } 0}
/trunk/QU2/db/ClaiRISC_core.syn_hier_info --- trunk/QU2/db/ClaiRISC_core.(10).cnf.cdb (nonexistent) +++ trunk/QU2/db/ClaiRISC_core.(10).cnf.cdb (revision 10) @@ -0,0 +1 @@ + ­ PP1Version 4.2 Build 157 12/07/2004 SJ Full Versionz$jaFxìY;o1öE€BˆŠ†‚† rÉ%i(6B‰W4¡Óå%$ $€D•¥ \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.sgdiff.hdb
0,0 → 1,7
 
+ʈBH¢Å8JøNß TÇN£pËiÜÙv \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.db_info
0,0 → 1,3
Quartus_Version = Version 4.2 Build 157 12/07/2004 SJ Full Version
Version_Index = 16817408
Creation_Time = Mon Mar 10 16:25:46 2008
/trunk/QU2/db/ClaiRISC_core.rtlv_sg_swap.cdb
0,0 → 1,6
+{ü;¢Zj󩜲¾´ï´}ă=¿Ô÷´ýŸdè/ç{—?ŽÇK쟊u‚^ÿ=µQã +ò/æïQÆ·×ïì.ìõ{÷è®ú½]ð軩QÃ@Oäùƒw™Y¦œ•~ë„ïKž¥Ïdyafþáü¶êoÝSw·w¶b ¼™ \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.psp --- trunk/QU2/db/ClaiRISC_core.hier_info (nonexistent) +++ trunk/QU2/db/ClaiRISC_core.hier_info (revision 10) @@ -0,0 +1,827 @@ +|ClaiRISC_core +clk => clk_in.PADIO +rst => rst_in.PADIO +wb_din[0] => ~NO_FANOUT~ +wb_din[1] => ~NO_FANOUT~ +wb_din[2] => ~NO_FANOUT~ +wb_din[3] => ~NO_FANOUT~ +wb_din[4] => ~NO_FANOUT~ +wb_din[5] => ~NO_FANOUT~ +wb_din[6] => ~NO_FANOUT~ +wb_din[7] => ~NO_FANOUT~ +in0[0] => in0_in_0_.PADIO +in0[1] => in0_in_1_.PADIO +in0[2] => in0_in_2_.PADIO +in0[3] => in0_in_3_.PADIO +in0[4] => in0_in_4_.PADIO +in0[5] => in0_in_5_.PADIO +in0[6] => in0_in_6_.PADIO +in0[7] => in0_in_7_.PADIO +in1[0] => in1_in_0_.PADIO +in1[1] => in1_in_1_.PADIO +in1[2] => in1_in_2_.PADIO +in1[3] => in1_in_3_.PADIO +in1[4] => in1_in_4_.PADIO +in1[5] => in1_in_5_.PADIO +in1[6] => in1_in_6_.PADIO +in1[7] => in1_in_7_.PADIO +out0[0] <= out0_out_0_.PADIO +out0[1] <= out0_out_1_.PADIO +out0[2] <= out0_out_2_.PADIO +out0[3] <= out0_out_3_.PADIO +out0[4] <= out0_out_4_.PADIO +out0[5] <= out0_out_5_.PADIO +out0[6] <= out0_out_6_.PADIO +out0[7] <= out0_out_7_.PADIO +out1[0] <= out1_out_0_.PADIO +out1[1] <= out1_out_1_.PADIO +out1[2] <= out1_out_2_.PADIO +out1[3] <= out1_out_3_.PADIO +out1[4] <= out1_out_4_.PADIO +out1[5] <= out1_out_5_.PADIO +out1[6] <= out1_out_6_.PADIO +out1[7] <= out1_out_7_.PADIO + + +|ClaiRISC_core|wb_mem_man:mem_man +w_ins_0 => ram128x8:i_reg_file.w_ins_0 +w_ins_1 => ram128x8:i_reg_file.w_ins_1 +w_ins_2 => ram128x8:i_reg_file.w_ins_2 +w_ins_3 => ram128x8:i_reg_file.w_ins_3 +w_ins_4 => ram128x8:i_reg_file.w_ins_4 +out0_0 <= out0_0__Z.REGOUT +out0_1 <= out0_1__Z.REGOUT +out0_2 <= out0_2__Z.REGOUT +out0_3 <= out0_3__Z.REGOUT +out0_4 <= out0_4__Z.REGOUT +out0_5 <= out0_5__Z.REGOUT +out0_6 <= out0_6__Z.REGOUT +out0_7 <= out0_7__Z.REGOUT +out1_0 <= out1_0__Z.REGOUT +out1_1 <= out1_1__Z.REGOUT +out1_2 <= out1_2__Z.REGOUT +out1_3 <= out1_3__Z.REGOUT +out1_4 <= out1_4__Z.REGOUT +out1_5 <= out1_5__Z.REGOUT +out1_6 <= out1_6__Z.REGOUT +out1_7 <= out1_7__Z.REGOUT +w_alu_res_1_1_0 => status_3__Z.DATAD +w_alu_res_1_1_0 => ram128x8:i_reg_file.w_alu_res_1_1_0 +w_alu_res_1_3_0 => status_4__Z.DATAD +w_alu_res_1_3_0 => ram128x8:i_reg_file.w_alu_res_1_3_0 +w_alu_res_1_6_0 => status_5__Z.DATAD +w_alu_res_1_6_0 => ram128x8:i_reg_file.w_alu_res_1_6_0 +w_alu_res_1_6_1 => status_6__Z.DATAD +w_alu_res_1_6_1 => ram128x8:i_reg_file.w_alu_res_1_6_1 +w_alu_res_1_6_2 => status_7__Z.DATAD +w_alu_res_1_6_2 => ram128x8:i_reg_file.w_alu_res_1_6_2 +in0_c_0 => reg_in0_0__Z.DATAD +in0_c_1 => reg_in0_1__Z.DATAD +in0_c_2 => reg_in0_2__Z.DATAD +in0_c_3 => reg_in0_3__Z.DATAD +in0_c_4 => reg_in0_4__Z.DATAD +in0_c_5 => reg_in0_5__Z.DATAD +in0_c_6 => reg_in0_6__Z.DATAD +in0_c_7 => reg_in0_7__Z.DATAD +w_alu_res_1_0_1 => status_1__Z.DATAD +w_alu_res_1_0_1 => ram128x8:i_reg_file.w_alu_res_1_0_1 +w_alu_res_1_0_2 => status_2__Z.DATAC +w_alu_res_1_0_2 => ram128x8:i_reg_file.w_alu_res_1_0_2 +w_alu_res_1_0_0 <= din_r_0__Z.COMBOUT +w_alu_res_1_0_a2_1_0 => din_r_0__Z.DATAD +w_alu_res_1_0_a2_1_0 => out1_0__Z.DATAC +w_alu_res_1_0_a2_1_0 => out0_0__Z.DATAC +w_alu_res_1_0_a2_1_0 => fsr_0__Z.DATAC +w_alu_res_1_0_a2_1_1 => din_r_1__Z.DATAC +w_alu_res_1_0_a2_1_1 => out1_1__Z.DATAC +w_alu_res_1_0_a2_1_1 => out0_1__Z.DATAC +w_alu_res_1_0_a2_1_1 => fsr_1__Z.DATAC +dout_4 <= dout_4_.COMBOUT +dout_7 <= dout_7_.COMBOUT +dout_5 <= dout_5_.COMBOUT +dout_3 <= dout_3_.COMBOUT +dout_2 <= dout_2_.COMBOUT +dout_6 <= dout_6_.COMBOUT +dout_0 <= dout_0_.COMBOUT +dout_1 <= dout_1_.COMBOUT +w_alu_res_1_0_a2_2_0_0 => din_r_0__Z.DATAA +w_alu_res_1_0_a2_2_0_0 => out1_0__Z.DATAA +w_alu_res_1_0_a2_2_0_0 => out0_0__Z.DATAA +w_alu_res_1_0_a2_2_0_0 => fsr_0__Z.DATAA +w_alu_res_1_0_a2_2_0_1 => din_r_1__Z.DATAA +w_alu_res_1_0_a2_2_0_1 => out1_1__Z.DATAA +w_alu_res_1_0_a2_2_0_1 => out0_1__Z.DATAA +w_alu_res_1_0_a2_2_0_1 => fsr_1__Z.DATAA +w_alu_res_1_0_0_0 => din_r_2__Z.DATAD +w_alu_res_1_0_0_0 => out1_2__Z.DATAD +w_alu_res_1_0_0_0 => out0_2__Z.DATAD +w_alu_res_1_0_0_0 => fsr_2__Z.DATAD +w_alu_res_1_0_a2_0_0 => din_r_0__Z.DATAC +w_alu_res_1_0_a2_0_0 => out1_0__Z.DATAD +w_alu_res_1_0_a2_0_0 => out0_0__Z.DATAD +w_alu_res_1_0_a2_0_0 => fsr_0__Z.DATAD +w_alu_res_1_0_a2_0_1 => din_r_1__Z.DATAD +w_alu_res_1_0_a2_0_1 => out1_1__Z.DATAD +w_alu_res_1_0_a2_0_1 => out0_1__Z.DATAD +w_alu_res_1_0_a2_0_1 => fsr_1__Z.DATAD +w_alu_res_1_0_a2_0_2 => din_r_2__Z.DATAC +w_alu_res_1_0_a2_0_2 => out1_2__Z.DATAC +w_alu_res_1_0_a2_0_2 => out0_2__Z.DATAC +w_alu_res_1_0_a2_0_2 => fsr_2__Z.DATAC +w_alu_res_1_1_a_0 => din_r_3__Z.DATAD +w_alu_res_1_1_a_0 => out1_3__Z.DATAD +w_alu_res_1_1_a_0 => out0_3__Z.DATAD +w_alu_res_1_1_a_0 => fsr_3__Z.DATAD +w_alu_res_1_1_1_0 => din_r_3__Z.DATAC +w_alu_res_1_1_1_0 => out1_3__Z.DATAC +w_alu_res_1_1_1_0 => out0_3__Z.DATAC +w_alu_res_1_1_1_0 => fsr_3__Z.DATAC +w_alu_res_1_3_a_0 => din_r_4__Z.DATAD +w_alu_res_1_3_a_0 => out1_4__Z.DATAD +w_alu_res_1_3_a_0 => out0_4__Z.DATAD +w_alu_res_1_3_a_0 => fsr_4__Z.DATAD +w_alu_res_1_3_1_0 => din_r_4__Z.DATAC +w_alu_res_1_3_1_0 => out1_4__Z.DATAC +w_alu_res_1_3_1_0 => out0_4__Z.DATAC +w_alu_res_1_3_1_0 => fsr_4__Z.DATAC +w_alu_res_1_6_a_2 => out1_7__Z.DATAD +w_alu_res_1_6_a_2 => out0_7__Z.DATAD +w_alu_res_1_6_a_2 => fsr_7__Z.DATAD +w_alu_res_1_6_a_0 => din_r_5__Z.DATAD +w_alu_res_1_6_a_0 => out1_5__Z.DATAD +w_alu_res_1_6_a_0 => out0_5__Z.DATAD +w_alu_res_1_6_a_0 => fsr_5__Z.DATAD +w_alu_res_1_6_a_1 => din_r_6__Z.DATAD +w_alu_res_1_6_a_1 => out1_6__Z.DATAD +w_alu_res_1_6_a_1 => out0_6__Z.DATAD +w_alu_res_1_6_a_1 => fsr_6__Z.DATAD +w_alu_res_1_6_1_2 => out1_7__Z.DATAC +w_alu_res_1_6_1_2 => out0_7__Z.DATAC +w_alu_res_1_6_1_2 => fsr_7__Z.DATAC +w_alu_res_1_6_1_0 => din_r_5__Z.DATAC +w_alu_res_1_6_1_0 => out1_5__Z.DATAC +w_alu_res_1_6_1_0 => out0_5__Z.DATAC +w_alu_res_1_6_1_0 => fsr_5__Z.DATAC +w_alu_res_1_6_1_1 => din_r_6__Z.DATAC +w_alu_res_1_6_1_1 => out1_6__Z.DATAC +w_alu_res_1_6_1_1 => out0_6__Z.DATAC +w_alu_res_1_6_1_1 => fsr_6__Z.DATAC +in1_c_7 => reg_in1_7__Z.DATAC +in1_c_6 => reg_in1_6__Z.DATAC +in1_c_5 => reg_in1_5__Z.DATAC +in1_c_4 => reg_in1_4__Z.DATAC +in1_c_3 => reg_in1_3__Z.DATAC +in1_c_2 => reg_in1_2__Z.DATAC +in1_c_1 => reg_in1_1__Z.DATAC +in1_c_0 => reg_in1_0__Z.DATAC +w_ek_r_4 => wr_addr_r_3__Z.DATAD +w_ek_r_4 => wr_addr_r_4__Z.DATAD +w_ek_r_4 => dout_sn_m5_e_0_a2_a_cZ.DATAB +w_ek_r_4 => dout10_cZ.DATAA +w_ek_r_4 => dout8_cZ.DATAA +w_ek_r_4 => dout_sn_m6_0_a2_cZ.DATAA +w_ek_r_4 => write_out0_0_a3_0_o2_cZ.DATAC +w_ek_r_4 => ram128x8:i_reg_file.w_ek_r_4 +w_ek_r_3 => wr_addr_r_3__Z.DATAC +w_ek_r_3 => wr_addr_r_3__Z.DATAB +w_ek_r_3 => dout_sn_m5_e_0_a2_cZ.DATAA +w_ek_r_3 => write_out0_0_a3_0_o2_cZ.DATAB +w_ek_r_3 => dout7_1_cZ.DATAA +w_ek_r_3 => dout10_2_cZ.DATAC +w_ek_r_3 => ram128x8:i_reg_file.w_ek_r_3 +w_ek_r_2 => wr_addr_r_2__Z.DATAC +w_ek_r_2 => wr_addr_r_2__Z.DATAA +w_ek_r_2 => status_0_0_0_a2_1_6_.DATAB +w_ek_r_2 => status_0_0_0_a2_2_6_.DATAB +w_ek_r_2 => dout_sn_m5_e_0_a2_cZ.DATAB +w_ek_r_2 => dout7_1_cZ.DATAC +w_ek_r_2 => dout10_2_cZ.DATAD +w_ek_r_2 => ram128x8:i_reg_file.w_ek_r_2 +w_ek_r_1 => wr_addr_r_1__Z.DATAC +w_ek_r_1 => wr_addr_r_1__Z.DATAA +w_ek_r_1 => dout_sn_m5_e_0_a2_a_cZ.DATAC +w_ek_r_1 => dout10_cZ.DATAB +w_ek_r_1 => dout8_cZ.DATAB +w_ek_r_1 => write_out0_0_a3_0_o2_cZ.DATAD +w_ek_r_1 => dout7_1_cZ.DATAB +w_ek_r_1 => ram128x8:i_reg_file.w_ek_r_1 +w_ek_r_0 => wr_en_r_Z.DATAB +w_ek_r_0 => wr_addr_r_0__Z.DATAD +w_ek_r_0 => status_0_0_0_a2_1_6_.DATAC +w_ek_r_0 => status_0_0_0_a2_2_6_.DATAC +w_ek_r_0 => dout_sn_m5_e_0_a2_a_cZ.DATAD +w_ek_r_0 => dout10_cZ.DATAC +w_ek_r_0 => dout8_cZ.DATAC +w_ek_r_0 => dout7_1_cZ.DATAD +w_ek_r_0 => ram128x8:i_reg_file.w_ek_r_0 +write_out0_0_a3_0_o2 <= write_out0_0_a3_0_o2_cZ.COMBOUT +rst_c => status_0_0_0_a2_1_6_.DATAA +rst_c => status_0_0_0_a2_2_6_.DATAA +un11_w_alu_res_carry_7 => status_6_a_0_.DATAD +w_c_2mem_i_a2_0_0 => status_6_a_0_.DATAB +N_796 => status_6_0_.DATAD +w_c_wr_r => status_6_0_.DATAB +w_z_0_a2 => status_0_i_0_a_2_.DATAD +w_z_wr_r => status_0_i_0_a_2_.DATAC +G_287 => fsr_7__Z.ENA +G_287 => fsr_6__Z.ENA +G_287 => fsr_5__Z.ENA +G_287 => fsr_4__Z.ENA +G_287 => fsr_3__Z.ENA +G_287 => fsr_2__Z.ENA +G_287 => fsr_1__Z.ENA +G_287 => fsr_0__Z.ENA +G_279 => out0_7__Z.ENA +G_279 => out0_6__Z.ENA +G_279 => out0_5__Z.ENA +G_279 => out0_4__Z.ENA +G_279 => out0_3__Z.ENA +G_279 => out0_2__Z.ENA +G_279 => out0_1__Z.ENA +G_279 => out0_0__Z.ENA +G_271 => out1_7__Z.ENA +G_271 => out1_6__Z.ENA +G_271 => out1_5__Z.ENA +G_271 => out1_4__Z.ENA +G_271 => out1_3__Z.ENA +G_271 => out1_2__Z.ENA +G_271 => out1_1__Z.ENA +G_271 => out1_0__Z.ENA +rst_i_i => rst_i_i_i.IN0 +un11_w_alu_res_add7 => out1_7__Z.DATAB +un11_w_alu_res_add7 => out0_7__Z.DATAB +un11_w_alu_res_add7 => fsr_7__Z.DATAB +un11_w_alu_res_add3 => din_r_3__Z.DATAB +un11_w_alu_res_add3 => out1_3__Z.DATAB +un11_w_alu_res_add3 => out0_3__Z.DATAB +un11_w_alu_res_add3 => fsr_3__Z.DATAB +un11_w_alu_res_add4 => din_r_4__Z.DATAB +un11_w_alu_res_add4 => out1_4__Z.DATAB +un11_w_alu_res_add4 => out0_4__Z.DATAB +un11_w_alu_res_add4 => fsr_4__Z.DATAB +un11_w_alu_res_add5 => din_r_5__Z.DATAB +un11_w_alu_res_add5 => out1_5__Z.DATAB +un11_w_alu_res_add5 => out0_5__Z.DATAB +un11_w_alu_res_add5 => fsr_5__Z.DATAB +un11_w_alu_res_add6 => din_r_6__Z.DATAB +un11_w_alu_res_add6 => out1_6__Z.DATAB +un11_w_alu_res_add6 => out0_6__Z.DATAB +un11_w_alu_res_add6 => fsr_6__Z.DATAB +w_c_2mem_i_a3 => din_r_6__Z.DATAA +w_c_2mem_i_a3 => din_r_5__Z.DATAA +w_c_2mem_i_a3 => din_r_4__Z.DATAA +w_c_2mem_i_a3 => din_r_3__Z.DATAA +w_c_2mem_i_a3 => out1_7__Z.DATAA +w_c_2mem_i_a3 => out1_6__Z.DATAA +w_c_2mem_i_a3 => out1_5__Z.DATAA +w_c_2mem_i_a3 => out1_4__Z.DATAA +w_c_2mem_i_a3 => out1_3__Z.DATAA +w_c_2mem_i_a3 => out0_7__Z.DATAA +w_c_2mem_i_a3 => out0_6__Z.DATAA +w_c_2mem_i_a3 => out0_5__Z.DATAA +w_c_2mem_i_a3 => out0_4__Z.DATAA +w_c_2mem_i_a3 => out0_3__Z.DATAA +w_c_2mem_i_a3 => fsr_7__Z.DATAA +w_c_2mem_i_a3 => fsr_6__Z.DATAA +w_c_2mem_i_a3 => fsr_5__Z.DATAA +w_c_2mem_i_a3 => fsr_4__Z.DATAA +w_c_2mem_i_a3 => fsr_3__Z.DATAA +w_c_2mem_i_a3 => status_6_a_0_.DATAC +w_mem_wr_r => wr_en_r_Z.DATAC +w_mem_wr_r => write_out0_0_a3_0_o2_cZ.DATAA +w_mem_wr_r => ram128x8:i_reg_file.w_mem_wr_r +clk_c => wr_en_r_Z.CLK +clk_c => reg_in1_0__Z.CLK +clk_c => reg_in1_1__Z.CLK +clk_c => reg_in1_2__Z.CLK +clk_c => reg_in1_3__Z.CLK +clk_c => reg_in1_4__Z.CLK +clk_c => reg_in1_5__Z.CLK +clk_c => reg_in1_6__Z.CLK +clk_c => reg_in1_7__Z.CLK +clk_c => wr_addr_r_1__Z.CLK +clk_c => wr_addr_r_2__Z.CLK +clk_c => wr_addr_r_3__Z.CLK +clk_c => din_r_6__Z.CLK +clk_c => din_r_5__Z.CLK +clk_c => din_r_4__Z.CLK +clk_c => din_r_3__Z.CLK +clk_c => din_r_2__Z.CLK +clk_c => din_r_1__Z.CLK +clk_c => din_r_0__Z.CLK +clk_c => wr_addr_r_4__Z.CLK +clk_c => wr_addr_r_0__Z.CLK +clk_c => reg_in0_7__Z.CLK +clk_c => reg_in0_6__Z.CLK +clk_c => reg_in0_5__Z.CLK +clk_c => reg_in0_4__Z.CLK +clk_c => reg_in0_3__Z.CLK +clk_c => reg_in0_2__Z.CLK +clk_c => reg_in0_1__Z.CLK +clk_c => reg_in0_0__Z.CLK +clk_c => status_7__Z.CLK +clk_c => status_6__Z.CLK +clk_c => status_5__Z.CLK +clk_c => status_4__Z.CLK +clk_c => status_3__Z.CLK +clk_c => status_2__Z.CLK +clk_c => status_1__Z.CLK +clk_c => status_0__Z.CLK +clk_c => out1_7__Z.CLK +clk_c => out1_6__Z.CLK +clk_c => out1_5__Z.CLK +clk_c => out1_4__Z.CLK +clk_c => out1_3__Z.CLK +clk_c => out1_2__Z.CLK +clk_c => out1_1__Z.CLK +clk_c => out1_0__Z.CLK +clk_c => out0_7__Z.CLK +clk_c => out0_6__Z.CLK +clk_c => out0_5__Z.CLK +clk_c => out0_4__Z.CLK +clk_c => out0_3__Z.CLK +clk_c => out0_2__Z.CLK +clk_c => out0_1__Z.CLK +clk_c => out0_0__Z.CLK +clk_c => fsr_7__Z.CLK +clk_c => fsr_6__Z.CLK +clk_c => fsr_5__Z.CLK +clk_c => fsr_4__Z.CLK +clk_c => fsr_3__Z.CLK +clk_c => fsr_2__Z.CLK +clk_c => fsr_1__Z.CLK +clk_c => fsr_0__Z.CLK +clk_c => ram128x8:i_reg_file.clk_c + + +|ClaiRISC_core|wb_mem_man:mem_man|ram128x8:i_reg_file +alt_ram_q_7 <= altsyncram_Z1:altsyncram_component_Z.q_b[7] +alt_ram_q_6 <= altsyncram_Z1:altsyncram_component_Z.q_b[6] +alt_ram_q_5 <= altsyncram_Z1:altsyncram_component_Z.q_b[5] +alt_ram_q_4 <= altsyncram_Z1:altsyncram_component_Z.q_b[4] +alt_ram_q_3 <= altsyncram_Z1:altsyncram_component_Z.q_b[3] +alt_ram_q_2 <= altsyncram_Z1:altsyncram_component_Z.q_b[2] +alt_ram_q_1 <= altsyncram_Z1:altsyncram_component_Z.q_b[1] +alt_ram_q_0 <= altsyncram_Z1:altsyncram_component_Z.q_b[0] +w_ins_4 => altsyncram_Z1:altsyncram_component_Z.address_b[4] +w_ins_3 => altsyncram_Z1:altsyncram_component_Z.address_b[3] +w_ins_2 => altsyncram_Z1:altsyncram_component_Z.address_b[2] +w_ins_1 => altsyncram_Z1:altsyncram_component_Z.address_b[1] +w_ins_0 => altsyncram_Z1:altsyncram_component_Z.address_b[0] +fsr_1 => altsyncram_Z1:altsyncram_component_Z.address_b[6] +fsr_1 => altsyncram_Z1:altsyncram_component_Z.address_a[6] +fsr_0 => altsyncram_Z1:altsyncram_component_Z.address_b[5] +fsr_0 => altsyncram_Z1:altsyncram_component_Z.address_a[5] +w_ek_r_4 => altsyncram_Z1:altsyncram_component_Z.address_a[4] +w_ek_r_3 => altsyncram_Z1:altsyncram_component_Z.address_a[3] +w_ek_r_2 => altsyncram_Z1:altsyncram_component_Z.address_a[2] +w_ek_r_1 => altsyncram_Z1:altsyncram_component_Z.address_a[1] +w_ek_r_0 => altsyncram_Z1:altsyncram_component_Z.address_a[0] +w_alu_res_1_6_2 => altsyncram_Z1:altsyncram_component_Z.data_a[7] +w_alu_res_1_6_1 => altsyncram_Z1:altsyncram_component_Z.data_a[6] +w_alu_res_1_6_0 => altsyncram_Z1:altsyncram_component_Z.data_a[5] +w_alu_res_1_3_0 => altsyncram_Z1:altsyncram_component_Z.data_a[4] +w_alu_res_1_1_0 => altsyncram_Z1:altsyncram_component_Z.data_a[3] +w_alu_res_1_0_2 => altsyncram_Z1:altsyncram_component_Z.data_a[2] +w_alu_res_1_0_1 => altsyncram_Z1:altsyncram_component_Z.data_a[1] +w_alu_res_1_0_0 => altsyncram_Z1:altsyncram_component_Z.data_a[0] +clk_c => altsyncram_Z1:altsyncram_component_Z.clock0 +w_mem_wr_r => altsyncram_Z1:altsyncram_component_Z.wren_a + + +|ClaiRISC_core|wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z +wren_a => altsyncram:U1.wren_a +data_a[0] => altsyncram:U1.data_a +data_a[1] => altsyncram:U1.data_a +data_a[2] => altsyncram:U1.data_a +data_a[3] => altsyncram:U1.data_a +data_a[4] => altsyncram:U1.data_a +data_a[5] => altsyncram:U1.data_a +data_a[6] => altsyncram:U1.data_a +data_a[7] => altsyncram:U1.data_a +address_a[0] => altsyncram:U1.address_a +address_a[1] => altsyncram:U1.address_a +address_a[2] => altsyncram:U1.address_a +address_a[3] => altsyncram:U1.address_a +address_a[4] => altsyncram:U1.address_a +address_a[5] => altsyncram:U1.address_a +address_a[6] => altsyncram:U1.address_a +address_b[0] => altsyncram:U1.address_b +address_b[1] => altsyncram:U1.address_b +address_b[2] => altsyncram:U1.address_b +address_b[3] => altsyncram:U1.address_b +address_b[4] => altsyncram:U1.address_b +address_b[5] => altsyncram:U1.address_b +address_b[6] => altsyncram:U1.address_b +clock0 => altsyncram:U1.clock0 +q_a[0] <= altsyncram:U1.q_a +q_a[1] <= altsyncram:U1.q_a +q_a[2] <= altsyncram:U1.q_a +q_a[3] <= altsyncram:U1.q_a +q_a[4] <= altsyncram:U1.q_a +q_a[5] <= altsyncram:U1.q_a +q_a[6] <= altsyncram:U1.q_a +q_a[7] <= altsyncram:U1.q_a +q_b[0] <= altsyncram:U1.q_b +q_b[1] <= altsyncram:U1.q_b +q_b[2] <= altsyncram:U1.q_b +q_b[3] <= altsyncram:U1.q_b +q_b[4] <= altsyncram:U1.q_b +q_b[5] <= altsyncram:U1.q_b +q_b[6] <= altsyncram:U1.q_b +q_b[7] <= altsyncram:U1.q_b + + +|ClaiRISC_core|wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1 +wren_a => altsyncram_hg91:auto_generated.wren_a +wren_b => ~NO_FANOUT~ +rden_b => ~NO_FANOUT~ +data_a[0] => altsyncram_hg91:auto_generated.data_a[0] +data_a[1] => altsyncram_hg91:auto_generated.data_a[1] +data_a[2] => altsyncram_hg91:auto_generated.data_a[2] +data_a[3] => altsyncram_hg91:auto_generated.data_a[3] +data_a[4] => altsyncram_hg91:auto_generated.data_a[4] +data_a[5] => altsyncram_hg91:auto_generated.data_a[5] +data_a[6] => altsyncram_hg91:auto_generated.data_a[6] +data_a[7] => altsyncram_hg91:auto_generated.data_a[7] +data_b[0] => ~NO_FANOUT~ +data_b[1] => ~NO_FANOUT~ +data_b[2] => ~NO_FANOUT~ +data_b[3] => ~NO_FANOUT~ +data_b[4] => ~NO_FANOUT~ +data_b[5] => ~NO_FANOUT~ +data_b[6] => ~NO_FANOUT~ +data_b[7] => ~NO_FANOUT~ +address_a[0] => altsyncram_hg91:auto_generated.address_a[0] +address_a[1] => altsyncram_hg91:auto_generated.address_a[1] +address_a[2] => altsyncram_hg91:auto_generated.address_a[2] +address_a[3] => altsyncram_hg91:auto_generated.address_a[3] +address_a[4] => altsyncram_hg91:auto_generated.address_a[4] +address_a[5] => altsyncram_hg91:auto_generated.address_a[5] +address_a[6] => altsyncram_hg91:auto_generated.address_a[6] +address_b[0] => altsyncram_hg91:auto_generated.address_b[0] +address_b[1] => altsyncram_hg91:auto_generated.address_b[1] +address_b[2] => altsyncram_hg91:auto_generated.address_b[2] +address_b[3] => altsyncram_hg91:auto_generated.address_b[3] +address_b[4] => altsyncram_hg91:auto_generated.address_b[4] +address_b[5] => altsyncram_hg91:auto_generated.address_b[5] +address_b[6] => altsyncram_hg91:auto_generated.address_b[6] +addressstall_a => ~NO_FANOUT~ +addressstall_b => ~NO_FANOUT~ +clock0 => altsyncram_hg91:auto_generated.clock0 +clock1 => ~NO_FANOUT~ +clocken0 => ~NO_FANOUT~ +clocken1 => ~NO_FANOUT~ +aclr0 => ~NO_FANOUT~ +aclr1 => ~NO_FANOUT~ +byteena_a[0] => ~NO_FANOUT~ +byteena_b[0] => ~NO_FANOUT~ +q_a[0] <= altsyncram_hg91:auto_generated.q_a[0] +q_a[1] <= altsyncram_hg91:auto_generated.q_a[1] +q_a[2] <= altsyncram_hg91:auto_generated.q_a[2] +q_a[3] <= altsyncram_hg91:auto_generated.q_a[3] +q_a[4] <= altsyncram_hg91:auto_generated.q_a[4] +q_a[5] <= altsyncram_hg91:auto_generated.q_a[5] +q_a[6] <= altsyncram_hg91:auto_generated.q_a[6] +q_a[7] <= altsyncram_hg91:auto_generated.q_a[7] +q_b[0] <= altsyncram_hg91:auto_generated.q_b[0] +q_b[1] <= altsyncram_hg91:auto_generated.q_b[1] +q_b[2] <= altsyncram_hg91:auto_generated.q_b[2] +q_b[3] <= altsyncram_hg91:auto_generated.q_b[3] +q_b[4] <= altsyncram_hg91:auto_generated.q_b[4] +q_b[5] <= altsyncram_hg91:auto_generated.q_b[5] +q_b[6] <= altsyncram_hg91:auto_generated.q_b[6] +q_b[7] <= altsyncram_hg91:auto_generated.q_b[7] + + +|ClaiRISC_core|wb_mem_man:mem_man|ram128x8:i_reg_file|altsyncram_Z1:altsyncram_component_Z|altsyncram:U1|altsyncram_hg91:auto_generated +address_a[0] => ram_block1a0.PORTAADDR +address_a[0] => ram_block1a1.PORTAADDR +address_a[0] => ram_block1a2.PORTAADDR +address_a[0] => ram_block1a3.PORTAADDR +address_a[0] => ram_block1a4.PORTAADDR +address_a[0] => ram_block1a5.PORTAADDR +address_a[0] => ram_block1a6.PORTAADDR +address_a[0] => ram_block1a7.PORTAADDR +address_a[1] => ram_block1a0.PORTAADDR1 +address_a[1] => ram_block1a1.PORTAADDR1 +address_a[1] => ram_block1a2.PORTAADDR1 +address_a[1] => ram_block1a3.PORTAADDR1 +address_a[1] => ram_block1a4.PORTAADDR1 +address_a[1] => ram_block1a5.PORTAADDR1 +address_a[1] => ram_block1a6.PORTAADDR1 +address_a[1] => ram_block1a7.PORTAADDR1 +address_a[2] => ram_block1a0.PORTAADDR2 +address_a[2] => ram_block1a1.PORTAADDR2 +address_a[2] => ram_block1a2.PORTAADDR2 +address_a[2] => ram_block1a3.PORTAADDR2 +address_a[2] => ram_block1a4.PORTAADDR2 +address_a[2] => ram_block1a5.PORTAADDR2 +address_a[2] => ram_block1a6.PORTAADDR2 +address_a[2] => ram_block1a7.PORTAADDR2 +address_a[3] => ram_block1a0.PORTAADDR3 +address_a[3] => ram_block1a1.PORTAADDR3 +address_a[3] => ram_block1a2.PORTAADDR3 +address_a[3] => ram_block1a3.PORTAADDR3 +address_a[3] => ram_block1a4.PORTAADDR3 +address_a[3] => ram_block1a5.PORTAADDR3 +address_a[3] => ram_block1a6.PORTAADDR3 +address_a[3] => ram_block1a7.PORTAADDR3 +address_a[4] => ram_block1a0.PORTAADDR4 +address_a[4] => ram_block1a1.PORTAADDR4 +address_a[4] => ram_block1a2.PORTAADDR4 +address_a[4] => ram_block1a3.PORTAADDR4 +address_a[4] => ram_block1a4.PORTAADDR4 +address_a[4] => ram_block1a5.PORTAADDR4 +address_a[4] => ram_block1a6.PORTAADDR4 +address_a[4] => ram_block1a7.PORTAADDR4 +address_a[5] => ram_block1a0.PORTAADDR5 +address_a[5] => ram_block1a1.PORTAADDR5 +address_a[5] => ram_block1a2.PORTAADDR5 +address_a[5] => ram_block1a3.PORTAADDR5 +address_a[5] => ram_block1a4.PORTAADDR5 +address_a[5] => ram_block1a5.PORTAADDR5 +address_a[5] => ram_block1a6.PORTAADDR5 +address_a[5] => ram_block1a7.PORTAADDR5 +address_a[6] => ram_block1a0.PORTAADDR6 +address_a[6] => ram_block1a1.PORTAADDR6 +address_a[6] => ram_block1a2.PORTAADDR6 +address_a[6] => ram_block1a3.PORTAADDR6 +address_a[6] => ram_block1a4.PORTAADDR6 +address_a[6] => ram_block1a5.PORTAADDR6 +address_a[6] => ram_block1a6.PORTAADDR6 +address_a[6] => ram_block1a7.PORTAADDR6 +address_b[0] => ram_block1a0.PORTBADDR +address_b[0] => ram_block1a1.PORTBADDR +address_b[0] => ram_block1a2.PORTBADDR +address_b[0] => ram_block1a3.PORTBADDR +address_b[0] => ram_block1a4.PORTBADDR +address_b[0] => ram_block1a5.PORTBADDR +address_b[0] => ram_block1a6.PORTBADDR +address_b[0] => ram_block1a7.PORTBADDR +address_b[1] => ram_block1a0.PORTBADDR1 +address_b[1] => ram_block1a1.PORTBADDR1 +address_b[1] => ram_block1a2.PORTBADDR1 +address_b[1] => ram_block1a3.PORTBADDR1 +address_b[1] => ram_block1a4.PORTBADDR1 +address_b[1] => ram_block1a5.PORTBADDR1 +address_b[1] => ram_block1a6.PORTBADDR1 +address_b[1] => ram_block1a7.PORTBADDR1 +address_b[2] => ram_block1a0.PORTBADDR2 +address_b[2] => ram_block1a1.PORTBADDR2 +address_b[2] => ram_block1a2.PORTBADDR2 +address_b[2] => ram_block1a3.PORTBADDR2 +address_b[2] => ram_block1a4.PORTBADDR2 +address_b[2] => ram_block1a5.PORTBADDR2 +address_b[2] => ram_block1a6.PORTBADDR2 +address_b[2] => ram_block1a7.PORTBADDR2 +address_b[3] => ram_block1a0.PORTBADDR3 +address_b[3] => ram_block1a1.PORTBADDR3 +address_b[3] => ram_block1a2.PORTBADDR3 +address_b[3] => ram_block1a3.PORTBADDR3 +address_b[3] => ram_block1a4.PORTBADDR3 +address_b[3] => ram_block1a5.PORTBADDR3 +address_b[3] => ram_block1a6.PORTBADDR3 +address_b[3] => ram_block1a7.PORTBADDR3 +address_b[4] => ram_block1a0.PORTBADDR4 +address_b[4] => ram_block1a1.PORTBADDR4 +address_b[4] => ram_block1a2.PORTBADDR4 +address_b[4] => ram_block1a3.PORTBADDR4 +address_b[4] => ram_block1a4.PORTBADDR4 +address_b[4] => ram_block1a5.PORTBADDR4 +address_b[4] => ram_block1a6.PORTBADDR4 +address_b[4] => ram_block1a7.PORTBADDR4 +address_b[5] => ram_block1a0.PORTBADDR5 +address_b[5] => ram_block1a1.PORTBADDR5 +address_b[5] => ram_block1a2.PORTBADDR5 +address_b[5] => ram_block1a3.PORTBADDR5 +address_b[5] => ram_block1a4.PORTBADDR5 +address_b[5] => ram_block1a5.PORTBADDR5 +address_b[5] => ram_block1a6.PORTBADDR5 +address_b[5] => ram_block1a7.PORTBADDR5 +address_b[6] => ram_block1a0.PORTBADDR6 +address_b[6] => ram_block1a1.PORTBADDR6 +address_b[6] => ram_block1a2.PORTBADDR6 +address_b[6] => ram_block1a3.PORTBADDR6 +address_b[6] => ram_block1a4.PORTBADDR6 +address_b[6] => ram_block1a5.PORTBADDR6 +address_b[6] => ram_block1a6.PORTBADDR6 +address_b[6] => ram_block1a7.PORTBADDR6 +clock0 => ram_block1a0.CLK0 +clock0 => ram_block1a1.CLK0 +clock0 => ram_block1a2.CLK0 +clock0 => ram_block1a3.CLK0 +clock0 => ram_block1a4.CLK0 +clock0 => ram_block1a5.CLK0 +clock0 => ram_block1a6.CLK0 +clock0 => ram_block1a7.CLK0 +data_a[0] => ram_block1a0.PORTADATAIN +data_a[1] => ram_block1a1.PORTADATAIN +data_a[2] => ram_block1a2.PORTADATAIN +data_a[3] => ram_block1a3.PORTADATAIN +data_a[4] => ram_block1a4.PORTADATAIN +data_a[5] => ram_block1a5.PORTADATAIN +data_a[6] => ram_block1a6.PORTADATAIN +data_a[7] => ram_block1a7.PORTADATAIN +q_a[0] <= +q_a[1] <= +q_a[2] <= +q_a[3] <= +q_a[4] <= +q_a[5] <= +q_a[6] <= +q_a[7] <= +q_b[0] <= ram_block1a0.PORTBDATAOUT +q_b[1] <= ram_block1a1.PORTBDATAOUT +q_b[2] <= ram_block1a2.PORTBDATAOUT +q_b[3] <= ram_block1a3.PORTBDATAOUT +q_b[4] <= ram_block1a4.PORTBDATAOUT +q_b[5] <= ram_block1a5.PORTBDATAOUT +q_b[6] <= ram_block1a6.PORTBDATAOUT +q_b[7] <= ram_block1a7.PORTBDATAOUT +wren_a => ram_block1a0.PORTAWE +wren_a => ram_block1a1.PORTAWE +wren_a => ram_block1a2.PORTAWE +wren_a => ram_block1a3.PORTAWE +wren_a => ram_block1a4.PORTAWE +wren_a => ram_block1a5.PORTAWE +wren_a => ram_block1a6.PORTAWE +wren_a => ram_block1a7.PORTAWE + + +|ClaiRISC_core|pram:program_rom +sclrsclrw_pc_nxt_0_0_a2_x_0 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_0 +sclrsclrw_pc_nxt_0_0_a2_x_1 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_1 +sclrsclrw_pc_nxt_0_0_a2_x_2 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_2 +sclrsclrw_pc_nxt_0_0_a2_x_3 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_3 +sclrsclrw_pc_nxt_0_0_a2_x_4 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_4 +sclrsclrw_pc_nxt_0_0_a2_x_5 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_5 +sclrsclrw_pc_nxt_0_0_a2_x_6 => rom128x12:i_alt_ram.sclrsclrw_pc_nxt_0_0_a2_x_6 +w_ins_0 <= rom128x12:i_alt_ram.w_ins_0 +w_ins_1 <= rom128x12:i_alt_ram.w_ins_1 +w_ins_2 <= rom128x12:i_alt_ram.w_ins_2 +w_ins_3 <= rom128x12:i_alt_ram.w_ins_3 +w_ins_4 <= rom128x12:i_alt_ram.w_ins_4 +w_ins_6 <= rom128x12:i_alt_ram.w_ins_6 +w_ins_7 <= rom128x12:i_alt_ram.w_ins_7 +clk_c => rom128x12:i_alt_ram.clk_c +w_mem_wr <= rom128x12:i_alt_ram.w_mem_wr + + +|ClaiRISC_core|pram:program_rom|rom128x12:i_alt_ram +w_ins_7 <= altsyncram_Z2:altsyncram_component_Z.q_a[7] +w_ins_6 <= altsyncram_Z2:altsyncram_component_Z.q_a[6] +w_ins_4 <= altsyncram_Z2:altsyncram_component_Z.q_a[4] +w_ins_3 <= altsyncram_Z2:altsyncram_component_Z.q_a[3] +w_ins_2 <= altsyncram_Z2:altsyncram_component_Z.q_a[2] +w_ins_1 <= altsyncram_Z2:altsyncram_component_Z.q_a[1] +w_ins_0 <= altsyncram_Z2:altsyncram_component_Z.q_a[0] +sclrsclrw_pc_nxt_0_0_a2_x_6 => altsyncram_Z2:altsyncram_component_Z.address_a[6] +sclrsclrw_pc_nxt_0_0_a2_x_5 => altsyncram_Z2:altsyncram_component_Z.address_a[5] +sclrsclrw_pc_nxt_0_0_a2_x_4 => altsyncram_Z2:altsyncram_component_Z.address_a[4] +sclrsclrw_pc_nxt_0_0_a2_x_3 => altsyncram_Z2:altsyncram_component_Z.address_a[3] +sclrsclrw_pc_nxt_0_0_a2_x_2 => altsyncram_Z2:altsyncram_component_Z.address_a[2] +sclrsclrw_pc_nxt_0_0_a2_x_1 => altsyncram_Z2:altsyncram_component_Z.address_a[1] +sclrsclrw_pc_nxt_0_0_a2_x_0 => altsyncram_Z2:altsyncram_component_Z.address_a[0] +w_mem_wr <= altsyncram_Z2:altsyncram_component_Z.q_a[5] +clk_c => altsyncram_Z2:altsyncram_component_Z.clock0 + + +|ClaiRISC_core|pram:program_rom|rom128x12:i_alt_ram|altsyncram_Z2:altsyncram_component_Z +address_a[0] => altsyncram:U1.address_a +address_a[1] => altsyncram:U1.address_a +address_a[2] => altsyncram:U1.address_a +address_a[3] => altsyncram:U1.address_a +address_a[4] => altsyncram:U1.address_a +address_a[5] => altsyncram:U1.address_a +address_a[6] => altsyncram:U1.address_a +clock0 => altsyncram:U1.clock0 +q_a[0] <= altsyncram:U1.q_a +q_a[1] <= altsyncram:U1.q_a +q_a[2] <= altsyncram:U1.q_a +q_a[3] <= altsyncram:U1.q_a +q_a[4] <= altsyncram:U1.q_a +q_a[5] <= altsyncram:U1.q_a +q_a[6] <= altsyncram:U1.q_a +q_a[7] <= altsyncram:U1.q_a +q_b[0] <= altsyncram:U1.q_b + + +|ClaiRISC_core|pram:program_rom|rom128x12:i_alt_ram|altsyncram_Z2:altsyncram_component_Z|altsyncram:U1 +wren_a => ~NO_FANOUT~ +wren_b => ~NO_FANOUT~ +rden_b => ~NO_FANOUT~ +data_a[0] => ~NO_FANOUT~ +data_a[1] => ~NO_FANOUT~ +data_a[2] => ~NO_FANOUT~ +data_a[3] => ~NO_FANOUT~ +data_a[4] => ~NO_FANOUT~ +data_a[5] => ~NO_FANOUT~ +data_a[6] => ~NO_FANOUT~ +data_a[7] => ~NO_FANOUT~ +data_b[0] => ~NO_FANOUT~ +address_a[0] => altsyncram_u8r:auto_generated.address_a[0] +address_a[1] => altsyncram_u8r:auto_generated.address_a[1] +address_a[2] => altsyncram_u8r:auto_generated.address_a[2] +address_a[3] => altsyncram_u8r:auto_generated.address_a[3] +address_a[4] => altsyncram_u8r:auto_generated.address_a[4] +address_a[5] => altsyncram_u8r:auto_generated.address_a[5] +address_a[6] => altsyncram_u8r:auto_generated.address_a[6] +address_b[0] => ~NO_FANOUT~ +addressstall_a => ~NO_FANOUT~ +addressstall_b => ~NO_FANOUT~ +clock0 => altsyncram_u8r:auto_generated.clock0 +clock1 => ~NO_FANOUT~ +clocken0 => ~NO_FANOUT~ +clocken1 => ~NO_FANOUT~ +aclr0 => ~NO_FANOUT~ +aclr1 => ~NO_FANOUT~ +byteena_a[0] => ~NO_FANOUT~ +byteena_b[0] => ~NO_FANOUT~ +q_a[0] <= altsyncram_u8r:auto_generated.q_a[0] +q_a[1] <= altsyncram_u8r:auto_generated.q_a[1] +q_a[2] <= altsyncram_u8r:auto_generated.q_a[2] +q_a[3] <= altsyncram_u8r:auto_generated.q_a[3] +q_a[4] <= altsyncram_u8r:auto_generated.q_a[4] +q_a[5] <= altsyncram_u8r:auto_generated.q_a[5] +q_a[6] <= altsyncram_u8r:auto_generated.q_a[6] +q_a[7] <= altsyncram_u8r:auto_generated.q_a[7] +q_b[0] <= altsyncram_u8r:auto_generated.q_b[0] + + +|ClaiRISC_core|pram:program_rom|rom128x12:i_alt_ram|altsyncram_Z2:altsyncram_component_Z|altsyncram:U1|altsyncram_u8r:auto_generated +address_a[0] => ram_block1a0.PORTAADDR +address_a[0] => ram_block1a1.PORTAADDR +address_a[0] => ram_block1a2.PORTAADDR +address_a[0] => ram_block1a3.PORTAADDR +address_a[0] => ram_block1a4.PORTAADDR +address_a[0] => ram_block1a5.PORTAADDR +address_a[0] => ram_block1a6.PORTAADDR +address_a[0] => ram_block1a7.PORTAADDR +address_a[1] => ram_block1a0.PORTAADDR1 +address_a[1] => ram_block1a1.PORTAADDR1 +address_a[1] => ram_block1a2.PORTAADDR1 +address_a[1] => ram_block1a3.PORTAADDR1 +address_a[1] => ram_block1a4.PORTAADDR1 +address_a[1] => ram_block1a5.PORTAADDR1 +address_a[1] => ram_block1a6.PORTAADDR1 +address_a[1] => ram_block1a7.PORTAADDR1 +address_a[2] => ram_block1a0.PORTAADDR2 +address_a[2] => ram_block1a1.PORTAADDR2 +address_a[2] => ram_block1a2.PORTAADDR2 +address_a[2] => ram_block1a3.PORTAADDR2 +address_a[2] => ram_block1a4.PORTAADDR2 +address_a[2] => ram_block1a5.PORTAADDR2 +address_a[2] => ram_block1a6.PORTAADDR2 +address_a[2] => ram_block1a7.PORTAADDR2 +address_a[3] => ram_block1a0.PORTAADDR3 +address_a[3] => ram_block1a1.PORTAADDR3 +address_a[3] => ram_block1a2.PORTAADDR3 +address_a[3] => ram_block1a3.PORTAADDR3 +address_a[3] => ram_block1a4.PORTAADDR3 +address_a[3] => ram_block1a5.PORTAADDR3 +address_a[3] => ram_block1a6.PORTAADDR3 +address_a[3] => ram_block1a7.PORTAADDR3 +address_a[4] => ram_block1a0.PORTAADDR4 +address_a[4] => ram_block1a1.PORTAADDR4 +address_a[4] => ram_block1a2.PORTAADDR4 +address_a[4] => ram_block1a3.PORTAADDR4 +address_a[4] => ram_block1a4.PORTAADDR4 +address_a[4] => ram_block1a5.PORTAADDR4 +address_a[4] => ram_block1a6.PORTAADDR4 +address_a[4] => ram_block1a7.PORTAADDR4 +address_a[5] => ram_block1a0.PORTAADDR5 +address_a[5] => ram_block1a1.PORTAADDR5 +address_a[5] => ram_block1a2.PORTAADDR5 +address_a[5] => ram_block1a3.PORTAADDR5 +address_a[5] => ram_block1a4.PORTAADDR5 +address_a[5] => ram_block1a5.PORTAADDR5 +address_a[5] => ram_block1a6.PORTAADDR5 +address_a[5] => ram_block1a7.PORTAADDR5 +address_a[6] => ram_block1a0.PORTAADDR6 +address_a[6] => ram_block1a1.PORTAADDR6 +address_a[6] => ram_block1a2.PORTAADDR6 +address_a[6] => ram_block1a3.PORTAADDR6 +address_a[6] => ram_block1a4.PORTAADDR6 +address_a[6] => ram_block1a5.PORTAADDR6 +address_a[6] => ram_block1a6.PORTAADDR6 +address_a[6] => ram_block1a7.PORTAADDR6 +clock0 => ram_block1a0.CLK0 +clock0 => ram_block1a1.CLK0 +clock0 => ram_block1a2.CLK0 +clock0 => ram_block1a3.CLK0 +clock0 => ram_block1a4.CLK0 +clock0 => ram_block1a5.CLK0 +clock0 => ram_block1a6.CLK0 +clock0 => ram_block1a7.CLK0 +q_a[0] <= ram_block1a0.PORTADATAOUT +q_a[1] <= ram_block1a1.PORTADATAOUT +q_a[2] <= ram_block1a2.PORTADATAOUT +q_a[3] <= ram_block1a3.PORTADATAOUT +q_a[4] <= ram_block1a4.PORTADATAOUT +q_a[5] <= ram_block1a5.PORTADATAOUT +q_a[6] <= ram_block1a6.PORTADATAOUT +q_a[7] <= ram_block1a7.PORTADATAOUT +q_b[0] <= + +
/trunk/QU2/db/ClaiRISC_core.(10).cnf.hdb
0,0 → 1,8
 
 
 
 
 
 
+vG8,ØÙÃÕ×±¢'þ- PŠdƒyºâéæé \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.cmp.cdb
0,0 → 1,2
+q`eÚæ¥5mÚ=óµZäôÞšªëåiB†tì&g"×'Ò×ðë&£ š1=­¯Zow^/Ï7Ñc/»WYu}ë®59N•,_VÅoºå¯Ç\ó}ßh¦K^®{~£Y[wM<1²Ëd”ÑlÌ&Û^Æñ¶Tõó
/trunk/QU2/db/ClaiRISC_core.rtlv_sg.cdb
0,0 → 1,6
+ ΄2ÆñÛuuÑʾ»uëÅÉ´ýw.ôºªæOÒV‹Ê‹åcœÄåF|X‡¾$\Ä›.³(M_ßöêêJô>çYØ2hþÇÁŒÃÝÍEãtçßoŽ¸âÇ èšÿ3Rf]W4êþ¤Ë_ÅLJ¨§.?aºÁß·†Xoݦ;îtâ"Æ]oQ}šŸa„uEuöï\Û^#tm;Ô(ûÁHó— +óüY=4ùº×TÕZö{÷¬!Æ žwÕ‡‚ÏïHUuUZ•ÎYÝÃÇ ÒA!Ñù‡Ïáùó-‡§ +¾~èêIi=‹FÜz0ëhúxLç pVe?ˆPÇçÖI³ʺ±ÄZËÄ¡?GÆY@êê¤Ù,•u7•°»#Øù3èâòkj +Ùj­U5ÔOûˆ¥u \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.sld_design_entry.sci
0,0 → 1,2
+ÄÁΞñ.®Ážî~Ö}Ü5ÛÁrL @ÿÿ \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.pre_map.cdb
0,0 → 1,3
+wC80Ž®ì=<<<ˆ}pN×í±1Â#q©¿ñ¨ëEÈò›ááÅÁTýw,ô:«äӆ¼ò|ùè \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.cmp.hdb
0,0 → 1,3
+R.[†1— “(«”±+0gÊ¥^×.l‡*†¸'çÓAís•§04× _Ð œ7J'“rê!ó$.D©so²Kñ;¿Ýûv(' ©`+’5**äOІ_aˆ‚ÆawúNÀÚï4‚ ÆÂb§±”; ]k×¾3|nYÿÄõÎ_Ë.…‚«}ìÞZ+”F!^thÒ($[¿ï.gpg8l¥)áåëÜšR/lï©Â!©¡p¡7¿ãÔ –N±7GôŽòU)µuˆuV‡X€ž›/Hö÷’e­7NpE‚ÿèi8^eo€ÿ4×|6 \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.(2).cnf.cdb
0,0 → 1,5
+ö÷†ýá¡Ú"/öñs ûP‘;MÕí>€d{Wî‘J:­·ÄG¨9º´vNc'i+5´Õ \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.(0).cnf.hdb
0,0 → 1,5
 
 
 
+oÂFg±–¡6y9EIÔ>Ú§ó5Ç©ÖK¦ÍÓX+X„lƒŒ¾5[î¬Ú]‰¸[˜Ô¯§ó/V´­Û"¥sÍJ±IÛ \ No newline at end of file
/trunk/QU2/db/altsyncram_hg91.tdf
0,0 → 1,317
--altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone" INDATA_ACLR_A="NONE" NUMWORDS_A=128 NUMWORDS_B=128 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="UNREGISTERED" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=8 WIDTH_B=8 WIDTH_BYTEENA_A=1 WIDTHAD_A=7 WIDTHAD_B=7 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 data_a q_a q_b wren_a
--VERSION_BEGIN 4.2 cbx_altsyncram 2004:11:16:15:31:02:SJ cbx_cycloneii 2004:08:25:19:39:42:SJ cbx_lpm_add_sub 2004:10:25:10:56:48:SJ cbx_lpm_compare 2004:10:18:11:29:46:SJ cbx_lpm_decode 2004:08:15:21:16:20:SJ cbx_lpm_mux 2004:08:15:21:16:24:SJ cbx_mgl 2004:10:26:10:32:18:SJ cbx_stratix 2004:09:23:18:28:34:SJ cbx_stratixii 2004:08:10:15:01:36:SJ cbx_util_mgl 2004:09:29:16:04:00:SJ VERSION_END
 
 
-- Copyright (C) 1988-2002 Altera Corporation
-- Any megafunction design, and related netlist (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only
-- to program PLD devices (but not masked PLD devices) from Altera. Any
-- other use of such megafunction design, netlist, support information,
-- device programming or simulation file, or any other related documentation
-- or information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to the
-- intellectual property, including patents, copyrights, trademarks, trade
-- secrets, or maskworks, embodied in any such megafunction design, netlist,
-- support information, device programming or simulation file, or any other
-- related documentation or information provided by Altera or a megafunction
-- partner, remains with Altera, the megafunction partner, or their respective
-- licensors. No other licenses, including any licenses needed under any third
-- party's intellectual property, are provided herein.
 
 
PARAMETERS
(
PORT_A_ADDRESS_WIDTH = 1,
PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
PORT_A_DATA_WIDTH = 1,
PORT_B_ADDRESS_WIDTH = 1,
PORT_B_BYTE_ENABLE_MASK_WIDTH = 1,
PORT_B_DATA_WIDTH = 1
);
FUNCTION cyclone_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbrewe)
WITH ( CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, INIT_FILE, INIT_FILE_LAYOUT, LOGICAL_RAM_NAME, mem_init0, mem_init1, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH, PORT_A_BYTE_ENABLE_CLEAR, PORT_A_BYTE_ENABLE_MASK_WIDTH, PORT_A_DATA_IN_CLEAR, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_WRITE_ENABLE_CLEAR, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH, PORT_B_BYTE_ENABLE_CLEAR, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH, PORT_B_DATA_IN_CLEAR, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_ENABLE_WRITE_ENABLE_CLEAR, PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE)
RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]);
 
--synthesis_resources = M4K 1
SUBDESIGN altsyncram_hg91
(
address_a[6..0] : input;
address_b[6..0] : input;
clock0 : input;
data_a[7..0] : input;
q_a[7..0] : output;
q_b[7..0] : output;
wren_a : input;
)
VARIABLE
ram_block1a0 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 0,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 0,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a1 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 1,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 1,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a2 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 2,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 2,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a3 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 3,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 3,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a4 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 4,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 4,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a5 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 5,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 5,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a6 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 6,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 6,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
ram_block1a7 : cyclone_ram_block
WITH (
CONNECTIVITY_CHECKING = "OFF",
LOGICAL_RAM_NAME = "ALTSYNCRAM",
MIXED_PORT_FEED_THROUGH_MODE = "dont_care",
OPERATION_MODE = "dual_port",
PORT_A_ADDRESS_CLEAR = "none",
PORT_A_ADDRESS_WIDTH = 7,
PORT_A_DATA_IN_CLEAR = "none",
PORT_A_DATA_WIDTH = 1,
PORT_A_FIRST_ADDRESS = 0,
PORT_A_FIRST_BIT_NUMBER = 7,
PORT_A_LAST_ADDRESS = 127,
PORT_A_LOGICAL_RAM_DEPTH = 128,
PORT_A_LOGICAL_RAM_WIDTH = 8,
PORT_A_WRITE_ENABLE_CLEAR = "none",
PORT_B_ADDRESS_CLEAR = "none",
PORT_B_ADDRESS_CLOCK = "clock0",
PORT_B_ADDRESS_WIDTH = 7,
PORT_B_DATA_WIDTH = 1,
PORT_B_FIRST_ADDRESS = 0,
PORT_B_FIRST_BIT_NUMBER = 7,
PORT_B_LAST_ADDRESS = 127,
PORT_B_LOGICAL_RAM_DEPTH = 128,
PORT_B_LOGICAL_RAM_WIDTH = 8,
PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
RAM_BLOCK_TYPE = "auto"
);
address_a_wire[6..0] : WIRE;
address_b_wire[6..0] : WIRE;
 
BEGIN
ram_block1a[7..0].clk0 = clock0;
ram_block1a[0].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[1].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[2].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[3].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[4].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[5].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[6].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[7].portaaddr[] = ( address_a_wire[6..0]);
ram_block1a[0].portadatain[] = ( data_a[0..0]);
ram_block1a[1].portadatain[] = ( data_a[1..1]);
ram_block1a[2].portadatain[] = ( data_a[2..2]);
ram_block1a[3].portadatain[] = ( data_a[3..3]);
ram_block1a[4].portadatain[] = ( data_a[4..4]);
ram_block1a[5].portadatain[] = ( data_a[5..5]);
ram_block1a[6].portadatain[] = ( data_a[6..6]);
ram_block1a[7].portadatain[] = ( data_a[7..7]);
ram_block1a[7..0].portawe = wren_a;
ram_block1a[0].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[1].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[2].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[3].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[4].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[5].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[6].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[7].portbaddr[] = ( address_b_wire[6..0]);
ram_block1a[0].portbrewe = B"1";
ram_block1a[1].portbrewe = B"1";
ram_block1a[2].portbrewe = B"1";
ram_block1a[3].portbrewe = B"1";
ram_block1a[4].portbrewe = B"1";
ram_block1a[5].portbrewe = B"1";
ram_block1a[6].portbrewe = B"1";
ram_block1a[7].portbrewe = B"1";
address_a_wire[] = address_a[];
address_b_wire[] = address_b[];
q_b[] = ( ram_block1a[7].portbdataout[0..0], ram_block1a[6].portbdataout[0..0], ram_block1a[5].portbdataout[0..0], ram_block1a[4].portbdataout[0..0], ram_block1a[3].portbdataout[0..0], ram_block1a[2].portbdataout[0..0], ram_block1a[1].portbdataout[0..0], ram_block1a[0].portbdataout[0..0]);
END;
--VALID FILE
/trunk/QU2/db/ClaiRISC_core.(2).cnf.hdb
0,0 → 1,5
 
 
 
+ðf%žéÄ¿³¥Ï$Iì{©I©*¥Š”ÊRr¥äHI“”ÝpŽ²w°mv€-3º`ÇgÓ¬ðZJxÅ68—18×18›|^‰/ŽZ¶/™$v•2›K™k,ʘ½
/trunk/QU2/db/ClaiRISC_core.map.qmsg
0,0 → 1,11
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 4.2 Build 157 12/07/2004 SJ Full Version " "Info: Version 4.2 Build 157 12/07/2004 SJ Full Version" { } { } 0} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 10 16:26:40 2008 " "Info: Processing started: Mon Mar 10 16:26:40 2008" { } { } 0} } { } 4}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --import_settings_files=on --export_settings_files=off ClaiRISC -c ClaiRISC_core " "Info: Command: quartus_map --import_settings_files=on --export_settings_files=off ClaiRISC -c ClaiRISC_core" { } { } 0}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../SYN/rev_1/ClaiRISC_core.vqm 7 7 " "Info: Found 7 design units, including 7 entities, in source file ../SYN/rev_1/ClaiRISC_core.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_Z1 " "Info: Found entity 1: altsyncram_Z1" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 30 21 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "2 ram128x8 " "Info: Found entity 2: ram128x8" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 75 16 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "3 wb_mem_man " "Info: Found entity 3: wb_mem_man" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 206 18 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "4 altsyncram_Z2 " "Info: Found entity 4: altsyncram_Z2" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2731 21 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "5 rom128x12 " "Info: Found entity 5: rom128x12" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2761 17 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "6 pram " "Info: Found entity 6: pram" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2851 12 0 } } } 0} { "Info" "ISGN_ENTITY_NAME" "7 ClaiRISC_core " "Info: Found entity 7: ClaiRISC_core" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2927 21 0 } } } 0} } { } 0}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "c:/altera/quartus42/libraries/megafunctions/altsyncram.tdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file c:/altera/quartus42/libraries/megafunctions/altsyncram.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram " "Info: Found entity 1: altsyncram" { } { { "altsyncram.tdf" "" { Text "c:/altera/quartus42/libraries/megafunctions/altsyncram.tdf" 431 1 0 } } } 0} } { } 0}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_hg91.tdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file db/altsyncram_hg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_hg91 " "Info: Found entity 1: altsyncram_hg91" { } { { "db/altsyncram_hg91.tdf" "" { Text "D:/LWRISC/QU2/db/altsyncram_hg91.tdf" 40 1 0 } } } 0} } { } 0}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u8r.tdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file db/altsyncram_u8r.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u8r " "Info: Found entity 1: altsyncram_u8r" { } { { "db/altsyncram_u8r.tdf" "" { Text "D:/LWRISC/QU2/db/altsyncram_u8r.tdf" 40 1 0 } } } 0} } { } 0}
{ "Warning" "WCDB_CDB_FILE_NOT_FOUND" "D:/LWRISC/QU2/init_file.mif " "Warning: Can't find Memory Initialization File or Hexadecimal (Intel-Format) File D:/LWRISC/QU2/init_file.mif -- setting all initial values to 0" { } { } 0}
{ "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN_HDR" "8 " "Warning: Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[0\] " "Warning: No output dependent on input pin \"wb_din\[0\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[1\] " "Warning: No output dependent on input pin \"wb_din\[1\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[2\] " "Warning: No output dependent on input pin \"wb_din\[2\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[3\] " "Warning: No output dependent on input pin \"wb_din\[3\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[4\] " "Warning: No output dependent on input pin \"wb_din\[4\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[5\] " "Warning: No output dependent on input pin \"wb_din\[5\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[6\] " "Warning: No output dependent on input pin \"wb_din\[6\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} { "Warning" "WSCL_SCL_UNNECESSARY_INPUT_PIN" "wb_din\[7\] " "Warning: No output dependent on input pin \"wb_din\[7\]\"" { } { { "../SYN/rev_1/ClaiRISC_core.vqm" "" { Text "D:/LWRISC/SYN/rev_1/ClaiRISC_core.vqm" 2938 21 0 } } } 0} } { } 0}
{ "Info" "ISCL_SCL_TM_SUMMARY" "305 " "Info: Implemented 305 device resources after synthesis - the final resource count might be different" { { "Info" "ISCL_SCL_TM_IPINS" "26 " "Info: Implemented 26 input pins" { } { } 0} { "Info" "ISCL_SCL_TM_OPINS" "16 " "Info: Implemented 16 output pins" { } { } 0} { "Info" "ISCL_SCL_TM_LCELLS" "247 " "Info: Implemented 247 logic cells" { } { } 0} { "Info" "ISCL_SCL_TM_RAMS" "16 " "Info: Implemented 16 RAM segments" { } { } 0} } { } 0}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 10 s " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 10 warnings" { { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 10 16:26:47 2008 " "Info: Processing ended: Mon Mar 10 16:26:47 2008" { } { } 0} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Info: Elapsed time: 00:00:07" { } { } 0} } { } 0}
/trunk/QU2/db/ClaiRISC_core.(4).cnf.hdb
0,0 → 1,9
 
+ÔŽydÈQ`=ҧ̒1í{E©£Ï&¦wÔ4ŠV"^±næõC*•'‚l[$¸<„^Ýt…€åôÂYÛrK©£˜yR.}/×/Úº£Gu?»)oéÙÍÕ̶¶#g͘¸Ë‰04#6J‰›ÞH SH£‚#KIï¬]!>†°VðÓ„ +2y- +˜$a­: ¯ýÉ…§~Å /oÂ5`V`9à3b…è…MBr¬ç¹•Ý ä¬D£NØä$;R,ù%|YOŠã™lÄHM¾Òß›ƒÏ¾ù¼òî쓯öå ø®ð;Å*4+Vñ'Ѫw/gPb=#ÁæE3鬌ù·óÆ +^Ä¿Tü];s÷ÿÿxºÆÌl@,ÄÁî~ñ>þÎŽ!žþ@†gpÈ[!­Èk`%L@i¨’× ` ¤«_H|pH§Ÿ{<xÞàå°b $ó|]ƒƒÝ]!J”÷Š_ºW" +5Ï=È?4 >$2ªJEû£Îy°*N  +vG8,ØÙÃÕ×±¢'þ- PŠd?ƒyºâéæé \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.(8).cnf.hdb
0,0 → 1,7
 
+õs3ƒ<ƒã“ó‹RõÊ +sÿÿxºÆÌl@,ÄÁî~ñ>þÎŽ!žþ@†gpÈ[!­Èk`%L@i¨’× ` ¤«_H|pH§Ÿ{<xÞàå°bN$ó|]ƒƒÝ]!J”÷Š_ºW" +5Ï=È?4 >$2ªJEû£Îy°*V  +vG8,ØÙÃÕ×±¢'þ- PŠd?ƒyºâéæé \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.icc
0,0 → 1,1541
EP1C6Q240C6
9.88119e-007
301
0 30 30 2126293 0 0 2 1 0 -1 -1
1 30 30 21262b2 0 0 18 0 0 -1 -1
2 30 30 21262d1 0 35 6 0 0 -1 -1
3 30 30 21262f0 0 34 21 0 0 -1 -1
4 30 30 212630f 0 8 0 0 0 -1 -1
5 30 30 212632e 0 24 0 1 0 -1 -1
6 30 30 212634d 0 30 21 2 0 -1 -1
7 30 30 212636c 0 35 20 0 0 -1 -1
8 30 30 bdf485e6 0 10 0 2 0 -1 -1
9 30 30 bdf48605 0 12 0 1 0 -1 -1
10 30 30 bdf48624 0 12 0 0 0 -1 -1
11 30 30 bdf48643 0 8 21 2 0 -1 -1
12 30 30 bdf48662 0 10 21 1 0 -1 -1
13 30 30 bdf48681 0 12 21 1 0 -1 -1
14 30 30 bdf486a0 0 12 21 2 0 -1 -1
15 30 30 bdf486bf 0 12 21 0 0 -1 -1
16 30 30 bdf4fa45 0 14 0 0 0 -1 -1
17 30 30 bdf4fa64 0 12 0 2 0 -1 -1
18 30 30 bdf4fa83 0 0 8 0 0 -1 -1
19 30 30 bdf4faa2 0 10 0 0 0 -1 -1
20 30 30 bdf4fac1 0 10 21 2 0 -1 -1
21 30 30 bdf4fae0 0 10 21 0 0 -1 -1
22 30 30 bdf4faff 0 0 14 2 0 -1 -1
23 30 30 bdf4fb1e 0 10 0 1 0 -1 -1
24 3 1 29c8b8f2 6394d94f 13 9 -1 0 24 0 fff8 0 0
25 3 1 29c8b8f2 6394d950 13 9 -1 0 25 0 fff8 0 0
26 3 1 29c8b8f2 6394d951 12 10 -1 0 26 0 fff0 0 0
27 3 1 29c8b8f2 6394d952 11 12 -1 0 27 0 f8ff 0 0
28 3 1 29c8b8f2 6394d953 11 12 -1 0 28 0 f8ff 0 0
29 3 1 29c8b8f2 6394d954 13 14 -1 0 29 0 f8ff 0 0
30 3 1 29c8b8f2 6394d955 13 14 -1 0 30 0 f8ff 0 0
31 3 1 29c8b8f2 6394d956 13 14 -1 0 31 0 f8ff 0 0
32 3 1 29c8b8f2 6394dd10 13 9 -1 0 32 0 fff8 0 0
33 3 1 29c8b8f2 6394dd11 13 9 -1 0 33 0 fff8 0 0
34 3 1 29c8b8f2 6394dd12 11 10 -1 0 34 0 fff0 0 0
35 3 1 29c8b8f2 6394dd13 11 11 -1 0 35 0 f8ff 0 0
36 3 1 29c8b8f2 6394dd14 11 11 -1 0 36 0 f8ff 0 0
37 3 1 29c8b8f2 6394dd15 11 11 -1 0 37 0 f8ff 0 0
38 3 1 29c8b8f2 6394dd16 12 14 -1 0 38 0 f8ff 0 0
39 3 1 29c8b8f2 6394dd17 11 11 -1 0 39 0 f8ff 0 0
40 30 30 18122 0 0 11 0 2 -1 -1
41 3 1 791b9eba 0 13 9 -1 0 41 0 f000 0 0
42 3 1 29c8b8f2 507c7117 13 13 -1 0 42 0 40ef 0 0
43 3 1 4451d46c 0 12 9 -1 0 43 0 8c80 0 0
44 3 1 4451600d 0 12 9 -1 0 44 0 c8 0 0
45 30 30 1ba53 0 16 21 2 0 -1 -1
46 3 1 414769c 0 13 14 -1 0 46 0 aaae 0 0
47 3 1 791b9ed9 0 13 9 -1 0 47 0 f000 0 0
48 3 1 29c8b8f2 507c7118 12 13 -1 0 48 0 40ef 0 0
49 3 1 4451d48b 0 13 10 -1 0 49 0 808c 0 0
50 3 1 4451602c 0 13 10 -1 0 50 0 1 0 0
51 3 1 4451604b 0 12 10 -1 0 51 0 c8 0 0
52 3 1 3529384f 0 12 10 -1 0 52 0 ff80 0 0
53 3 1 c405c940 0 11 12 -1 0 53 0 100 0 0
54 3 1 3ca35434 0 11 13 -1 0 54 0 6969 0 1
55 3 1 36de856c 0 14 11 -1 0 55 0 8ff0 0 0
56 3 1 36f4573c 0 13 11 -1 0 56 0 737f 0 0
57 3 1 3ca35435 0 11 13 -1 0 57 0 9696 0 1
58 3 1 3a4836c9 0 13 12 -1 0 58 0 8ff0 0 0
59 3 1 3a5e0899 0 12 12 -1 0 59 0 5d7f 0 0
60 3 1 3ca35436 0 11 13 -1 0 60 0 6969 0 1
61 3 1 3f66c0c5 0 14 11 -1 0 61 0 8ff0 0 0
62 3 1 3f7c9295 0 13 13 -1 0 62 0 5d7f 0 0
63 3 1 3ca35437 0 11 13 -1 0 63 0 9696 0 1
64 3 1 3f66c0e4 0 13 12 -1 0 64 0 8ff0 0 0
65 3 1 3f7c92b4 0 12 12 -1 0 65 0 737f 0 0
66 3 1 3ca35438 0 11 13 -1 0 66 0 6969 0 1
67 3 1 3f66c103 0 14 11 -1 0 67 0 8ff0 0 0
68 3 1 3f7c92d3 0 12 11 -1 0 68 0 5d7f 0 0
69 3 1 4147694 0 13 9 -1 0 69 0 aaea 0 0
70 3 1 4606ad0b 0 13 9 -1 0 70 0 30 0 0
71 3 1 29c8b8f2 3499a7c 14 13 -1 0 71 0 21 1 0
72 11 13 1332c5e0 65c0f2b0 17 13 -1 0 -1 -1 0
73 3 1 29c8b8f2 f13ab48b 15 13 -1 0 73 0 b00 0 0
74 3 1 29c8b8f2 41840580 13 13 -1 0 74 0 330f 0 0
75 3 1 4607216a 0 13 11 -1 0 75 0 1f10 0 0
76 3 1 4a4a8fb 0 14 9 -1 0 76 0 f 0 0
77 3 1 777d0c4a 0 12 9 -1 0 77 0 3f0c 0 0
78 3 1 37b09857 0 16 12 -1 0 78 0 f000 0 0
79 3 1 75c833ab 0 11 12 -1 0 79 0 4140 0 0
80 3 1 3ca35431 0 11 13 -1 0 80 0 6666 0 1
81 3 1 222e4702 0 12 9 -1 0 81 0 a208 0 0
82 3 1 6cb10700 0 16 12 -1 0 82 0 efff 1 0
83 3 1 d7acb642 0 13 10 -1 0 83 0 fff8 0 0
84 11 13 1332c5e0 65c0f2cf 17 13 -1 0 -1 -1 0
85 3 1 29c8b8f2 4184059f 12 13 -1 0 85 0 330f 0 0
86 3 1 777d0c69 0 13 10 -1 0 86 0 c3f 0 0
87 3 1 37b098d3 0 16 12 -1 0 87 0 c 0 0
88 3 1 222dd2c2 0 13 10 -1 0 88 0 c00 0 0
89 3 1 4f10e45a 0 13 10 -1 0 89 0 82a 0 0
90 3 1 75c833ca 0 13 10 -1 0 90 0 6777 0 0
91 3 1 3ca35433 0 11 13 -1 0 91 0 9696 0 1
92 3 1 222e4740 0 12 10 -1 0 92 0 f8f9 0 0
93 3 1 d7acb661 0 12 10 -1 0 93 0 fff0 0 0
94 3 1 29c8b8f2 507c7119 15 13 -1 0 94 0 40ef 0 0
95 3 1 4451d4aa 0 12 10 -1 0 95 0 80a2 0 0
96 3 1 37b098b4 0 13 11 -1 0 96 0 ffe0 0 0
97 3 1 997e2732 0 15 11 -1 0 97 0 f0 0 0
98 3 1 d7acb680 0 13 11 -1 0 98 0 f8ff 0 0
99 3 1 29c8b8f2 507c711a 14 13 -1 0 99 0 40ef 0 0
100 3 1 f9a0208a 0 14 11 -1 0 100 0 3470 0 0
101 3 1 18d6921a 0 13 11 -1 0 101 0 f3c0 0 0
102 3 1 d7acb69f 0 11 12 -1 0 102 0 f8ff 0 0
103 3 1 29c8b8f2 507c711b 14 13 -1 0 103 0 40ef 0 0
104 3 1 c9627a67 0 13 12 -1 0 104 0 3470 0 0
105 3 1 18d61dda 0 11 12 -1 0 105 0 fc0c 0 0
106 3 1 18d69239 0 12 12 -1 0 106 0 f3c0 0 0
107 3 1 d7acb6be 0 11 12 -1 0 107 0 f8ff 0 0
108 3 1 29c8b8f2 507c711c 15 12 -1 0 108 0 40ef 0 0
109 3 1 1060123 0 14 11 -1 0 109 0 3470 0 0
110 3 1 18d61df9 0 11 11 -1 0 110 0 fc0c 0 0
111 3 1 18d69258 0 13 13 -1 0 111 0 f3c0 0 0
112 3 1 d7acb6dd 0 12 14 -1 0 112 0 f8ff 0 0
113 3 1 29c8b8f2 507c711d 15 12 -1 0 113 0 40ef 0 0
114 3 1 1060142 0 13 12 -1 0 114 0 3470 0 0
115 3 1 18d69277 0 12 12 -1 0 115 0 f3c0 0 0
116 3 1 d7acb6fc 0 11 11 -1 0 116 0 f8ff 0 0
117 3 1 29c8b8f2 507c711e 14 12 -1 0 117 0 50cc 0 0
118 3 1 1060161 0 14 11 -1 0 118 0 3470 0 0
119 3 1 18d61e37 0 11 11 -1 0 119 0 fc0c 0 0
120 3 1 18d69296 0 12 11 -1 0 120 0 f3c0 0 0
121 3 1 29c8b8f2 d8ae9488 12 9 -1 0 121 0 fff8 0 0
122 3 1 bb9f139e 0 16 12 -1 0 122 0 3330 0 0
123 3 1 df5e3929 0 13 9 -1 0 123 0 3cc0 0 0
124 3 1 6e4c79ee 0 13 11 -1 0 124 0 1000 0 0
125 3 1 29c8b8f2 d5acd41f 14 13 -1 0 125 0 6f6f 1 0
126 3 1 29c8b8f2 34a0edb 14 13 -1 0 126 0 dede 1 0
127 3 1 29c8b8f2 541cc07a 13 14 -1 0 127 0 f8ff 0 0
128 3 1 29c8b8f2 541cc099 13 14 -1 0 128 0 f8ff 0 0
129 11 13 50efb548 65c07e51 17 12 -1 0 -1 -1 0
130 11 13 50efb548 65c07e70 17 12 -1 0 -1 -1 0
131 11 13 50efb548 65c07e8f 17 12 -1 0 -1 -1 0
132 11 13 50efb548 65c07eae 17 12 -1 0 -1 -1 0
133 11 13 50efb548 65c07ecd 17 12 -1 0 -1 -1 0
134 3 1 133b1285 0 15 13 -1 0 134 0 10 1 0
135 3 1 133b1266 0 15 13 -1 0 135 0 4000 1 0
136 3 1 29c8b8f2 7fd9d886 15 13 -1 0 136 0 bf 0 0
137 3 1 29c8b8f2 a2652d33 14 12 -1 0 137 0 33f0 0 0
138 3 1 e11311c8 0 11 12 -1 0 138 0 4146 0 0
139 3 1 d13a19ab 0 12 13 -1 0 139 0 6666 0 1
140 11 13 50efb548 65c07f2a 17 12 -1 0 -1 -1 0
141 11 13 50efb548 65c07f0b 17 12 -1 0 -1 -1 0
142 3 1 50073160 0 12 9 -1 0 142 0 2637 0 0
143 3 1 18d44c01 0 13 10 -1 0 143 0 fff8 0 0
144 3 1 29c8b8f2 d8ae94a7 14 10 -1 0 144 0 fff8 0 0
145 3 1 29c8b8f2 a2652d52 16 13 -1 0 145 0 33f0 0 0
146 3 1 506089cb 0 13 13 -1 0 146 0 6666 0 1
147 3 1 d13a19ac 0 12 13 -1 0 147 0 9696 0 1
148 11 13 50efb548 65c07eec 17 12 -1 0 -1 -1 0
149 3 1 4e5258e0 0 13 10 -1 0 149 0 2e1d 0 0
150 3 1 50ebc2ad 0 14 11 -1 0 150 0 9999 0 1
151 3 1 3ca35432 0 11 13 -1 0 151 0 6969 0 1
152 3 1 5007319e 0 12 10 -1 0 152 0 787 0 0
153 3 1 222dd2e1 0 12 10 -1 0 153 0 ed00 0 0
154 3 1 50ebc2cc 0 13 12 -1 0 154 0 a5a5 0 1
155 11 13 1332c5e0 65c0f2ee 17 13 -1 0 -1 -1 0
156 3 1 29c8b8f2 418405be 15 13 -1 0 156 0 330f 0 0
157 3 1 18d61d9c 0 13 9 -1 0 157 0 fc0c 0 0
158 3 1 777d0c88 0 12 10 -1 0 158 0 c3f 0 0
159 3 1 f8240918 0 16 12 -1 0 159 0 1000 0 0
160 11 13 1332c5e0 65c0f30d 17 13 -1 0 -1 -1 0
161 3 1 29c8b8f2 418405dd 14 13 -1 0 161 0 330f 0 0
162 3 1 da4b1c69 0 11 12 -1 0 162 0 3000 0 0
163 3 1 50ebc2eb 0 14 11 -1 0 163 0 c9c9 0 1
164 3 1 50608a09 0 13 13 -1 0 164 0 6c6c 0 1
165 3 1 d13a19ae 0 12 13 -1 0 165 0 9696 0 1
166 11 13 1332c5e0 65c0f32c 17 13 -1 0 -1 -1 0
167 3 1 29c8b8f2 418405fc 14 13 -1 0 167 0 330f 0 0
168 3 1 50ebc30a 0 13 12 -1 0 168 0 5a5a 0 1
169 3 1 50608a28 0 12 12 -1 0 169 0 a5a5 0 1
170 3 1 d13a19af 0 12 13 -1 0 170 0 6969 0 1
171 11 13 1332c5e0 65c0f34b 17 13 -1 0 -1 -1 0
172 3 1 29c8b8f2 4184061b 15 12 -1 0 172 0 330f 0 0
173 3 1 50ebc329 0 14 11 -1 0 173 0 9c9c 0 1
174 3 1 50608a47 0 13 13 -1 0 174 0 c6c6 0 1
175 3 1 d13a19b0 0 12 13 -1 0 175 0 9696 0 1
176 11 13 1332c5e0 65c0f36a 17 13 -1 0 -1 -1 0
177 3 1 29c8b8f2 4184063a 15 12 -1 0 177 0 330f 0 0
178 3 1 50ebc348 0 13 12 -1 0 178 0 a5a5 0 1
179 3 1 50608a66 0 12 12 -1 0 179 0 5a5a 0 1
180 3 1 d13a19b1 0 12 13 -1 0 180 0 6969 0 1
181 3 1 29c8b8f2 a2652e0c 14 12 -1 0 181 0 33f0 0 0
182 11 13 1332c5e0 65c0f389 17 13 -1 0 -1 -1 0
183 3 1 50ebc367 0 14 11 -1 0 183 0 c9c9 0 1
184 3 1 50608a85 0 13 13 -1 0 184 0 6c6c 0 1
185 3 1 d13a19b2 0 12 13 -1 0 185 0 9696 0 1
186 3 1 29c8b8f2 43eeb3bc 14 14 -1 0 186 0 ff00 0 0
187 3 1 29c8b8f2 34a833a 14 13 -1 0 187 0 7dbe 1 0
188 3 1 41476b9 0 13 14 -1 0 188 0 baaa 0 0
189 3 1 3f7a932d 0 16 11 -1 0 189 0 1111 0 0
190 3 1 3f7a934c 0 16 11 -1 0 190 0 4444 0 0
191 3 1 3f7a936b 0 16 11 -1 0 191 0 4444 0 0
192 3 1 3f7a938a 0 16 11 -1 0 192 0 4444 0 0
193 3 1 3f7a93a9 0 16 11 -1 0 193 0 4444 0 0
194 3 1 3f7a93c8 0 16 10 -1 0 194 0 4444 0 0
195 3 1 3f7a93e7 0 16 11 -1 0 195 0 4444 0 0
196 3 1 133b12a4 0 15 13 -1 0 196 0 f 1 0
197 3 1 133b1247 0 15 13 -1 0 197 0 30 1 0
198 3 1 133b12c3 0 15 13 -1 0 198 0 200 1 0
199 3 1 29c8b8f2 a2685bcc 14 12 -1 0 199 0 f33 0 0
200 3 1 29c8b8f2 b0f754c4 14 12 -1 0 200 0 e2e2 1 0
201 3 1 d7acb623 0 12 9 -1 0 201 0 ff0 1 0
202 3 1 29c8b8f2 a2685beb 16 13 -1 0 202 0 f33 0 0
203 3 1 29c8b8f2 b0f7c923 16 13 -1 0 203 0 e2e2 1 0
204 3 1 4e5258ff 0 12 10 -1 0 204 0 222 0 0
205 3 1 13082 0 13 12 -1 0 205 0 eeee 0 1
206 3 1 18d44c20 0 12 11 -1 0 206 0 fff0 0 0
207 3 1 29c8b8f2 d8ae94c6 15 10 -1 0 207 0 fff0 0 0
208 3 1 29c8b8f2 a2652d71 15 13 -1 0 208 0 33f0 0 0
209 3 1 506089ea 0 12 12 -1 0 209 0 5a5a 0 1
210 3 1 d13a19ad 0 12 13 -1 0 210 0 6969 0 1
211 3 1 7f93dd76 0 16 12 -1 0 211 0 3 0 0
212 3 1 18d4c09e 0 13 11 -1 0 212 0 f8ff 0 0
213 3 1 29c8b8f2 d8ae94e5 13 11 -1 0 213 0 f8ff 0 0
214 3 1 29c8b8f2 a2652d90 14 13 -1 0 214 0 33f0 0 0
215 3 1 18d5a97b 0 12 12 -1 0 215 0 f8ff 0 0
216 3 1 29c8b8f2 d8ae9504 10 12 -1 0 216 0 f8ff 0 0
217 3 1 29c8b8f2 a2652daf 14 13 -1 0 217 0 33f0 0 0
218 3 1 18d706b7 0 13 13 -1 0 218 0 f8ff 0 0
219 3 1 29c8b8f2 d8ae9523 13 13 -1 0 219 0 f8ff 0 0
220 3 1 29c8b8f2 a2652dce 15 12 -1 0 220 0 33f0 0 0
221 3 1 18d706d6 0 13 12 -1 0 221 0 f8ff 0 0
222 3 1 29c8b8f2 d8ae9542 10 12 -1 0 222 0 f8ff 0 0
223 3 1 29c8b8f2 a2652ded 15 12 -1 0 223 0 33f0 0 0
224 3 1 29c8b8f2 a2685ca5 14 12 -1 0 224 0 f33 0 0
225 3 1 29c8b8f2 b0fa835d 14 12 -1 0 225 0 e2e2 1 0
226 3 1 18d706f5 0 12 11 -1 0 226 0 f8ff 0 0
227 3 1 29c8b8f2 43eeb438 15 14 -1 0 227 0 ff00 0 0
228 3 1 50d1b85b 0 13 14 -1 0 228 0 2 0 0
229 3 1 b7a90c3d 0 16 10 -1 0 229 0 6666 0 1
230 3 1 b7a90c5c 0 16 11 -1 0 230 0 5a5a 0 1
231 3 1 b7a90c7b 0 16 10 -1 0 231 0 6c6c 0 1
232 3 1 b7a90c9a 0 16 11 -1 0 232 0 a5a5 0 1
233 3 1 b7a90cb9 0 16 10 -1 0 233 0 c6c6 0 1
234 3 1 b7a90cd8 0 16 11 -1 0 234 0 5a5a 0 1
235 3 1 29c8b8f2 541cbfdf 11 10 -1 0 235 0 fff8 0 0
236 3 1 29c8b8f2 d82df99a 12 9 -1 0 236 0 f531 0 0
237 3 1 29c8b8f2 8cd5ebc4 14 12 -1 0 237 0 ff00 0 0
238 30 30 b952949c 0 14 21 2 0 -1 -1
239 3 1 29c8b8f2 d82df9b9 13 10 -1 0 239 0 af23 0 0
240 3 1 29c8b8f2 541cbffe 11 10 -1 0 240 0 fff8 0 0
241 3 1 29c8b8f2 8cd5ebe3 16 13 -1 0 241 0 ff00 0 0
242 30 30 b95294bb 0 20 21 2 0 -1 -1
243 3 1 29c8b8f2 a2685c0a 16 13 -1 0 243 0 f33 0 0
244 3 1 29c8b8f2 b0f83d82 16 13 -1 0 244 0 e2e2 1 0
245 3 1 13081 0 12 12 -1 0 245 0 8888 0 1
246 3 1 29c8b8f2 a2685c29 13 11 -1 0 246 0 f33 0 0
247 3 1 29c8b8f2 b0f8b1e1 16 13 -1 0 247 0 e2e2 1 0
248 3 1 29c8b8f2 a2685c48 14 12 -1 0 248 0 f33 0 0
249 3 1 29c8b8f2 b0f92640 16 13 -1 0 249 0 e2e2 1 0
250 3 1 29c8b8f2 a2685c67 15 12 -1 0 250 0 f33 0 0
251 3 1 29c8b8f2 b0f99a9f 15 12 -1 0 251 0 e2e2 1 0
252 3 1 29c8b8f2 a2685c86 13 14 -1 0 252 0 f33 0 0
253 3 1 29c8b8f2 b0fa0efe 10 13 -1 0 253 0 e2e2 1 0
254 3 1 29c8b8f2 541cc0b8 13 14 -1 0 254 0 f8ff 0 0
255 3 1 29c8b8f2 d82dfa73 12 11 -1 0 255 0 eca0 0 0
256 3 1 29c8b8f2 8cd5ec9d 14 12 -1 0 256 0 ff00 0 0
257 30 30 b9529575 0 14 0 1 0 -1 -1
258 3 1 13080 0 16 11 -1 0 258 0 8888 0 1
259 3 1 29c8b8f2 8cac6741 16 12 -1 0 259 0 10 0 0
260 3 1 29c8b8f2 8cacdba0 16 12 -1 0 260 0 5545 0 0
261 3 1 29c8b8f2 84d11203 12 9 -1 0 261 0 22e2 0 0
262 30 30 b952203d 0 14 21 0 0 -1 -1
263 30 30 b952205c 0 20 21 1 0 -1 -1
264 3 1 29c8b8f2 d82df9d8 12 11 -1 0 264 0 51f3 0 0
265 3 1 29c8b8f2 541cc01d 11 10 -1 0 265 0 fff0 0 0
266 3 1 29c8b8f2 8cd5ec02 16 13 -1 0 266 0 ff00 0 0
267 30 30 b95294da 0 16 0 0 0 -1 -1
268 3 1 29c8b8f2 d82df9f7 13 11 -1 0 268 0 af23 0 0
269 3 1 29c8b8f2 541cc03c 11 10 -1 0 269 0 f8ff 0 0
270 3 1 29c8b8f2 8cd5ec21 16 13 -1 0 270 0 ff00 0 0
271 30 30 b95294f9 0 20 21 0 0 -1 -1
272 3 1 29c8b8f2 541cc05b 11 10 -1 0 272 0 f8ff 0 0
273 3 1 29c8b8f2 d82dfa16 16 12 -1 0 273 0 af23 0 0
274 3 1 29c8b8f2 8cd5ec40 19 12 -1 0 274 0 ff00 0 0
275 30 30 b9529518 0 16 21 1 0 -1 -1
276 3 1 29c8b8f2 d82dfa35 15 12 -1 0 276 0 af23 0 0
277 3 1 29c8b8f2 8cd5ec5f 15 12 -1 0 277 0 ff00 0 0
278 30 30 b9529537 0 16 21 0 0 -1 -1
279 3 1 29c8b8f2 d82dfa54 13 12 -1 0 279 0 eca0 0 0
280 3 1 29c8b8f2 8cd5ec7e 10 13 -1 0 280 0 ff00 0 0
281 30 30 b9529556 0 0 13 0 0 -1 -1
282 30 30 b9522116 0 14 0 2 0 -1 -1
283 3 1 29c8b8f2 95234021 11 13 -1 0 283 0 330f 0 0
284 3 1 4772e23 0 12 9 -1 0 284 0 f0 0 0
285 3 1 29c8b8f2 2c8797ea 12 11 -1 0 285 0 3f3 0 0
286 30 30 b952207b 0 35 12 2 2 -1 -1
287 30 30 b952209a 0 35 12 1 2 -1 -1
288 30 30 b95220b9 0 16 0 2 0 -1 -1
289 30 30 b95220d8 0 14 21 1 0 -1 -1
290 30 30 b95220f7 0 8 21 0 0 -1 -1
291 3 1 4d9d00e1 0 11 12 -1 0 291 0 e0f 0 0
292 3 1 e8557a51 0 11 13 -1 0 292 0 f0f 0 1
293 3 1 50608aa4 0 12 12 -1 0 293 0 f0f 0 1
294 3 1 c0bd9d7b 0 16 12 -1 0 294 0 ffec 0 0
295 3 1 c09d0fcd 0 12 11 -1 0 295 0 400 0 0
296 3 1 d985c6f 0 12 11 -1 0 296 0 1 0 0
297 3 1 d985c3f 0 12 11 -1 0 297 0 f 0 0
298 3 1 e13ececc 0 9 11 -1 0 298 0 0 0 0
299 30 30 dd306e2f 0 0 13 1 2 -1 -1
300 30 30 dabd5a23 0 0 9 0 2 -1 -1
1204
33e98c1d 1 24 8
33e98c1e 1 25 9
33e98c1f 1 26 10
33e98c20 1 27 11
33e98c21 1 28 12
33e98c22 1 29 13
33e98c23 1 30 14
33e98c24 1 31 15
33e98fde 1 32 16
33e98fdf 1 33 17
33e98fe0 1 34 18
33e98fe1 1 35 19
33e98fe2 1 36 20
33e98fe3 1 37 21
33e98fe4 1 38 22
33e98fe5 1 39 23
18122 0 40 24
791b9eba 0 41 24
20d123e5 0 42 24
4451d46c 0 43 24
4451600d 0 44 24
1ba53 0 45 24
414769c 0 46 24
18122 0 40 25
791b9ed9 0 47 25
20d123e6 0 48 25
4451d48b 0 49 25
4451602c 0 50 25
1ba53 0 45 25
414769c 0 46 25
18122 0 40 26
4451604b 0 51 26
3529384f 0 52 26
1ba53 0 45 26
414769c 0 46 26
18122 0 40 27
c405c940 0 53 27
3ca35434 0 54 27
36de856c 0 55 27
36f4573c 0 56 27
1ba53 0 45 27
414769c 0 46 27
18122 0 40 28
c405c940 0 53 28
3ca35435 0 57 28
3a4836c9 0 58 28
3a5e0899 0 59 28
1ba53 0 45 28
414769c 0 46 28
18122 0 40 29
c405c940 0 53 29
3ca35436 0 60 29
3f66c0c5 0 61 29
3f7c9295 0 62 29
1ba53 0 45 29
414769c 0 46 29
18122 0 40 30
c405c940 0 53 30
3ca35437 0 63 30
3f66c0e4 0 64 30
3f7c92b4 0 65 30
1ba53 0 45 30
414769c 0 46 30
18122 0 40 31
c405c940 0 53 31
3ca35438 0 66 31
3f66c103 0 67 31
3f7c92d3 0 68 31
1ba53 0 45 31
414769c 0 46 31
18122 0 40 32
791b9eba 0 41 32
20d123e5 0 42 32
4451d46c 0 43 32
4451600d 0 44 32
1ba53 0 45 32
4147694 0 69 32
18122 0 40 33
791b9ed9 0 47 33
20d123e6 0 48 33
4451d48b 0 49 33
4451602c 0 50 33
1ba53 0 45 33
4147694 0 69 33
18122 0 40 34
4451604b 0 51 34
3529384f 0 52 34
1ba53 0 45 34
4147694 0 69 34
18122 0 40 35
c405c940 0 53 35
3ca35434 0 54 35
36de856c 0 55 35
36f4573c 0 56 35
1ba53 0 45 35
4147694 0 69 35
18122 0 40 36
c405c940 0 53 36
3ca35435 0 57 36
3a4836c9 0 58 36
3a5e0899 0 59 36
1ba53 0 45 36
4147694 0 69 36
18122 0 40 37
c405c940 0 53 37
3ca35436 0 60 37
3f66c0c5 0 61 37
3f7c9295 0 62 37
1ba53 0 45 37
4147694 0 69 37
18122 0 40 38
c405c940 0 53 38
3ca35437 0 63 38
3f66c0e4 0 64 38
3f7c92b4 0 65 38
1ba53 0 45 38
4147694 0 69 38
18122 0 40 39
c405c940 0 53 39
3ca35438 0 66 39
3f66c103 0 67 39
3f7c92d3 0 68 39
1ba53 0 45 39
4147694 0 69 39
d7acb623 1 201 41
4606ad0b 0 70 41
a0fdd06 0 71 42
e2ddd0d0 0 72 42
e327497d 0 73 42
f1f7b7f2 0 74 42
d7acb623 1 201 43
4607216a 0 75 43
4a4a8fb 0 76 43
777d0c4a 0 77 43
37b09857 1 78 44
75c833ab 0 79 44
3ca35431 0 80 44
222e4702 0 81 44
1ba53 0 45 46
133b1285 1 134 46
133b1247 1 197 46
2c64fafd 0 82 46
d7acb642 1 83 47
4606ad0b 0 70 47
a0fdd06 0 71 48
e2ddd0ef 0 84 48
e327497d 0 73 48
f1f7b811 0 85 48
d7acb642 1 83 49
4607216a 0 75 49
4a4a8fb 0 76 49
777d0c69 0 86 49
37b098d3 1 87 50
222dd2c2 0 88 50
4f10e45a 0 89 50
75c833ca 0 90 50
37b09857 1 78 51
75c833ab 0 79 51
3ca35433 0 91 51
222e4740 0 92 51
d7acb661 1 93 52
4606ad0b 0 70 52
20d123e7 0 94 52
4451d4aa 0 95 52
37b098d3 1 87 53
37b09857 1 78 53
37b098b4 1 96 53
997e2732 1 97 53
d7acb680 1 98 54
20d123e8 0 99 54
e8557a4c 2 91 54
e9459fc6 2 91 54
d7acb680 1 98 55
4606ad0b 0 70 55
20d123e8 0 99 55
f9a0208a 0 100 55
d7acb680 1 98 56
4607216a 0 75 56
4a4a8fb 0 76 56
18d6921a 0 101 56
d7acb69f 1 102 57
20d123e9 0 103 57
e8557a4d 2 54 57
10e9c455 2 54 57
d7acb69f 1 102 58
4606ad0b 0 70 58
20d123e9 0 103 58
c9627a67 0 104 58
4607216a 0 75 59
4a4a8fb 0 76 59
18d61dda 0 105 59
18d69239 0 106 59
d7acb6be 1 107 60
20d123ea 0 108 60
e8557a4e 2 57 60
d7acb6be 1 107 61
4606ad0b 0 70 61
20d123ea 0 108 61
1060123 0 109 61
4607216a 0 75 62
4a4a8fb 0 76 62
18d61df9 0 110 62
18d69258 0 111 62
d7acb6dd 1 112 63
20d123eb 0 113 63
e8557a4e 2 57 63
e8557a4f 2 60 63
a612ecc9 2 60 63
d7acb6dd 1 112 64
4606ad0b 0 70 64
20d123eb 0 113 64
1060142 0 114 64
d7acb6dd 1 112 65
4607216a 0 75 65
4a4a8fb 0 76 65
18d69277 0 115 65
d7acb6fc 1 116 66
20d123ec 0 117 66
e8557a4e 2 57 66
e8557a50 2 63 66
3a575bca 2 63 66
d7acb6fc 1 116 67
4606ad0b 0 70 67
20d123ec 0 117 67
1060161 0 118 67
4607216a 0 75 68
4a4a8fb 0 76 68
18d61e37 0 119 68
18d69296 0 120 68
1ba53 0 45 69
133b1285 1 134 69
133b1247 1 197 69
2c64fafd 0 82 69
37b098b4 1 96 70
df5e3929 0 123 70
6e4c79ee 0 124 70
18122 0 40 71
133b1266 1 135 71
c58cbf68 0 125 71
133b1266 1 135 71
b089cc2a 0 126 71
6cb10700 1 82 72
18122 0 40 72
87f5bd4d 0 121 72
133b1247 1 197 72
133b1266 1 135 72
133b1285 1 134 72
133b12a4 1 196 72
133b12c3 1 198 72
24717348 1 127 72
24717367 1 128 72
65ffc809 0 129 72
65ffc828 0 130 72
65ffc847 0 131 72
65ffc866 0 132 72
65ffc885 0 133 72
24717348 1 127 72
24717367 1 128 72
133b12c3 1 198 73
f952c875 0 134 73
9511184 0 135 73
a8f4f8f8 0 136 73
e6a1cbd6 1 121 74
4b60b25 0 137 74
e327497d 0 73 74
37b098b4 1 96 75
997e2732 1 97 75
e11311c8 0 138 75
6e4c79ee 0 124 75
37b09857 1 78 76
6e4c79ee 0 124 76
6e4c79ee 0 124 77
20d123e5 0 42 77
d13a19ab 0 139 77
18122 0 40 78
65ffc8e2 0 140 78
65ffc8c3 0 141 78
37b098d3 1 87 79
37b09857 1 78 79
37b098b4 1 96 79
997e2732 1 97 79
d7acb623 1 201 80
20d123e5 0 42 80
37b09857 1 78 81
37b098b4 1 96 81
20d123e5 0 42 81
50073160 0 142 81
18122 0 40 82
133b12c3 1 198 82
133b12a4 1 196 82
65ffc8a4 0 148 82
133b1266 1 135 82
18122 0 40 83
791b9ed9 0 47 83
20d123e6 0 48 83
4451d48b 0 49 83
4451602c 0 50 83
bb9f139e 1 122 83
6cb10700 1 82 84
18122 0 40 84
18d44c01 0 143 84
133b1247 1 197 84
133b1266 1 135 84
133b1285 1 134 84
133b12a4 1 196 84
133b12c3 1 198 84
24717348 1 127 84
24717367 1 128 84
65ffc809 0 129 84
65ffc828 0 130 84
65ffc847 0 131 84
65ffc866 0 132 84
65ffc885 0 133 84
24717348 1 127 84
24717367 1 128 84
e6a1cbf5 1 144 85
4b60b44 0 145 85
e327497d 0 73 85
6e4c79ee 0 124 86
506089cb 0 146 86
d13a19ac 0 147 86
18122 0 40 87
65ffc8a4 0 148 87
65ffc8e2 0 140 87
65ffc8c3 0 141 87
37b09857 1 78 88
37b098b4 1 96 88
4e5258e0 0 149 88
37b09857 1 78 89
997e2732 1 97 89
20d123e6 0 48 89
50ebc2ad 0 150 89
37b09857 1 78 90
37b098b4 1 96 90
997e2732 1 97 90
3ca35432 0 151 90
d7acb661 1 93 91
20d123e7 0 94 91
e8557a4b 2 151 91
550130c5 2 151 91
997e2732 1 97 92
5007319e 0 152 92
222dd2e1 0 153 92
50ebc2cc 0 154 92
18122 0 40 93
4451604b 0 51 93
3529384f 0 52 93
bb9f139e 1 122 93
a0fdd06 0 71 94
e2ddd10e 0 155 94
e327497d 0 73 94
f1f7b830 0 156 94
4607216a 0 75 95
4a4a8fb 0 76 95
18d61d9c 0 157 95
777d0c88 0 158 95
18122 0 40 96
65ffc8a4 0 148 96
65ffc8e2 0 140 96
65ffc8c3 0 141 96
f8240918 0 159 96
18122 0 40 97
65ffc8e2 0 140 97
65ffc8c3 0 141 97
18122 0 40 98
c405c940 0 53 98
3ca35434 0 54 98
36de856c 0 55 98
36f4573c 0 56 98
bb9f139e 1 122 98
a0fdd06 0 71 99
e2ddd12d 0 160 99
e327497d 0 73 99
f1f7b84f 0 161 99
997e2732 1 97 100
da4b1c69 0 162 100
20d123e8 0 99 100
50ebc2eb 0 163 100
6e4c79ee 0 124 101
50608a09 0 164 101
d13a19ae 0 165 101
18122 0 40 102
c405c940 0 53 102
3ca35435 0 57 102
3a4836c9 0 58 102
3a5e0899 0 59 102
bb9f139e 1 122 102
a0fdd06 0 71 103
e2ddd14c 0 166 103
e327497d 0 73 103
f1f7b86e 0 167 103
997e2732 1 97 104
da4b1c69 0 162 104
20d123e9 0 103 104
50ebc30a 0 168 104
d7acb69f 1 102 105
37b098b4 1 96 105
20d123e8 0 99 105
6e4c79ee 0 124 106
50608a28 0 169 106
d13a19af 0 170 106
18122 0 40 107
c405c940 0 53 107
3ca35436 0 60 107
3f66c0c5 0 61 107
3f7c9295 0 62 107
bb9f139e 1 122 107
a0fdd06 0 71 108
e2ddd16b 0 171 108
e327497d 0 73 108
f1f7b88d 0 172 108
997e2732 1 97 109
da4b1c69 0 162 109
20d123ea 0 108 109
50ebc329 0 173 109
d7acb6be 1 107 110
37b098b4 1 96 110
20d123e9 0 103 110
6e4c79ee 0 124 111
50608a47 0 174 111
d13a19b0 0 175 111
18122 0 40 112
c405c940 0 53 112
3ca35437 0 63 112
3f66c0e4 0 64 112
3f7c92b4 0 65 112
bb9f139e 1 122 112
a0fdd06 0 71 113
e2ddd18a 0 176 113
e327497d 0 73 113
f1f7b8ac 0 177 113
997e2732 1 97 114
da4b1c69 0 162 114
20d123eb 0 113 114
50ebc348 0 178 114
6e4c79ee 0 124 115
50608a66 0 179 115
d13a19b1 0 180 115
18122 0 40 116
c405c940 0 53 116
3ca35438 0 66 116
3f66c103 0 67 116
3f7c92d3 0 68 116
bb9f139e 1 122 116
a0fdd06 0 71 117
4b60bfe 0 181 117
e2ddd1a9 0 182 117
e327497d 0 73 117
997e2732 1 97 118
da4b1c69 0 162 118
20d123ec 0 117 118
50ebc367 0 183 118
d7acb6fc 1 116 119
37b098b4 1 96 119
20d123eb 0 113 119
6e4c79ee 0 124 120
50608a85 0 184 120
d13a19b2 0 185 120
18122 0 40 121
791b9eba 0 41 121
20d123e5 0 42 121
4451600d 0 44 121
4451d46c 0 43 121
18122 0 40 122
65ffc8a4 0 148 122
65ffc8e2 0 140 122
f8240918 0 159 122
37b098d3 1 87 123
37b09857 1 78 123
997e2732 1 97 123
37b098d3 1 87 124
37b09857 1 78 124
37b098b4 1 96 124
997e2732 1 97 124
18122 0 40 125
2bb9940a 1 186 125
133b1247 1 197 125
6cb10700 1 82 125
18122 0 40 126
133b1285 1 134 126
b547758c 0 187 126
133b1285 1 134 126
18122 0 40 127
c405c940 0 53 127
3ca35436 0 60 127
3f66c0c5 0 61 127
3f7c9295 0 62 127
1ba53 0 45 127
41476b9 0 188 127
18122 0 40 128
c405c940 0 53 128
3ca35437 0 63 128
3f66c0e4 0 64 128
3f7c92b4 0 65 128
1ba53 0 45 128
41476b9 0 188 128
18122 0 40 129
e10685d3 0 189 129
e10685f2 0 190 129
e1068611 0 191 129
e1068630 0 192 129
e106864f 0 193 129
e106866e 0 194 129
e106868d 0 195 129
e13ececc 0 298 129
e13ececc 0 298 129
e13ececc 0 298 129
e13ececc 0 298 129
18122 0 40 130
e10685d3 0 189 130
e10685f2 0 190 130
e1068611 0 191 130
e1068630 0 192 130
e106864f 0 193 130
e106866e 0 194 130
e106868d 0 195 130
e13ececc 0 298 130
e13ececc 0 298 130
e13ececc 0 298 130
e13ececc 0 298 130
18122 0 40 131
e10685d3 0 189 131
e10685f2 0 190 131
e1068611 0 191 131
e1068630 0 192 131
e106864f 0 193 131
e106866e 0 194 131
e106868d 0 195 131
e13ececc 0 298 131
e13ececc 0 298 131
e13ececc 0 298 131
e13ececc 0 298 131
18122 0 40 132
e10685d3 0 189 132
e10685f2 0 190 132
e1068611 0 191 132
e1068630 0 192 132
e106864f 0 193 132
e106866e 0 194 132
e106868d 0 195 132
e13ececc 0 298 132
e13ececc 0 298 132
e13ececc 0 298 132
e13ececc 0 298 132
18122 0 40 133
e10685d3 0 189 133
e10685f2 0 190 133
e1068611 0 191 133
e1068630 0 192 133
e106864f 0 193 133
e106866e 0 194 133
e106868d 0 195 133
e13ececc 0 298 133
e13ececc 0 298 133
e13ececc 0 298 133
e13ececc 0 298 133
18122 0 40 134
133b12a4 1 196 134
133b1266 1 135 134
65ffc847 0 131 134
133b1247 1 197 134
18122 0 40 135
133b12c3 1 198 135
133b1247 1 197 135
65ffc828 0 130 135
3102e146 0 196 135
133b12a4 1 196 136
133b1285 1 134 136
3f9aa6da 0 197 136
20d11e53 0 198 136
4b939be 0 199 137
14c59e7 0 200 137
a8f4f8f8 0 136 137
37b098d3 1 87 138
37b09857 1 78 138
37b098b4 1 96 138
997e2732 1 97 138
d7acb623 1 201 139
20d123e5 0 42 139
18122 0 40 140
e10685d3 0 189 140
e10685f2 0 190 140
e1068611 0 191 140
e1068630 0 192 140
e106864f 0 193 140
e106866e 0 194 140
e106868d 0 195 140
e13ececc 0 298 140
e13ececc 0 298 140
e13ececc 0 298 140
e13ececc 0 298 140
18122 0 40 141
e10685d3 0 189 141
e10685f2 0 190 141
e1068611 0 191 141
e1068630 0 192 141
e106864f 0 193 141
e106866e 0 194 141
e106868d 0 195 141
e13ececc 0 298 141
e13ececc 0 298 141
e13ececc 0 298 141
e13ececc 0 298 141
37b098b4 1 96 142
997e2732 1 97 142
20d123e6 0 48 142
27718b82 0 201 142
791b9ed9 0 47 143
20d123e6 0 48 143
4451602c 0 50 143
4451d48b 0 49 143
18122 0 40 144
791b9ed9 0 47 144
20d123e6 0 48 144
4451d48b 0 49 144
4451602c 0 50 144
4b939dd 0 202 145
14c5a06 0 203 145
a8f4f8f8 0 136 145
20d123e5 0 42 146
20d123e6 0 48 146
d7acb642 1 83 147
20d123e6 0 48 147
5dc40a90 2 139 147
f151ac0a 2 139 147
18122 0 40 148
e10685d3 0 189 148
e10685f2 0 190 148
e1068611 0 191 148
e1068630 0 192 148
e106864f 0 193 148
e106866e 0 194 148
e106868d 0 195 148
e13ececc 0 298 148
e13ececc 0 298 148
e13ececc 0 298 148
e13ececc 0 298 148
d7acb642 1 83 149
997e2732 1 97 149
20d123e7 0 94 149
20d123e6 0 48 149
20d123e5 0 42 150
20d123e6 0 48 150
d7acb642 1 83 151
20d123e6 0 48 151
e8557a4a 2 80 151
c0bcc1c4 2 80 151
37b09857 1 78 152
37b098b4 1 96 152
997e2732 1 97 152
20d123e7 0 94 152
d7acb661 1 93 153
997e2732 1 97 153
20d123e7 0 94 153
4e5258ff 0 204 153
20d123e7 0 94 154
20d123e8 0 99 154
d72e3798 2 205 154
a625114 2 205 154
6cb10700 1 82 155
18122 0 40 155
18d44c20 0 206 155
133b1247 1 197 155
133b1266 1 135 155
133b1285 1 134 155
133b12a4 1 196 155
133b12c3 1 198 155
24717348 1 127 155
24717367 1 128 155
65ffc809 0 129 155
65ffc828 0 130 155
65ffc847 0 131 155
65ffc866 0 132 155
65ffc885 0 133 155
24717348 1 127 155
24717367 1 128 155
e6a1cc14 1 207 156
4b60b63 0 208 156
e327497d 0 73 156
d7acb661 1 93 157
37b098b4 1 96 157
20d123e6 0 48 157
6e4c79ee 0 124 158
506089ea 0 209 158
d13a19ad 0 210 158
65ffc828 0 130 159
65ffc885 0 133 159
65ffc8c3 0 141 159
7f93dd76 0 211 159
6cb10700 1 82 160
18122 0 40 160
18d4c09e 0 212 160
133b1247 1 197 160
133b1266 1 135 160
133b1285 1 134 160
133b12a4 1 196 160
133b12c3 1 198 160
24717348 1 127 160
24717367 1 128 160
65ffc809 0 129 160
65ffc828 0 130 160
65ffc847 0 131 160
65ffc866 0 132 160
65ffc885 0 133 160
24717348 1 127 160
24717367 1 128 160
e6a1cc33 1 213 161
4b60b82 0 214 161
e327497d 0 73 161
37b098d3 1 87 162
37b09857 1 78 162
37b098b4 1 96 162
20d123e7 0 94 163
20d123e8 0 99 163
d72e37b7 2 150 163
feabc231 2 150 163
20d123e7 0 94 164
20d123e8 0 99 164
27cf3359 2 146 164
9b6ffbd3 2 146 164
d7acb680 1 98 165
20d123e8 0 99 165
5dc40a92 2 210 165
19da8a0c 2 210 165
6cb10700 1 82 166
18122 0 40 166
18d5a97b 0 215 166
133b1247 1 197 166
133b1266 1 135 166
133b1285 1 134 166
133b12a4 1 196 166
133b12c3 1 198 166
24717348 1 127 166
24717367 1 128 166
65ffc809 0 129 166
65ffc828 0 130 166
65ffc847 0 131 166
65ffc866 0 132 166
65ffc885 0 133 166
24717348 1 127 166
24717367 1 128 166
e6a1cc52 1 216 167
4b60ba1 0 217 167
e327497d 0 73 167
20d123e9 0 103 168
20d123ea 0 108 168
d72e37d6 2 154 168
c6b6771e 2 154 168
20d123e9 0 103 169
20d123ea 0 108 169
27cf3378 2 209 169
5520b140 2 209 169
d7acb69f 1 102 170
20d123e9 0 103 170
5dc40a93 2 165 170
3420881b 2 165 170
6cb10700 1 82 171
18122 0 40 171
18d706b7 0 218 171
133b1247 1 197 171
133b1266 1 135 171
133b1285 1 134 171
133b12a4 1 196 171
133b12c3 1 198 171
24717348 1 127 171
24717367 1 128 171
65ffc809 0 129 171
65ffc828 0 130 171
65ffc847 0 131 171
65ffc866 0 132 171
65ffc885 0 133 171
24717348 1 127 171
24717367 1 128 171
e6a1cc71 1 219 172
4b60bc0 0 220 172
e327497d 0 73 172
20d123e9 0 103 173
20d123ea 0 108 173
d72e37f5 2 163 173
2e97a3fd 2 163 173
20d123e9 0 103 174
20d123ea 0 108 174
27cf3397 2 164 174
bd01de1f 2 164 174
d7acb6be 1 107 175
20d123ea 0 108 175
5dc40a94 2 170 175
6cb10700 1 82 176
18122 0 40 176
18d706d6 0 221 176
133b1247 1 197 176
133b1266 1 135 176
133b1285 1 134 176
133b12a4 1 196 176
133b12c3 1 198 176
24717348 1 127 176
24717367 1 128 176
65ffc809 0 129 176
65ffc828 0 130 176
65ffc847 0 131 176
65ffc866 0 132 176
65ffc885 0 133 176
24717348 1 127 176
24717367 1 128 176
e6a1cc90 1 222 177
4b60bdf 0 223 177
e327497d 0 73 177
20d123eb 0 113 178
d72e3814 2 168 178
db881591 2 168 178
20d123eb 0 113 179
20d123ec 0 117 179
27cf33b6 2 169 179
784c4f33 2 169 179
d7acb6dd 1 112 180
20d123eb 0 113 180
5dc40a94 2 170 180
5dc40a95 2 175 180
d6a7d70f 2 175 180
4b93a97 0 224 181
14c5ac0 0 225 181
a8f4f8f8 0 136 181
6cb10700 1 82 182
18122 0 40 182
18d706f5 0 226 182
133b1247 1 197 182
133b1266 1 135 182
133b1285 1 134 182
133b12a4 1 196 182
133b12c3 1 198 182
24717348 1 127 182
24717367 1 128 182
65ffc809 0 129 182
65ffc828 0 130 182
65ffc847 0 131 182
65ffc866 0 132 182
65ffc885 0 133 182
24717348 1 127 182
24717367 1 128 182
20d123eb 0 113 183
20d123ec 0 117 183
d72e3833 2 173 183
cfd186ae 2 173 183
20d123eb 0 113 184
20d123ec 0 117 184
27cf33d5 2 174 184
6c95c050 2 174 184
d7acb6fc 1 116 185
20d123ec 0 117 185
5dc40a94 2 170 185
5dc40a96 2 180 185
6aec4610 2 180 185
18122 0 40 186
133b1247 1 197 186
18122 0 40 187
2bb99486 1 227 187
133b12a4 1 196 187
133b12a4 1 196 187
133b12c3 1 198 187
1ba53 0 45 188
133b1266 1 135 188
133b1285 1 134 188
50d1b85b 0 228 188
18122 0 40 189
1ba53 0 45 189
3f7a932d 1 189 189
18122 0 40 190
1ba53 0 45 190
b7a90c3d 0 229 190
18122 0 40 191
1ba53 0 45 191
b7a90c5c 0 230 191
18122 0 40 192
1ba53 0 45 192
b7a90c7b 0 231 192
18122 0 40 193
1ba53 0 45 193
b7a90c9a 0 232 193
18122 0 40 194
1ba53 0 45 194
b7a90cb9 0 233 194
18122 0 40 195
1ba53 0 45 195
b7a90cd8 0 234 195
18122 0 40 196
65ffc866 0 132 196
133b1285 1 134 196
18122 0 40 197
133b12c3 1 198 197
65ffc809 0 129 197
133b1266 1 135 197
18122 0 40 198
133b1247 1 197 198
133b1266 1 135 198
65ffc885 0 133 198
3102e146 0 196 198
247172ad 1 235 199
88a1ac0c 1 236 199
9511184 0 135 199
18122 0 40 200
ead88792 1 237 200
20d11e53 0 198 200
b952949c 0 238 200
18122 0 40 201
87f5bd4d 0 121 201
20d123e5 0 42 201
bb9f139e 1 122 201
88a1ac2b 1 239 202
247172cc 1 240 202
f952c875 0 134 202
18122 0 40 203
ead887b1 1 241 203
20d11e53 0 198 203
b95294bb 0 242 203
37b09857 1 78 204
37b098b4 1 96 204
997e2732 1 97 204
20d123e8 0 99 204
20d123e5 0 42 205
20d123e6 0 48 205
4451604b 0 51 206
3529384f 0 52 206
18122 0 40 207
4451604b 0 51 207
3529384f 0 52 207
4b939fc 0 243 208
14c5a25 0 244 208
a8f4f8f8 0 136 208
20d123e7 0 94 209
20d123e8 0 99 209
27cf333a 2 245 209
a7268ab6 2 245 209
d7acb661 1 93 210
20d123e7 0 94 210
5dc40a91 2 147 210
85961b0b 2 147 210
65ffc847 0 131 211
65ffc866 0 132 211
65ffc809 0 129 211
c405c940 0 53 212
3ca35434 0 54 212
36de856c 0 55 212
36f4573c 0 56 212
18122 0 40 213
c405c940 0 53 213
3ca35434 0 54 213
36de856c 0 55 213
36f4573c 0 56 213
4b93a1b 0 246 214
14c5a44 0 247 214
a8f4f8f8 0 136 214
c405c940 0 53 215
3ca35435 0 57 215
3a4836c9 0 58 215
3a5e0899 0 59 215
18122 0 40 216
c405c940 0 53 216
3ca35435 0 57 216
3a4836c9 0 58 216
3a5e0899 0 59 216
4b93a3a 0 248 217
14c5a63 0 249 217
a8f4f8f8 0 136 217
c405c940 0 53 218
3ca35436 0 60 218
3f66c0c5 0 61 218
3f7c9295 0 62 218
18122 0 40 219
c405c940 0 53 219
3ca35436 0 60 219
3f66c0c5 0 61 219
3f7c9295 0 62 219
4b93a59 0 250 220
14c5a82 0 251 220
a8f4f8f8 0 136 220
c405c940 0 53 221
3ca35437 0 63 221
3f66c0e4 0 64 221
3f7c92b4 0 65 221
18122 0 40 222
c405c940 0 53 222
3ca35437 0 63 222
3f66c0e4 0 64 222
3f7c92b4 0 65 222
4b93a78 0 252 223
14c5aa1 0 253 223
a8f4f8f8 0 136 223
24717386 1 254 224
88a1ace5 1 255 224
9511184 0 135 224
18122 0 40 225
ead8886b 1 256 225
20d11e53 0 198 225
b9529575 0 257 225
c405c940 0 53 226
3ca35438 0 66 226
3f66c103 0 67 226
3f7c92d3 0 68 226
18122 0 40 227
133b12c3 1 198 227
6cb10700 1 82 228
133b12a4 1 196 228
133b12c3 1 198 228
133b1247 1 197 228
3f7a932d 1 189 229
3f7a934c 1 190 229
3f7a936b 1 191 230
3f7a938a 1 192 230
a6106808 2 258 230
8a051183 2 258 230
3f7a936b 1 191 231
3f7a938a 1 192 231
a6106827 2 229 231
4c0d66af 2 229 231
3f7a93a9 1 193 232
3f7a93c8 1 194 232
a6106846 2 230 232
b3ee938e 2 230 232
3f7a93a9 1 193 233
3f7a93c8 1 194 233
a6106865 2 231 233
66e164df 2 231 233
3f7a93e7 1 195 234
a6106884 2 232 234
5b2ad600 2 232 234
18122 0 40 235
791b9eba 0 41 235
20d123e5 0 42 235
4451d46c 0 43 235
4451600d 0 44 235
1ba53 0 45 235
41476b9 0 188 235
18122 0 40 236
1871198f 0 259 236
18718dee 0 260 236
87f5bd4d 0 121 236
e721eff5 0 261 236
18122 0 40 237
b952203d 0 262 237
18122 0 40 239
88a1ac2b 1 239 239
1871198f 0 259 239
18718dee 0 260 239
18d44c01 0 143 239
18122 0 40 240
791b9ed9 0 47 240
20d123e6 0 48 240
4451d48b 0 49 240
4451602c 0 50 240
1ba53 0 45 240
41476b9 0 188 240
18122 0 40 241
b952205c 0 263 241
88a1ac4a 1 264 243
247172eb 1 265 243
f952c875 0 134 243
18122 0 40 244
ead887d0 1 266 244
20d11e53 0 198 244
b95294da 0 267 244
20d123e5 0 42 245
20d123e6 0 48 245
88a1ac69 1 268 246
2471730a 1 269 246
f952c875 0 134 246
18122 0 40 247
ead887ef 1 270 247
20d11e53 0 198 247
b95294f9 0 271 247
24717329 1 272 248
88a1ac88 1 273 248
9511184 0 135 248
18122 0 40 249
ead8880e 1 274 249
20d11e53 0 198 249
b9529518 0 275 249
88a1aca7 1 276 250
24717348 1 127 250
f952c875 0 134 250
18122 0 40 251
ead8882d 1 277 251
20d11e53 0 198 251
b9529537 0 278 251
24717367 1 128 252
88a1acc6 1 279 252
9511184 0 135 252
18122 0 40 253
ead8884c 1 280 253
20d11e53 0 198 253
b9529556 0 281 253
18122 0 40 254
c405c940 0 53 254
3ca35438 0 66 254
3f66c103 0 67 254
3f7c92d3 0 68 254
1ba53 0 45 254
41476b9 0 188 254
18122 0 40 255
88a1ace5 1 255 255
1871198f 0 259 255
18718dee 0 260 255
18d706f5 0 226 255
18122 0 40 256
b9522116 0 282 256
3f7a932d 1 189 258
3f7a934c 1 190 258
1ba53 0 45 259
133b1285 1 134 259
133b1247 1 197 259
2c64fafd 0 82 259
1ba53 0 45 260
133b1285 1 134 260
133b1247 1 197 260
2c64fafd 0 82 260
88a1ac0c 1 236 261
997e2732 1 97 261
a6b46993 0 283 261
4772e23 0 284 261
18122 0 40 264
18718dee 0 260 264
1871198f 0 259 264
18d44c20 0 206 264
55a2b85c 0 285 264
18122 0 40 265
4451604b 0 51 265
3529384f 0 52 265
1ba53 0 45 265
41476b9 0 188 265
18122 0 40 266
b952207b 0 286 266
18122 0 40 268
88a1ac69 1 268 268
1871198f 0 259 268
18718dee 0 260 268
18d4c09e 0 212 268
18122 0 40 269
c405c940 0 53 269
3ca35434 0 54 269
36de856c 0 55 269
36f4573c 0 56 269
1ba53 0 45 269
41476b9 0 188 269
18122 0 40 270
b952209a 0 287 270
18122 0 40 272
c405c940 0 53 272
3ca35435 0 57 272
3a4836c9 0 58 272
3a5e0899 0 59 272
1ba53 0 45 272
41476b9 0 188 272
18122 0 40 273
88a1ac88 1 273 273
1871198f 0 259 273
18718dee 0 260 273
18d5a97b 0 215 273
18122 0 40 274
b95220b9 0 288 274
18122 0 40 276
88a1aca7 1 276 276
1871198f 0 259 276
18718dee 0 260 276
18d706b7 0 218 276
18122 0 40 277
b95220d8 0 289 277
18122 0 40 279
88a1acc6 1 279 279
1871198f 0 259 279
18718dee 0 260 279
18d706d6 0 221 279
18122 0 40 280
b95220f7 0 290 280
4d9d00e1 0 291 283
c405c940 0 53 283
e8557a51 0 292 283
37b098b4 1 96 284
50608aa4 0 293 284
88a1ac4a 1 264 285
c0bd9d7b 1 294 285
c09d0fcd 0 295 285
37b098d3 1 87 291
37b09857 1 78 291
37b098b4 1 96 291
997e2732 1 97 291
e8557a4e 2 57 292
ed49f7b2 2 66 292
37b1572c 2 66 292
27cf33f4 2 179 293
60df3172 2 179 293
18122 0 40 294
65ffc8a4 0 148 294
65ffc8e2 0 140 294
65ffc8c3 0 141 294
f8240918 0 159 294
18d706d6 0 221 295
d985c6f 0 296 295
18d44c20 0 206 295
d985c3f 0 297 295
87f5bd4d 0 121 296
18d44c01 0 143 296
18d706f5 0 226 296
18d706b7 0 218 296
18d4c09e 0 212 297
18d5a97b 0 215 297
26
2126293 0
21262b2 1
21262d1 2
21262f0 3
212630f 4
212632e 5
212634d 6
212636c 7
4375b8fd 8
4375b91c 9
4375b93b 10
4375b95a 11
4375b979 12
4375b998 13
4375b9b7 14
4375b9d6 15
ab56e5dc 16
ab56e5fb 17
ab56e61a 18
ab56e639 19
ab56e658 20
ab56e677 21
ab56e696 22
ab56e6b5 23
dd306e2f 299
dabd5a23 300
 
2
20
4
 
/trunk/QU2/db/ClaiRISC_core.sld_design_entry_dsc.sci
0,0 → 1,2
+ÄÁΞñ.®Ážî~Ö}Ü5GÁrL @ÿÿ \ No newline at end of file
/trunk/QU2/db/ClaiRISC_core.hif
0,0 → 1,739
Version 4.2 Build 157 12/07/2004 SJ Full Version
32
1575
OFF
OFF
OFF
OFF
OFF
FV_OFF
VRSM_ON
VHSM_ON
RETIME_OFF
REMAP_OFF
 
-- Start Partition --
|
ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP
Off
ADV_NETLIST_OPT_SYNTH_GATE_RETIME
Off
STATE_MACHINE_PROCESSING
Auto
STRATIXII_OPTIMIZATION_TECHNIQUE
Balanced
CYCLONE_OPTIMIZATION_TECHNIQUE
Balanced
CYCLONEII_OPTIMIZATION_TECHNIQUE
Balanced
STRATIX_OPTIMIZATION_TECHNIQUE
Balanced
MAXII_OPTIMIZATION_TECHNIQUE
Balanced
----
-- End Partition --
# entity
ClaiRISC_core
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(0).cnf
db|ClaiRISC_core.(0).cnf
# end
# entity
wb_mem_man
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(1).cnf
db|ClaiRISC_core.(1).cnf
# end
# entity
ram128x8
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(2).cnf
db|ClaiRISC_core.(2).cnf
# end
# entity
altsyncram_Z1
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(3).cnf
db|ClaiRISC_core.(3).cnf
# end
# entity
altsyncram
# case_insensitive
# source_file
c:|altera|quartus42|libraries|megafunctions|altsyncram.tdf
1101745298
6
# storage
db|ClaiRISC_core.(4).cnf
db|ClaiRISC_core.(4).cnf
# user_parameter {
BYTE_SIZE_BLOCK
8
PARAMETER_UNKNOWN
DEF
AUTO_CARRY_CHAINS
ON
AUTO_CARRY
USR
IGNORE_CARRY_BUFFERS
OFF
IGNORE_CARRY
USR
AUTO_CASCADE_CHAINS
ON
AUTO_CASCADE
USR
IGNORE_CASCADE_BUFFERS
OFF
IGNORE_CASCADE
USR
OPERATION_MODE
DUAL_PORT
PARAMETER_UNKNOWN
USR
WIDTH_A
8
PARAMETER_UNKNOWN
USR
WIDTHAD_A
7
PARAMETER_UNKNOWN
USR
NUMWORDS_A
128
PARAMETER_UNKNOWN
USR
OUTDATA_REG_A
UNREGISTERED
PARAMETER_UNKNOWN
DEF
ADDRESS_ACLR_A
NONE
PARAMETER_UNKNOWN
USR
OUTDATA_ACLR_A
NONE
PARAMETER_UNKNOWN
DEF
WRCONTROL_ACLR_A
NONE
PARAMETER_UNKNOWN
USR
INDATA_ACLR_A
NONE
PARAMETER_UNKNOWN
USR
BYTEENA_ACLR_A
NONE
PARAMETER_UNKNOWN
DEF
WIDTH_B
8
PARAMETER_UNKNOWN
USR
WIDTHAD_B
7
PARAMETER_UNKNOWN
USR
NUMWORDS_B
128
PARAMETER_UNKNOWN
USR
INDATA_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
WRCONTROL_WRADDRESS_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
RDCONTROL_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
ADDRESS_REG_B
CLOCK0
PARAMETER_UNKNOWN
USR
OUTDATA_REG_B
UNREGISTERED
PARAMETER_UNKNOWN
USR
BYTEENA_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
INDATA_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
WRCONTROL_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
ADDRESS_ACLR_B
NONE
PARAMETER_UNKNOWN
USR
OUTDATA_ACLR_B
NONE
PARAMETER_UNKNOWN
USR
RDCONTROL_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
BYTEENA_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
WIDTH_BYTEENA_A
1
PARAMETER_UNKNOWN
USR
WIDTH_BYTEENA_B
1
PARAMETER_UNKNOWN
DEF
RAM_BLOCK_TYPE
AUTO
PARAMETER_UNKNOWN
DEF
BYTE_SIZE
8
PARAMETER_UNKNOWN
DEF
READ_DURING_WRITE_MODE_MIXED_PORTS
DONT_CARE
PARAMETER_UNKNOWN
USR
INIT_FILE
UNUSED
PARAMETER_UNKNOWN
DEF
INIT_FILE_LAYOUT
PORT_A
PARAMETER_UNKNOWN
DEF
MAXIMUM_DEPTH
 
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_INPUT_A
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_INPUT_B
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_OUTPUT_A
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_OUTPUT_B
NORMAL
PARAMETER_UNKNOWN
DEF
DEVICE_FAMILY
Cyclone
PARAMETER_UNKNOWN
USR
CBXI_PARAMETER
altsyncram_hg91
PARAMETER_UNKNOWN
USR
}
# used_port {
address_a
address_a
address_a
address_a
address_a
address_a
address_a
address_b
address_b
address_b
address_b
address_b
address_b
address_b
clock0
data_a
data_a
data_a
data_a
data_a
data_a
data_a
data_a
q_a
q_a
q_a
q_a
q_a
q_a
q_a
q_a
q_b
q_b
q_b
q_b
q_b
q_b
q_b
q_b
wren_a
}
# include_file {
c:|altera|quartus42|libraries|megafunctions|stratix_ram_block.inc
1094871114
c:|altera|quartus42|libraries|megafunctions|lpm_mux.inc
1094870318
c:|altera|quartus42|libraries|megafunctions|lpm_decode.inc
1094870100
c:|altera|quartus42|libraries|megafunctions|aglobal42.inc
1101745276
c:|altera|quartus42|libraries|megafunctions|altsyncram.inc
1094868954
c:|altera|quartus42|libraries|megafunctions|a_rdenreg.inc
1094867530
c:|altera|quartus42|libraries|megafunctions|altrom.inc
1094868876
c:|altera|quartus42|libraries|megafunctions|altram.inc
1094868838
c:|altera|quartus42|libraries|megafunctions|altdpram.inc
1094868494
c:|altera|quartus42|libraries|megafunctions|altqpram.inc
1094868820
}
# end
# entity
altsyncram_hg91
# case_insensitive
# source_file
db|altsyncram_hg91.tdf
1205137604
6
# storage
db|ClaiRISC_core.(5).cnf
db|ClaiRISC_core.(5).cnf
# user_parameter {
PORT_A_ADDRESS_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_A_BYTE_ENABLE_MASK_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_A_DATA_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_ADDRESS_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_BYTE_ENABLE_MASK_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_DATA_WIDTH
1
PARAMETER_UNKNOWN
DEF
}
# used_port {
wren_a
data_a0
data_a1
data_a2
data_a3
data_a4
data_a5
data_a6
data_a7
address_a0
address_a1
address_a2
address_a3
address_a4
address_a5
address_a6
address_b0
address_b1
address_b2
address_b3
address_b4
address_b5
address_b6
clock0
q_a0
q_a1
q_a2
q_a3
q_a4
q_a5
q_a6
q_a7
q_b0
q_b1
q_b2
q_b3
q_b4
q_b5
q_b6
q_b7
}
# memory_file {
none
 
}
# end
# entity
pram
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(6).cnf
db|ClaiRISC_core.(6).cnf
# end
# entity
rom128x12
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(7).cnf
db|ClaiRISC_core.(7).cnf
# end
# entity
altsyncram_Z2
# case_sensitive
# source_file
..|SYN|rev_1|ClaiRISC_core.vqm
1205137544
25
# storage
db|ClaiRISC_core.(8).cnf
db|ClaiRISC_core.(8).cnf
# end
# entity
altsyncram
# case_insensitive
# source_file
c:|altera|quartus42|libraries|megafunctions|altsyncram.tdf
1101745298
6
# storage
db|ClaiRISC_core.(9).cnf
db|ClaiRISC_core.(9).cnf
# user_parameter {
BYTE_SIZE_BLOCK
8
PARAMETER_UNKNOWN
DEF
AUTO_CARRY_CHAINS
ON
AUTO_CARRY
USR
IGNORE_CARRY_BUFFERS
OFF
IGNORE_CARRY
USR
AUTO_CASCADE_CHAINS
ON
AUTO_CASCADE
USR
IGNORE_CASCADE_BUFFERS
OFF
IGNORE_CASCADE
USR
OPERATION_MODE
ROM
PARAMETER_UNKNOWN
USR
WIDTH_A
8
PARAMETER_UNKNOWN
USR
WIDTHAD_A
7
PARAMETER_UNKNOWN
USR
NUMWORDS_A
128
PARAMETER_UNKNOWN
USR
OUTDATA_REG_A
UNREGISTERED
PARAMETER_UNKNOWN
USR
ADDRESS_ACLR_A
NONE
PARAMETER_UNKNOWN
USR
OUTDATA_ACLR_A
NONE
PARAMETER_UNKNOWN
USR
WRCONTROL_ACLR_A
NONE
PARAMETER_UNKNOWN
DEF
INDATA_ACLR_A
NONE
PARAMETER_UNKNOWN
DEF
BYTEENA_ACLR_A
NONE
PARAMETER_UNKNOWN
DEF
WIDTH_B
1
PARAMETER_UNKNOWN
DEF
WIDTHAD_B
1
PARAMETER_UNKNOWN
DEF
NUMWORDS_B
1
PARAMETER_UNKNOWN
DEF
INDATA_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
WRCONTROL_WRADDRESS_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
RDCONTROL_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
ADDRESS_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
OUTDATA_REG_B
UNREGISTERED
PARAMETER_UNKNOWN
DEF
BYTEENA_REG_B
CLOCK1
PARAMETER_UNKNOWN
DEF
INDATA_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
WRCONTROL_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
ADDRESS_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
OUTDATA_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
RDCONTROL_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
BYTEENA_ACLR_B
NONE
PARAMETER_UNKNOWN
DEF
WIDTH_BYTEENA_A
1
PARAMETER_UNKNOWN
USR
WIDTH_BYTEENA_B
1
PARAMETER_UNKNOWN
DEF
RAM_BLOCK_TYPE
AUTO
PARAMETER_UNKNOWN
DEF
BYTE_SIZE
8
PARAMETER_UNKNOWN
DEF
READ_DURING_WRITE_MODE_MIXED_PORTS
DONT_CARE
PARAMETER_UNKNOWN
DEF
INIT_FILE
init_file.mif
PARAMETER_UNKNOWN
USR
INIT_FILE_LAYOUT
PORT_A
PARAMETER_UNKNOWN
DEF
MAXIMUM_DEPTH
 
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_INPUT_A
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_INPUT_B
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_OUTPUT_A
NORMAL
PARAMETER_UNKNOWN
DEF
CLOCK_ENABLE_OUTPUT_B
NORMAL
PARAMETER_UNKNOWN
DEF
DEVICE_FAMILY
Cyclone
PARAMETER_UNKNOWN
USR
CBXI_PARAMETER
altsyncram_u8r
PARAMETER_UNKNOWN
USR
}
# used_port {
address_a
address_a
address_a
address_a
address_a
address_a
address_a
clock0
q_a
q_a
q_a
q_a
q_a
q_a
q_a
q_a
q_b
}
# include_file {
c:|altera|quartus42|libraries|megafunctions|stratix_ram_block.inc
1094871114
c:|altera|quartus42|libraries|megafunctions|lpm_mux.inc
1094870318
c:|altera|quartus42|libraries|megafunctions|lpm_decode.inc
1094870100
c:|altera|quartus42|libraries|megafunctions|aglobal42.inc
1101745276
c:|altera|quartus42|libraries|megafunctions|altsyncram.inc
1094868954
c:|altera|quartus42|libraries|megafunctions|a_rdenreg.inc
1094867530
c:|altera|quartus42|libraries|megafunctions|altrom.inc
1094868876
c:|altera|quartus42|libraries|megafunctions|altram.inc
1094868838
c:|altera|quartus42|libraries|megafunctions|altdpram.inc
1094868494
c:|altera|quartus42|libraries|megafunctions|altqpram.inc
1094868820
}
# end
# entity
altsyncram_u8r
# case_insensitive
# source_file
db|altsyncram_u8r.tdf
1205137606
6
# storage
db|ClaiRISC_core.(10).cnf
db|ClaiRISC_core.(10).cnf
# user_parameter {
PORT_A_ADDRESS_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_A_BYTE_ENABLE_MASK_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_A_DATA_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_ADDRESS_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_BYTE_ENABLE_MASK_WIDTH
1
PARAMETER_UNKNOWN
DEF
PORT_B_DATA_WIDTH
1
PARAMETER_UNKNOWN
DEF
}
# used_port {
address_a0
address_a1
address_a2
address_a3
address_a4
address_a5
address_a6
clock0
q_a0
q_a1
q_a2
q_a3
q_a4
q_a5
q_a6
q_a7
q_b0
}
# memory_file {
init_file.mif
 
}
# end
# complete

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.