OpenCores
URL https://opencores.org/ocsvn/ultimate_crc/ultimate_crc/trunk

Subversion Repositories ultimate_crc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 11 to Rev 12
    Reverse comparison

Rev 11 → Rev 12

/trunk/doc/ultimate_crc.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/doc/ultimate_crc.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/doc/copying.txt =================================================================== --- trunk/doc/copying.txt (revision 11) +++ trunk/doc/copying.txt (nonexistent) @@ -1,340 +0,0 @@ - GNU GENERAL PUBLIC LICENSE - Version 2, June 1991 - - Copyright (C) 1989, 1991 Free Software Foundation, Inc. - 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - Everyone is permitted to copy and distribute verbatim copies - of this license document, but changing it is not allowed. - - Preamble - - The licenses for most software are designed to take away your -freedom to share and change it. By contrast, the GNU General Public -License is intended to guarantee your freedom to share and change free -software--to make sure the software is free for all its users. This -General Public License applies to most of the Free Software -Foundation's software and to any other program whose authors commit to -using it. (Some other Free Software Foundation software is covered by -the GNU Library General Public License instead.) You can apply it to -your programs, too. - - When we speak of free software, we are referring to freedom, not -price. Our General Public Licenses are designed to make sure that you -have the freedom to distribute copies of free software (and charge for -this service if you wish), that you receive source code or can get it -if you want it, that you can change the software or use pieces of it -in new free programs; and that you know you can do these things. - - To protect your rights, we need to make restrictions that forbid -anyone to deny you these rights or to ask you to surrender the rights. -These restrictions translate to certain responsibilities for you if you -distribute copies of the software, or if you modify it. - - For example, if you distribute copies of such a program, whether -gratis or for a fee, you must give the recipients all the rights that -you have. You must make sure that they, too, receive or can get the -source code. And you must show them these terms so they know their -rights. - - We protect your rights with two steps: (1) copyright the software, and -(2) offer you this license which gives you legal permission to copy, -distribute and/or modify the software. - - Also, for each author's protection and ours, we want to make certain -that everyone understands that there is no warranty for this free -software. If the software is modified by someone else and passed on, we -want its recipients to know that what they have is not the original, so -that any problems introduced by others will not reflect on the original -authors' reputations. - - Finally, any free program is threatened constantly by software -patents. We wish to avoid the danger that redistributors of a free -program will individually obtain patent licenses, in effect making the -program proprietary. To prevent this, we have made it clear that any -patent must be licensed for everyone's free use or not licensed at all. - - The precise terms and conditions for copying, distribution and -modification follow. - - GNU GENERAL PUBLIC LICENSE - TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION - - 0. This License applies to any program or other work which contains -a notice placed by the copyright holder saying it may be distributed -under the terms of this General Public License. The "Program", below, -refers to any such program or work, and a "work based on the Program" -means either the Program or any derivative work under copyright law: -that is to say, a work containing the Program or a portion of it, -either verbatim or with modifications and/or translated into another -language. (Hereinafter, translation is included without limitation in -the term "modification".) Each licensee is addressed as "you". - -Activities other than copying, distribution and modification are not -covered by this License; they are outside its scope. The act of -running the Program is not restricted, and the output from the Program -is covered only if its contents constitute a work based on the -Program (independent of having been made by running the Program). -Whether that is true depends on what the Program does. - - 1. You may copy and distribute verbatim copies of the Program's -source code as you receive it, in any medium, provided that you -conspicuously and appropriately publish on each copy an appropriate -copyright notice and disclaimer of warranty; keep intact all the -notices that refer to this License and to the absence of any warranty; -and give any other recipients of the Program a copy of this License -along with the Program. - -You may charge a fee for the physical act of transferring a copy, and -you may at your option offer warranty protection in exchange for a fee. - - 2. You may modify your copy or copies of the Program or any portion -of it, thus forming a work based on the Program, and copy and -distribute such modifications or work under the terms of Section 1 -above, provided that you also meet all of these conditions: - - a) You must cause the modified files to carry prominent notices - stating that you changed the files and the date of any change. - - b) You must cause any work that you distribute or publish, that in - whole or in part contains or is derived from the Program or any - part thereof, to be licensed as a whole at no charge to all third - parties under the terms of this License. - - c) If the modified program normally reads commands interactively - when run, you must cause it, when started running for such - interactive use in the most ordinary way, to print or display an - announcement including an appropriate copyright notice and a - notice that there is no warranty (or else, saying that you provide - a warranty) and that users may redistribute the program under - these conditions, and telling the user how to view a copy of this - License. (Exception: if the Program itself is interactive but - does not normally print such an announcement, your work based on - the Program is not required to print an announcement.) - -These requirements apply to the modified work as a whole. If -identifiable sections of that work are not derived from the Program, -and can be reasonably considered independent and separate works in -themselves, then this License, and its terms, do not apply to those -sections when you distribute them as separate works. But when you -distribute the same sections as part of a whole which is a work based -on the Program, the distribution of the whole must be on the terms of -this License, whose permissions for other licensees extend to the -entire whole, and thus to each and every part regardless of who wrote it. - -Thus, it is not the intent of this section to claim rights or contest -your rights to work written entirely by you; rather, the intent is to -exercise the right to control the distribution of derivative or -collective works based on the Program. - -In addition, mere aggregation of another work not based on the Program -with the Program (or with a work based on the Program) on a volume of -a storage or distribution medium does not bring the other work under -the scope of this License. - - 3. You may copy and distribute the Program (or a work based on it, -under Section 2) in object code or executable form under the terms of -Sections 1 and 2 above provided that you also do one of the following: - - a) Accompany it with the complete corresponding machine-readable - source code, which must be distributed under the terms of Sections - 1 and 2 above on a medium customarily used for software interchange; or, - - b) Accompany it with a written offer, valid for at least three - years, to give any third party, for a charge no more than your - cost of physically performing source distribution, a complete - machine-readable copy of the corresponding source code, to be - distributed under the terms of Sections 1 and 2 above on a medium - customarily used for software interchange; or, - - c) Accompany it with the information you received as to the offer - to distribute corresponding source code. (This alternative is - allowed only for noncommercial distribution and only if you - received the program in object code or executable form with such - an offer, in accord with Subsection b above.) - -The source code for a work means the preferred form of the work for -making modifications to it. For an executable work, complete source -code means all the source code for all modules it contains, plus any -associated interface definition files, plus the scripts used to -control compilation and installation of the executable. However, as a -special exception, the source code distributed need not include -anything that is normally distributed (in either source or binary -form) with the major components (compiler, kernel, and so on) of the -operating system on which the executable runs, unless that component -itself accompanies the executable. - -If distribution of executable or object code is made by offering -access to copy from a designated place, then offering equivalent -access to copy the source code from the same place counts as -distribution of the source code, even though third parties are not -compelled to copy the source along with the object code. - - 4. You may not copy, modify, sublicense, or distribute the Program -except as expressly provided under this License. Any attempt -otherwise to copy, modify, sublicense or distribute the Program is -void, and will automatically terminate your rights under this License. -However, parties who have received copies, or rights, from you under -this License will not have their licenses terminated so long as such -parties remain in full compliance. - - 5. You are not required to accept this License, since you have not -signed it. However, nothing else grants you permission to modify or -distribute the Program or its derivative works. These actions are -prohibited by law if you do not accept this License. Therefore, by -modifying or distributing the Program (or any work based on the -Program), you indicate your acceptance of this License to do so, and -all its terms and conditions for copying, distributing or modifying -the Program or works based on it. - - 6. Each time you redistribute the Program (or any work based on the -Program), the recipient automatically receives a license from the -original licensor to copy, distribute or modify the Program subject to -these terms and conditions. You may not impose any further -restrictions on the recipients' exercise of the rights granted herein. -You are not responsible for enforcing compliance by third parties to -this License. - - 7. If, as a consequence of a court judgment or allegation of patent -infringement or for any other reason (not limited to patent issues), -conditions are imposed on you (whether by court order, agreement or -otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot -distribute so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you -may not distribute the Program at all. For example, if a patent -license would not permit royalty-free redistribution of the Program by -all those who receive copies directly or indirectly through you, then -the only way you could satisfy both it and this License would be to -refrain entirely from distribution of the Program. - -If any portion of this section is held invalid or unenforceable under -any particular circumstance, the balance of the section is intended to -apply and the section as a whole is intended to apply in other -circumstances. - -It is not the purpose of this section to induce you to infringe any -patents or other property right claims or to contest validity of any -such claims; this section has the sole purpose of protecting the -integrity of the free software distribution system, which is -implemented by public license practices. Many people have made -generous contributions to the wide range of software distributed -through that system in reliance on consistent application of that -system; it is up to the author/donor to decide if he or she is willing -to distribute software through any other system and a licensee cannot -impose that choice. - -This section is intended to make thoroughly clear what is believed to -be a consequence of the rest of this License. - - 8. If the distribution and/or use of the Program is restricted in -certain countries either by patents or by copyrighted interfaces, the -original copyright holder who places the Program under this License -may add an explicit geographical distribution limitation excluding -those countries, so that distribution is permitted only in or among -countries not thus excluded. In such case, this License incorporates -the limitation as if written in the body of this License. - - 9. The Free Software Foundation may publish revised and/or new versions -of the General Public License from time to time. Such new versions will -be similar in spirit to the present version, but may differ in detail to -address new problems or concerns. - -Each version is given a distinguishing version number. If the Program -specifies a version number of this License which applies to it and "any -later version", you have the option of following the terms and conditions -either of that version or of any later version published by the Free -Software Foundation. If the Program does not specify a version number of -this License, you may choose any version ever published by the Free Software -Foundation. - - 10. If you wish to incorporate parts of the Program into other free -programs whose distribution conditions are different, write to the author -to ask for permission. For software which is copyrighted by the Free -Software Foundation, write to the Free Software Foundation; we sometimes -make exceptions for this. Our decision will be guided by the two goals -of preserving the free status of all derivatives of our free software and -of promoting the sharing and reuse of software generally. - - NO WARRANTY - - 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY -FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN -OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES -PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED -OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS -TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE -PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, -REPAIR OR CORRECTION. - - 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR -REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, -INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING -OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED -TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY -YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER -PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE -POSSIBILITY OF SUCH DAMAGES. - - END OF TERMS AND CONDITIONS - - How to Apply These Terms to Your New Programs - - If you develop a new program, and you want it to be of the greatest -possible use to the public, the best way to achieve this is to make it -free software which everyone can redistribute and change under these terms. - - To do so, attach the following notices to the program. It is safest -to attach them to the start of each source file to most effectively -convey the exclusion of warranty; and each file should have at least -the "copyright" line and a pointer to where the full notice is found. - - - Copyright (C) - - This program is free software; you can redistribute it and/or modify - it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or - (at your option) any later version. - - This program is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - GNU General Public License for more details. - - You should have received a copy of the GNU General Public License - along with this program; if not, write to the Free Software - Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - - -Also add information on how to contact you by electronic and paper mail. - -If the program is interactive, make it output a short notice like this -when it starts in an interactive mode: - - Gnomovision version 69, Copyright (C) year name of author - Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. - This is free software, and you are welcome to redistribute it - under certain conditions; type `show c' for details. - -The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, the commands you use may -be called something other than `show w' and `show c'; they could even be -mouse-clicks or menu items--whatever suits your program. - -You should also get your employer (if you work as a programmer) or your -school, if any, to sign a "copyright disclaimer" for the program, if -necessary. Here is a sample; alter the names: - - Yoyodyne, Inc., hereby disclaims all copyright interest in the program - `Gnomovision' (which makes passes at compilers) written by James Hacker. - - , 1 April 1989 - Ty Coon, President of Vice - -This General Public License does not permit incorporating your program into -proprietary programs. If your program is a subroutine library, you may -consider it more useful to permit linking proprietary applications with the -library. If this is what you want to do, use the GNU Library General -Public License instead of this License. Index: trunk/doc/src/ultimate_crc.doc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/doc/src/ultimate_crc.doc =================================================================== --- trunk/doc/src/ultimate_crc.doc (revision 11) +++ trunk/doc/src/ultimate_crc.doc (nonexistent)
trunk/doc/src/ultimate_crc.doc Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/bench/vhdl/wb_tb_pack.txt =================================================================== --- trunk/bench/vhdl/wb_tb_pack.txt (revision 11) +++ trunk/bench/vhdl/wb_tb_pack.txt (nonexistent) @@ -1,2 +0,0 @@ -wb_tb_pack.vhd is reused from the spdif project. Fetch the latest revision of -this file from there. \ No newline at end of file Index: trunk/bench/vhdl/tb_crc.vhd =================================================================== --- trunk/bench/vhdl/tb_crc.vhd (revision 11) +++ trunk/bench/vhdl/tb_crc.vhd (nonexistent) @@ -1,304 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- Ultimate CRC. ---- ----- ---- ----- This file is part of the ultimate CRC projectt ---- ----- http://www.opencores.org/cores/ultimate_crc/ ---- ----- ---- ----- Description ---- ----- Test bench for ultimate crc. ---- ----- ---- ----- ---- ----- To Do: ---- ----- - ---- ----- ---- ----- Author(s): ---- ----- - Geir Drange, gedra@opencores.org ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2005 Authors and OPENCORES.ORG ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.0 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU General Public License for more details.---- ----- ---- ----- You should have received a copy of the GNU General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/gpl.txt ---- ----- ---- ----------------------------------------------------------------------- --- --- CVS Revision History --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2005/05/09 16:07:45 gedra --- test bench. --- --- --- - -library ieee; -use ieee.std_logic_1164.all; -use work.ucrc_pkg.all; -use work.wb_tb_pack.all; - -entity tb_crc is - -end tb_crc; - -architecture behav of tb_crc is - - -- Polynomial under testing - constant POLYNOMIAL : std_logic_vector(15 downto 0) := - "0001000000100001"; -- x^16 + x^12 + x^5 + 1; - -- Initialization value - constant INIT_VALUE : std_logic_vector(15 downto 0) := "1111111111111111"; - -- Data width for the parallel implementation - constant DATA_WIDTH : integer := 8; - -- Number of bits - constant CODE_LENGTH : integer := 64 / DATA_WIDTH; - constant EXPECTED_CRC : std_logic_vector(POLYNOMIAL'length - 1 downto 0) := - "0110100100110101"; -- this must be updated if any parameter is changed! - signal clk, rst, d_o, d_v, flush, clken, pd_in : std_logic; - signal lsfr_reg : std_logic_vector(31 downto 0); - signal cnt : integer range 0 to CODE_LENGTH*DATA_WIDTH+10; - signal zero, match_s, match_p, chk_in, clken3 : std_logic; - signal data, par_in : std_logic_vector(DATA_WIDTH - 1 downto 0); - signal crc_s, crc_sc, crc_p : std_logic_vector(POLYNOMIAL'length - 1 downto 0); - signal pcnt : integer range 0 to DATA_WIDTH - 1; - signal one, check, par_clken, clken2, match_p2 : std_logic; - signal crc_p2 : std_logic_vector(31 downto 0); - signal data3 : std_logic_vector(159 downto 0); - -begin - - zero <= '0'; - one <= '1'; - - ---------------------------------------------------------------------------- - -- LFSR generates random bits as input to the CRC generators - ---------------------------------------------------------------------------- - LSFR : process (clk, rst) - begin - if rst = '1' then - lsfr_reg <= (others => '1'); - elsif rising_edge(clk) then - lsfr_reg(0) <= lsfr_reg(31) xor lsfr_reg(6) xor lsfr_reg(4) - xor lsfr_reg(2) xor lsfr_reg(1) xor lsfr_reg(0); - lsfr_reg(31 downto 1) <= lsfr_reg(30 downto 0); - end if; - end process; - - ---------------------------------------------------------------------------- - -- Serial to parallel data register, used to feed the parallel implementation - ---------------------------------------------------------------------------- - pd_in <= chk_in; -- Data plus CRC - par_in(DATA_WIDTH - 1) <= pd_in; - S2P : process (clk, rst) - begin - if rst = '1' then - par_in(DATA_WIDTH - 2 downto 0) <= (others => '0'); - par_clken <= '0'; - pcnt <= 0; - elsif rising_edge(clk) then - if clken = '1' then - par_in(DATA_WIDTH - 2) <= pd_in; - par_in(DATA_WIDTH - 3 downto 0) <= par_in(DATA_WIDTH - 2 downto 1); - if pcnt < DATA_WIDTH - 1 then - pcnt <= pcnt + 1; - else - pcnt <= 0; - end if; - if pcnt = DATA_WIDTH - 2 then - par_clken <= '1'; - else - par_clken <= '0'; - end if; - else - par_clken <= '0'; - end if; - end if; - end process; - - ---------------------------------------------------------------------------- - -- Bit counter and CRC control. Generates clken and flush signals for the - -- serial generator. - ---------------------------------------------------------------------------- - BCNT : process (clk, rst) - begin - if rst = '1' then - cnt <= 0; - flush <= '0'; - clken <= '0'; - elsif rising_edge(clk) then - if cnt < CODE_LENGTH*DATA_WIDTH+10 then - cnt <= cnt + 1; - end if; - if cnt >= DATA_WIDTH and cnt < CODE_LENGTH*DATA_WIDTH then - clken <= '1'; - else - clken <= '0'; - end if; - if (cnt >= CODE_LENGTH*DATA_WIDTH - POLYNOMIAL'length) and - (cnt < CODE_LENGTH*DATA_WIDTH) then - flush <= '1'; - else - flush <= '0'; - end if; - end if; - end process; - - ---------------------------------------------------------------------------- - -- Serial CRC generator. CRC is flushed out after the data block - ---------------------------------------------------------------------------- - SGEN : ucrc_ser - generic map ( - POLYNOMIAL => POLYNOMIAL, - INIT_VALUE => INIT_VALUE, - SYNC_RESET => 1) - port map ( - clk_i => clk, - rst_i => rst, - clken_i => clken, - data_i => lsfr_reg(0), - flush_i => flush, - match_o => open, - crc_o => crc_s); - - ---------------------------------------------------------------------------- - -- Serial CRC checker. Takes input from the serial generator, incl. CRC - ---------------------------------------------------------------------------- - chk_in <= lsfr_reg(0) when flush = '0' else crc_s(POLYNOMIAL'length - 1); - SCHK : ucrc_ser - generic map ( - POLYNOMIAL => POLYNOMIAL, - INIT_VALUE => INIT_VALUE, - SYNC_RESET => 1) - port map ( - clk_i => clk, - rst_i => rst, - clken_i => clken, - data_i => chk_in, - flush_i => zero, - match_o => match_s, - crc_o => crc_sc); - - ---------------------------------------------------------------------------- - -- Parallel CRC generator/checker. Takes input from the serial generator, - -- including the CRC - ---------------------------------------------------------------------------- - PGEN : ucrc_par - generic map ( - POLYNOMIAL => POLYNOMIAL, - INIT_VALUE => INIT_VALUE, - DATA_WIDTH => DATA_WIDTH, - SYNC_RESET => 1) - port map ( - clk_i => clk, - rst_i => rst, - clken_i => par_clken, - data_i => par_in, - match_o => match_p, - crc_o => crc_p); - - ---------------------------------------------------------------------------- - -- 128bit wide CRC generator - ---------------------------------------------------------------------------- - iucrc_par : ucrc_par - generic map ( - POLYNOMIAL => x"00018bb7", - INIT_VALUE => x"00000000", - DATA_WIDTH => 128, - SYNC_RESET => 1) - port map ( - clk_i => clk, - rst_i => rst, - clken_i => clken2, - data_i => (others => '1'), - match_o => open, - crc_o => crc_p2); - - ---------------------------------------------------------------------------- - -- 160bit wide CRC checker - ---------------------------------------------------------------------------- - iucrc_par2 : ucrc_par - generic map ( - POLYNOMIAL => x"00018bb7", - INIT_VALUE => x"00000000", - DATA_WIDTH => 160, - SYNC_RESET => 1) - port map ( - clk_i => clk, - rst_i => rst, - clken_i => clken3, - data_i => data3, - match_o => match_p2, - crc_o => open); - - data3(127 downto 0) <= (others => '1'); - lCpy : for i in 0 to 31 generate -- CRC must be MSB first! - data3(128 + i) <= crc_p2(31 - i); - end generate lCpy; - - ---------------------------------------------------------------------------- - -- Main test process - ---------------------------------------------------------------------------- - MAIN : process - begin - clken2 <= '0'; - clken3 <= '0'; - message("Simulation starts with a reset."); - rst <= '1'; - wait for 18 ns; - rst <= '0'; - wait_for_event("Wait for flushing of serial CRC generator", 1 ms, flush); - wait for 2 ns; - vector_check("CRC of serial generator", EXPECTED_CRC, crc_s); - vector_check("CRC of parallel generator", EXPECTED_CRC, crc_p); - wait_for_event("Wait for flush to finish", 500 ns, flush); - wait for 2 ns; - signal_check("Serial CRC match signal", '1', match_s); - signal_check("Parallel CRC match signal", '1', match_p); - message("Check 128bit crc:"); - wait until rising_edge(clk); - clken2 <= '1'; - wait until rising_edge(clk); - clken2 <= '0'; - wait until rising_edge(clk); - clken3 <= '1'; - wait until rising_edge(clk); - clken3 <= '0'; - signal_check("Parallel 128bit CRC check", '1', match_p2); - sim_report(""); - wait for 100 ns; - report "End of simulation! (ignore this failure)" - severity failure; - wait; - end process; - - ---------------------------------------------------------------------------- - -- Clock - ---------------------------------------------------------------------------- - CLOCK : process - begin - clk <= '0'; - wait for 5 ns; - clk <= '1'; - wait for 5 ns; - end process; - -end behav; - Index: trunk/rtl/vhdl/ucrc_pkg.vhd =================================================================== --- trunk/rtl/vhdl/ucrc_pkg.vhd (revision 11) +++ trunk/rtl/vhdl/ucrc_pkg.vhd (nonexistent) @@ -1,88 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- Ultimate CRC. ---- ----- ---- ----- This file is part of the ultimate CRC projectt ---- ----- http://www.opencores.org/cores/ultimate_crc/ ---- ----- ---- ----- Description ---- ----- Ultimate CRC component declarations. ---- ----- ---- ----- ---- ----- To Do: ---- ----- - ---- ----- ---- ----- Author(s): ---- ----- - Geir Drange, gedra@opencores.org ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2005 Authors and OPENCORES.ORG ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.0 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU General Public License for more details.---- ----- ---- ----- You should have received a copy of the GNU General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/gpl.txt ---- ----- ---- ----------------------------------------------------------------------- --- --- CVS Revision History --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2005/05/09 15:56:14 gedra --- Component declarations --- --- --- - -library ieee; -use ieee.std_logic_1164.all; - -package ucrc_pkg is - - component ucrc_ser - generic ( - POLYNOMIAL : std_logic_vector; -- 4 to 32 bits - INIT_VALUE : std_logic_vector; - SYNC_RESET : integer range 0 to 1); -- use synchronous reset - port ( - clk_i : in std_logic; -- clock - rst_i : in std_logic; -- init CRC - clken_i : in std_logic; -- clock enable - data_i : in std_logic; -- data input - flush_i : in std_logic; -- flush crc - match_o : out std_logic; -- CRC match flag - crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output - end component; - - component ucrc_par - generic ( - POLYNOMIAL : std_logic_vector; - INIT_VALUE : std_logic_vector; - DATA_WIDTH : integer range 2 to 256; - SYNC_RESET : integer range 0 to 1); -- use synchronous reset - port ( - clk_i : in std_logic; -- clock - rst_i : in std_logic; -- init CRC - clken_i : in std_logic; -- clock enable - data_i : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- data input - match_o : out std_logic; -- CRC match flag - crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output - end component; - -end ucrc_pkg; Index: trunk/rtl/vhdl/ucrc_par.vhd =================================================================== --- trunk/rtl/vhdl/ucrc_par.vhd (revision 11) +++ trunk/rtl/vhdl/ucrc_par.vhd (nonexistent) @@ -1,176 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- Ultimate CRC. ---- ----- ---- ----- This file is part of the ultimate CRC projectt ---- ----- http://www.opencores.org/cores/ultimate_crc/ ---- ----- ---- ----- Description ---- ----- CRC generator/checker, parallel implementation. ---- ----- ---- ----- ---- ----- To Do: ---- ----- - ---- ----- ---- ----- Author(s): ---- ----- - Geir Drange, gedra@opencores.org ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2005 Authors and OPENCORES.ORG ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.0 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU General Public License for more details.---- ----- ---- ----- You should have received a copy of the GNU General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/gpl.txt ---- ----- ---- ----------------------------------------------------------------------- --- --- CVS Revision History --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2005/05/09 15:58:38 gedra --- Parallel implementation --- --- --- - -library ieee; -use ieee.std_logic_1164.all; - -entity ucrc_par is - generic ( - POLYNOMIAL : std_logic_vector; - INIT_VALUE : std_logic_vector; - DATA_WIDTH : integer range 2 to 256; - SYNC_RESET : integer range 0 to 1); -- use sync./async reset - port ( - clk_i : in std_logic; -- clock - rst_i : in std_logic; -- init CRC - clken_i : in std_logic; -- clock enable - data_i : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- data input - match_o : out std_logic; -- CRC match flag - crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output -end ucrc_par; - -architecture rtl of ucrc_par is - - constant msb : integer := POLYNOMIAL'length - 1; - constant init_msb : integer := INIT_VALUE'length - 1; - constant p : std_logic_vector(msb downto 0) := POLYNOMIAL; - constant dw : integer := DATA_WIDTH; - constant pw : integer := POLYNOMIAL'length; - type fb_array is array (dw downto 1) of std_logic_vector(msb downto 0); - type dmsb_array is array (dw downto 1) of std_logic_vector(msb downto 1); - signal crca : fb_array; - signal da, ma : dmsb_array; - signal crc, zero : std_logic_vector(msb downto 0); - signal arst, srst : std_logic; - -begin - --- Parameter checking: Invalid generics will abort simulation/synthesis - PCHK1 : if msb /= init_msb generate - process - begin - report "POLYNOMIAL and INIT_VALUE vectors must be equal length!" - severity failure; - wait; - end process; - end generate PCHK1; - - PCHK2 : if (msb < 3) or (msb > 31) generate - process - begin - report "POLYNOMIAL must be of order 4 to 32!" - severity failure; - wait; - end process; - end generate PCHK2; - - PCHK3 : if p(0) /= '1' generate -- LSB must be 1 - process - begin - report "POLYNOMIAL must have lsb set to 1!" - severity failure; - wait; - end process; - end generate PCHK3; - --- Generate vector of each data bit - CA : for i in 1 to dw generate -- data bits - DAT : for j in 1 to msb generate - da(i)(j) <= data_i(i - 1); - end generate DAT; - end generate CA; - --- Generate vector of each CRC MSB - MS0 : for i in 1 to msb generate - ma(1)(i) <= crc(msb); - end generate MS0; - MSP : for i in 2 to dw generate - MSU : for j in 1 to msb generate - ma(i)(j) <= crca(i - 1)(msb); - end generate MSU; - end generate MSP; - --- Generate feedback matrix - crca(1)(0) <= da(1)(1) xor crc(msb); - crca(1)(msb downto 1) <= crc(msb - 1 downto 0) xor ((da(1) xor ma(1)) and p(msb downto 1)); - FB : for i in 2 to dw generate - crca(i)(0) <= da(i)(1) xor crca(i - 1)(msb); - crca(i)(msb downto 1) <= crca(i - 1)(msb - 1 downto 0) xor - ((da(i) xor ma(i)) and p(msb downto 1)); - end generate FB; - --- Reset signal - SR : if SYNC_RESET = 1 generate - srst <= rst_i; - arst <= '0'; - end generate SR; - AR : if SYNC_RESET = 0 generate - srst <= '0'; - arst <= rst_i; - end generate AR; - --- CRC process - crc_o <= crc; - zero <= (others => '0'); - - CRCP : process (clk_i, arst) - begin - if arst = '1' then -- async. reset - crc <= INIT_VALUE; - match_o <= '0'; - elsif rising_edge(clk_i) then - if srst = '1' then -- sync. reset - crc <= INIT_VALUE; - match_o <= '0'; - elsif clken_i = '1' then - crc <= crca(dw); - if crca(dw) = zero then - match_o <= '1'; - else - match_o <= '0'; - end if; - end if; - end if; - end process; - -end rtl; - Index: trunk/rtl/vhdl/ucrc_ser.vhd =================================================================== --- trunk/rtl/vhdl/ucrc_ser.vhd (revision 11) +++ trunk/rtl/vhdl/ucrc_ser.vhd (nonexistent) @@ -1,168 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- Ultimate CRC. ---- ----- ---- ----- This file is part of the ultimate CRC projectt ---- ----- http://www.opencores.org/cores/ultimate_crc/ ---- ----- ---- ----- Description ---- ----- CRC generator/checker, serial implementation. ---- ----- ---- ----- ---- ----- To Do: ---- ----- - ---- ----- ---- ----- Author(s): ---- ----- - Geir Drange, gedra@opencores.org ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2005 Authors and OPENCORES.ORG ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.0 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU General Public License for more details.---- ----- ---- ----- You should have received a copy of the GNU General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/gpl.txt ---- ----- ---- ----------------------------------------------------------------------- --- --- CVS Revision History --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2005/05/09 19:26:58 gedra --- Moved match signal into clock enable --- --- Revision 1.1 2005/05/07 12:47:47 gedra --- Serial implementation. --- --- --- - -library ieee; -use ieee.std_logic_1164.all; - -entity ucrc_ser is - generic ( - POLYNOMIAL : std_logic_vector; - INIT_VALUE : std_logic_vector; - SYNC_RESET : integer range 0 to 1 := 0); -- use synchronous reset - port ( - clk_i : in std_logic; -- clock - rst_i : in std_logic; -- init CRC - clken_i : in std_logic; -- clock enable - data_i : in std_logic; -- data input - flush_i : in std_logic; -- flush crc - match_o : out std_logic; -- CRC match flag - crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output -end ucrc_ser; - -architecture rtl of ucrc_ser is - - constant msb : integer := POLYNOMIAL'length - 1; - constant init_msb : integer := INIT_VALUE'length - 1; - constant p : std_logic_vector(msb downto 0) := POLYNOMIAL; - signal din, crc_msb : std_logic_vector(msb downto 1); - signal crc, zero, fb : std_logic_vector(msb downto 0); - signal arst, srst : std_logic; - -begin - --- Parameter checking: Invalid generics will abort simulation/synthesis - PCHK : if msb /= init_msb generate - process - begin - report "POLYNOMIAL and INIT_VALUE vectors must be equal length!" - severity failure; - wait; - end process; - end generate PCHK; - - PCHK2 : if (msb < 3) or (msb > 31) generate - process - begin - report "POLYNOMIAL must be of order 4 to 32!" - severity failure; - wait; - end process; - end generate PCHK2; - - PCHK3 : if p(0) /= '1' generate -- LSB must be 1 - process - begin - report "POLYNOMIAL must have lsb set to 1!" - severity failure; - wait; - end process; - end generate PCHK3; - - zero <= (others => '0'); - crc_o <= crc; - --- Create vectors of data input and MSB of CRC - DI : for i in 1 to msb generate - din(i) <= data_i; - crc_msb(i) <= crc(msb); - end generate DI; - --- Feedback signals - fb(0) <= data_i xor crc(msb); - fb(msb downto 1) <= crc(msb-1 downto 0) xor ((din xor crc_msb) and p(msb downto 1)); - --- Reset signal - SR : if SYNC_RESET = 1 generate - srst <= rst_i; - arst <= '0'; - end generate SR; - AR : if SYNC_RESET = 0 generate - srst <= '0'; - arst <= rst_i; - end generate AR; - --- CRC process - CRCP : process (clk_i, arst) - begin - if arst = '1' then -- async. reset - crc <= INIT_VALUE; - match_o <= '0'; - elsif rising_edge(clk_i) then - if srst = '1' then -- sync. reset - crc <= INIT_VALUE; - match_o <= '0'; - else - if clken_i = '1' then - -- CRC generation - if flush_i = '1' then - crc(0) <= '0'; - crc(msb downto 1) <= crc(msb - 1 downto 0); - else - crc <= fb; - end if; - -- CRC match checker (if data plus CRC is clocked in without errors, - -- the CRC register ends up with all zeroes) - if fb = zero then - match_o <= '1'; - else - match_o <= '0'; - end if; - end if; - end if; - end if; - end process; - -end rtl; - Index: ultimate_crc/trunk/bench/vhdl/tb_crc.vhd =================================================================== --- ultimate_crc/trunk/bench/vhdl/tb_crc.vhd (nonexistent) +++ ultimate_crc/trunk/bench/vhdl/tb_crc.vhd (revision 12) @@ -0,0 +1,304 @@ +---------------------------------------------------------------------- +---- ---- +---- Ultimate CRC. ---- +---- ---- +---- This file is part of the ultimate CRC projectt ---- +---- http://www.opencores.org/cores/ultimate_crc/ ---- +---- ---- +---- Description ---- +---- Test bench for ultimate crc. ---- +---- ---- +---- ---- +---- To Do: ---- +---- - ---- +---- ---- +---- Author(s): ---- +---- - Geir Drange, gedra@opencores.org ---- +---- ---- +---------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2005 Authors and OPENCORES.ORG ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer. ---- +---- ---- +---- This source file is free software; you can redistribute it ---- +---- and/or modify it under the terms of the GNU General ---- +---- Public License as published by the Free Software Foundation; ---- +---- either version 2.0 of the License, or (at your option) any ---- +---- later version. ---- +---- ---- +---- This source is distributed in the hope that it will be ---- +---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- +---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- +---- PURPOSE. See the GNU General Public License for more details.---- +---- ---- +---- You should have received a copy of the GNU General ---- +---- Public License along with this source; if not, download it ---- +---- from http://www.gnu.org/licenses/gpl.txt ---- +---- ---- +---------------------------------------------------------------------- +-- +-- CVS Revision History +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2005/05/09 16:07:45 gedra +-- test bench. +-- +-- +-- + +library ieee; +use ieee.std_logic_1164.all; +use work.ucrc_pkg.all; +use work.wb_tb_pack.all; + +entity tb_crc is + +end tb_crc; + +architecture behav of tb_crc is + + -- Polynomial under testing + constant POLYNOMIAL : std_logic_vector(15 downto 0) := + "0001000000100001"; -- x^16 + x^12 + x^5 + 1; + -- Initialization value + constant INIT_VALUE : std_logic_vector(15 downto 0) := "1111111111111111"; + -- Data width for the parallel implementation + constant DATA_WIDTH : integer := 8; + -- Number of bits + constant CODE_LENGTH : integer := 64 / DATA_WIDTH; + constant EXPECTED_CRC : std_logic_vector(POLYNOMIAL'length - 1 downto 0) := + "0110100100110101"; -- this must be updated if any parameter is changed! + signal clk, rst, d_o, d_v, flush, clken, pd_in : std_logic; + signal lsfr_reg : std_logic_vector(31 downto 0); + signal cnt : integer range 0 to CODE_LENGTH*DATA_WIDTH+10; + signal zero, match_s, match_p, chk_in, clken3 : std_logic; + signal data, par_in : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal crc_s, crc_sc, crc_p : std_logic_vector(POLYNOMIAL'length - 1 downto 0); + signal pcnt : integer range 0 to DATA_WIDTH - 1; + signal one, check, par_clken, clken2, match_p2 : std_logic; + signal crc_p2 : std_logic_vector(31 downto 0); + signal data3 : std_logic_vector(159 downto 0); + +begin + + zero <= '0'; + one <= '1'; + + ---------------------------------------------------------------------------- + -- LFSR generates random bits as input to the CRC generators + ---------------------------------------------------------------------------- + LSFR : process (clk, rst) + begin + if rst = '1' then + lsfr_reg <= (others => '1'); + elsif rising_edge(clk) then + lsfr_reg(0) <= lsfr_reg(31) xor lsfr_reg(6) xor lsfr_reg(4) + xor lsfr_reg(2) xor lsfr_reg(1) xor lsfr_reg(0); + lsfr_reg(31 downto 1) <= lsfr_reg(30 downto 0); + end if; + end process; + + ---------------------------------------------------------------------------- + -- Serial to parallel data register, used to feed the parallel implementation + ---------------------------------------------------------------------------- + pd_in <= chk_in; -- Data plus CRC + par_in(DATA_WIDTH - 1) <= pd_in; + S2P : process (clk, rst) + begin + if rst = '1' then + par_in(DATA_WIDTH - 2 downto 0) <= (others => '0'); + par_clken <= '0'; + pcnt <= 0; + elsif rising_edge(clk) then + if clken = '1' then + par_in(DATA_WIDTH - 2) <= pd_in; + par_in(DATA_WIDTH - 3 downto 0) <= par_in(DATA_WIDTH - 2 downto 1); + if pcnt < DATA_WIDTH - 1 then + pcnt <= pcnt + 1; + else + pcnt <= 0; + end if; + if pcnt = DATA_WIDTH - 2 then + par_clken <= '1'; + else + par_clken <= '0'; + end if; + else + par_clken <= '0'; + end if; + end if; + end process; + + ---------------------------------------------------------------------------- + -- Bit counter and CRC control. Generates clken and flush signals for the + -- serial generator. + ---------------------------------------------------------------------------- + BCNT : process (clk, rst) + begin + if rst = '1' then + cnt <= 0; + flush <= '0'; + clken <= '0'; + elsif rising_edge(clk) then + if cnt < CODE_LENGTH*DATA_WIDTH+10 then + cnt <= cnt + 1; + end if; + if cnt >= DATA_WIDTH and cnt < CODE_LENGTH*DATA_WIDTH then + clken <= '1'; + else + clken <= '0'; + end if; + if (cnt >= CODE_LENGTH*DATA_WIDTH - POLYNOMIAL'length) and + (cnt < CODE_LENGTH*DATA_WIDTH) then + flush <= '1'; + else + flush <= '0'; + end if; + end if; + end process; + + ---------------------------------------------------------------------------- + -- Serial CRC generator. CRC is flushed out after the data block + ---------------------------------------------------------------------------- + SGEN : ucrc_ser + generic map ( + POLYNOMIAL => POLYNOMIAL, + INIT_VALUE => INIT_VALUE, + SYNC_RESET => 1) + port map ( + clk_i => clk, + rst_i => rst, + clken_i => clken, + data_i => lsfr_reg(0), + flush_i => flush, + match_o => open, + crc_o => crc_s); + + ---------------------------------------------------------------------------- + -- Serial CRC checker. Takes input from the serial generator, incl. CRC + ---------------------------------------------------------------------------- + chk_in <= lsfr_reg(0) when flush = '0' else crc_s(POLYNOMIAL'length - 1); + SCHK : ucrc_ser + generic map ( + POLYNOMIAL => POLYNOMIAL, + INIT_VALUE => INIT_VALUE, + SYNC_RESET => 1) + port map ( + clk_i => clk, + rst_i => rst, + clken_i => clken, + data_i => chk_in, + flush_i => zero, + match_o => match_s, + crc_o => crc_sc); + + ---------------------------------------------------------------------------- + -- Parallel CRC generator/checker. Takes input from the serial generator, + -- including the CRC + ---------------------------------------------------------------------------- + PGEN : ucrc_par + generic map ( + POLYNOMIAL => POLYNOMIAL, + INIT_VALUE => INIT_VALUE, + DATA_WIDTH => DATA_WIDTH, + SYNC_RESET => 1) + port map ( + clk_i => clk, + rst_i => rst, + clken_i => par_clken, + data_i => par_in, + match_o => match_p, + crc_o => crc_p); + + ---------------------------------------------------------------------------- + -- 128bit wide CRC generator + ---------------------------------------------------------------------------- + iucrc_par : ucrc_par + generic map ( + POLYNOMIAL => x"00018bb7", + INIT_VALUE => x"00000000", + DATA_WIDTH => 128, + SYNC_RESET => 1) + port map ( + clk_i => clk, + rst_i => rst, + clken_i => clken2, + data_i => (others => '1'), + match_o => open, + crc_o => crc_p2); + + ---------------------------------------------------------------------------- + -- 160bit wide CRC checker + ---------------------------------------------------------------------------- + iucrc_par2 : ucrc_par + generic map ( + POLYNOMIAL => x"00018bb7", + INIT_VALUE => x"00000000", + DATA_WIDTH => 160, + SYNC_RESET => 1) + port map ( + clk_i => clk, + rst_i => rst, + clken_i => clken3, + data_i => data3, + match_o => match_p2, + crc_o => open); + + data3(127 downto 0) <= (others => '1'); + lCpy : for i in 0 to 31 generate -- CRC must be MSB first! + data3(128 + i) <= crc_p2(31 - i); + end generate lCpy; + + ---------------------------------------------------------------------------- + -- Main test process + ---------------------------------------------------------------------------- + MAIN : process + begin + clken2 <= '0'; + clken3 <= '0'; + message("Simulation starts with a reset."); + rst <= '1'; + wait for 18 ns; + rst <= '0'; + wait_for_event("Wait for flushing of serial CRC generator", 1 ms, flush); + wait for 2 ns; + vector_check("CRC of serial generator", EXPECTED_CRC, crc_s); + vector_check("CRC of parallel generator", EXPECTED_CRC, crc_p); + wait_for_event("Wait for flush to finish", 500 ns, flush); + wait for 2 ns; + signal_check("Serial CRC match signal", '1', match_s); + signal_check("Parallel CRC match signal", '1', match_p); + message("Check 128bit crc:"); + wait until rising_edge(clk); + clken2 <= '1'; + wait until rising_edge(clk); + clken2 <= '0'; + wait until rising_edge(clk); + clken3 <= '1'; + wait until rising_edge(clk); + clken3 <= '0'; + signal_check("Parallel 128bit CRC check", '1', match_p2); + sim_report(""); + wait for 100 ns; + report "End of simulation! (ignore this failure)" + severity failure; + wait; + end process; + + ---------------------------------------------------------------------------- + -- Clock + ---------------------------------------------------------------------------- + CLOCK : process + begin + clk <= '0'; + wait for 5 ns; + clk <= '1'; + wait for 5 ns; + end process; + +end behav; + Index: ultimate_crc/trunk/bench/vhdl/wb_tb_pack.txt =================================================================== --- ultimate_crc/trunk/bench/vhdl/wb_tb_pack.txt (nonexistent) +++ ultimate_crc/trunk/bench/vhdl/wb_tb_pack.txt (revision 12) @@ -0,0 +1,2 @@ +wb_tb_pack.vhd is reused from the spdif project. Fetch the latest revision of +this file from there. \ No newline at end of file Index: ultimate_crc/trunk/rtl/vhdl/ucrc_ser.vhd =================================================================== --- ultimate_crc/trunk/rtl/vhdl/ucrc_ser.vhd (nonexistent) +++ ultimate_crc/trunk/rtl/vhdl/ucrc_ser.vhd (revision 12) @@ -0,0 +1,168 @@ +---------------------------------------------------------------------- +---- ---- +---- Ultimate CRC. ---- +---- ---- +---- This file is part of the ultimate CRC projectt ---- +---- http://www.opencores.org/cores/ultimate_crc/ ---- +---- ---- +---- Description ---- +---- CRC generator/checker, serial implementation. ---- +---- ---- +---- ---- +---- To Do: ---- +---- - ---- +---- ---- +---- Author(s): ---- +---- - Geir Drange, gedra@opencores.org ---- +---- ---- +---------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2005 Authors and OPENCORES.ORG ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer. ---- +---- ---- +---- This source file is free software; you can redistribute it ---- +---- and/or modify it under the terms of the GNU General ---- +---- Public License as published by the Free Software Foundation; ---- +---- either version 2.0 of the License, or (at your option) any ---- +---- later version. ---- +---- ---- +---- This source is distributed in the hope that it will be ---- +---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- +---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- +---- PURPOSE. See the GNU General Public License for more details.---- +---- ---- +---- You should have received a copy of the GNU General ---- +---- Public License along with this source; if not, download it ---- +---- from http://www.gnu.org/licenses/gpl.txt ---- +---- ---- +---------------------------------------------------------------------- +-- +-- CVS Revision History +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.2 2005/05/09 19:26:58 gedra +-- Moved match signal into clock enable +-- +-- Revision 1.1 2005/05/07 12:47:47 gedra +-- Serial implementation. +-- +-- +-- + +library ieee; +use ieee.std_logic_1164.all; + +entity ucrc_ser is + generic ( + POLYNOMIAL : std_logic_vector; + INIT_VALUE : std_logic_vector; + SYNC_RESET : integer range 0 to 1 := 0); -- use synchronous reset + port ( + clk_i : in std_logic; -- clock + rst_i : in std_logic; -- init CRC + clken_i : in std_logic; -- clock enable + data_i : in std_logic; -- data input + flush_i : in std_logic; -- flush crc + match_o : out std_logic; -- CRC match flag + crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output +end ucrc_ser; + +architecture rtl of ucrc_ser is + + constant msb : integer := POLYNOMIAL'length - 1; + constant init_msb : integer := INIT_VALUE'length - 1; + constant p : std_logic_vector(msb downto 0) := POLYNOMIAL; + signal din, crc_msb : std_logic_vector(msb downto 1); + signal crc, zero, fb : std_logic_vector(msb downto 0); + signal arst, srst : std_logic; + +begin + +-- Parameter checking: Invalid generics will abort simulation/synthesis + PCHK : if msb /= init_msb generate + process + begin + report "POLYNOMIAL and INIT_VALUE vectors must be equal length!" + severity failure; + wait; + end process; + end generate PCHK; + + PCHK2 : if (msb < 3) or (msb > 31) generate + process + begin + report "POLYNOMIAL must be of order 4 to 32!" + severity failure; + wait; + end process; + end generate PCHK2; + + PCHK3 : if p(0) /= '1' generate -- LSB must be 1 + process + begin + report "POLYNOMIAL must have lsb set to 1!" + severity failure; + wait; + end process; + end generate PCHK3; + + zero <= (others => '0'); + crc_o <= crc; + +-- Create vectors of data input and MSB of CRC + DI : for i in 1 to msb generate + din(i) <= data_i; + crc_msb(i) <= crc(msb); + end generate DI; + +-- Feedback signals + fb(0) <= data_i xor crc(msb); + fb(msb downto 1) <= crc(msb-1 downto 0) xor ((din xor crc_msb) and p(msb downto 1)); + +-- Reset signal + SR : if SYNC_RESET = 1 generate + srst <= rst_i; + arst <= '0'; + end generate SR; + AR : if SYNC_RESET = 0 generate + srst <= '0'; + arst <= rst_i; + end generate AR; + +-- CRC process + CRCP : process (clk_i, arst) + begin + if arst = '1' then -- async. reset + crc <= INIT_VALUE; + match_o <= '0'; + elsif rising_edge(clk_i) then + if srst = '1' then -- sync. reset + crc <= INIT_VALUE; + match_o <= '0'; + else + if clken_i = '1' then + -- CRC generation + if flush_i = '1' then + crc(0) <= '0'; + crc(msb downto 1) <= crc(msb - 1 downto 0); + else + crc <= fb; + end if; + -- CRC match checker (if data plus CRC is clocked in without errors, + -- the CRC register ends up with all zeroes) + if fb = zero then + match_o <= '1'; + else + match_o <= '0'; + end if; + end if; + end if; + end if; + end process; + +end rtl; + Index: ultimate_crc/trunk/rtl/vhdl/ucrc_pkg.vhd =================================================================== --- ultimate_crc/trunk/rtl/vhdl/ucrc_pkg.vhd (nonexistent) +++ ultimate_crc/trunk/rtl/vhdl/ucrc_pkg.vhd (revision 12) @@ -0,0 +1,88 @@ +---------------------------------------------------------------------- +---- ---- +---- Ultimate CRC. ---- +---- ---- +---- This file is part of the ultimate CRC projectt ---- +---- http://www.opencores.org/cores/ultimate_crc/ ---- +---- ---- +---- Description ---- +---- Ultimate CRC component declarations. ---- +---- ---- +---- ---- +---- To Do: ---- +---- - ---- +---- ---- +---- Author(s): ---- +---- - Geir Drange, gedra@opencores.org ---- +---- ---- +---------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2005 Authors and OPENCORES.ORG ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer. ---- +---- ---- +---- This source file is free software; you can redistribute it ---- +---- and/or modify it under the terms of the GNU General ---- +---- Public License as published by the Free Software Foundation; ---- +---- either version 2.0 of the License, or (at your option) any ---- +---- later version. ---- +---- ---- +---- This source is distributed in the hope that it will be ---- +---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- +---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- +---- PURPOSE. See the GNU General Public License for more details.---- +---- ---- +---- You should have received a copy of the GNU General ---- +---- Public License along with this source; if not, download it ---- +---- from http://www.gnu.org/licenses/gpl.txt ---- +---- ---- +---------------------------------------------------------------------- +-- +-- CVS Revision History +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2005/05/09 15:56:14 gedra +-- Component declarations +-- +-- +-- + +library ieee; +use ieee.std_logic_1164.all; + +package ucrc_pkg is + + component ucrc_ser + generic ( + POLYNOMIAL : std_logic_vector; -- 4 to 32 bits + INIT_VALUE : std_logic_vector; + SYNC_RESET : integer range 0 to 1); -- use synchronous reset + port ( + clk_i : in std_logic; -- clock + rst_i : in std_logic; -- init CRC + clken_i : in std_logic; -- clock enable + data_i : in std_logic; -- data input + flush_i : in std_logic; -- flush crc + match_o : out std_logic; -- CRC match flag + crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output + end component; + + component ucrc_par + generic ( + POLYNOMIAL : std_logic_vector; + INIT_VALUE : std_logic_vector; + DATA_WIDTH : integer range 2 to 256; + SYNC_RESET : integer range 0 to 1); -- use synchronous reset + port ( + clk_i : in std_logic; -- clock + rst_i : in std_logic; -- init CRC + clken_i : in std_logic; -- clock enable + data_i : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- data input + match_o : out std_logic; -- CRC match flag + crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output + end component; + +end ucrc_pkg; Index: ultimate_crc/trunk/rtl/vhdl/ucrc_par.vhd =================================================================== --- ultimate_crc/trunk/rtl/vhdl/ucrc_par.vhd (nonexistent) +++ ultimate_crc/trunk/rtl/vhdl/ucrc_par.vhd (revision 12) @@ -0,0 +1,176 @@ +---------------------------------------------------------------------- +---- ---- +---- Ultimate CRC. ---- +---- ---- +---- This file is part of the ultimate CRC projectt ---- +---- http://www.opencores.org/cores/ultimate_crc/ ---- +---- ---- +---- Description ---- +---- CRC generator/checker, parallel implementation. ---- +---- ---- +---- ---- +---- To Do: ---- +---- - ---- +---- ---- +---- Author(s): ---- +---- - Geir Drange, gedra@opencores.org ---- +---- ---- +---------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2005 Authors and OPENCORES.ORG ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer. ---- +---- ---- +---- This source file is free software; you can redistribute it ---- +---- and/or modify it under the terms of the GNU General ---- +---- Public License as published by the Free Software Foundation; ---- +---- either version 2.0 of the License, or (at your option) any ---- +---- later version. ---- +---- ---- +---- This source is distributed in the hope that it will be ---- +---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- +---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- +---- PURPOSE. See the GNU General Public License for more details.---- +---- ---- +---- You should have received a copy of the GNU General ---- +---- Public License along with this source; if not, download it ---- +---- from http://www.gnu.org/licenses/gpl.txt ---- +---- ---- +---------------------------------------------------------------------- +-- +-- CVS Revision History +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2005/05/09 15:58:38 gedra +-- Parallel implementation +-- +-- +-- + +library ieee; +use ieee.std_logic_1164.all; + +entity ucrc_par is + generic ( + POLYNOMIAL : std_logic_vector; + INIT_VALUE : std_logic_vector; + DATA_WIDTH : integer range 2 to 256; + SYNC_RESET : integer range 0 to 1); -- use sync./async reset + port ( + clk_i : in std_logic; -- clock + rst_i : in std_logic; -- init CRC + clken_i : in std_logic; -- clock enable + data_i : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- data input + match_o : out std_logic; -- CRC match flag + crc_o : out std_logic_vector(POLYNOMIAL'length - 1 downto 0)); -- CRC output +end ucrc_par; + +architecture rtl of ucrc_par is + + constant msb : integer := POLYNOMIAL'length - 1; + constant init_msb : integer := INIT_VALUE'length - 1; + constant p : std_logic_vector(msb downto 0) := POLYNOMIAL; + constant dw : integer := DATA_WIDTH; + constant pw : integer := POLYNOMIAL'length; + type fb_array is array (dw downto 1) of std_logic_vector(msb downto 0); + type dmsb_array is array (dw downto 1) of std_logic_vector(msb downto 1); + signal crca : fb_array; + signal da, ma : dmsb_array; + signal crc, zero : std_logic_vector(msb downto 0); + signal arst, srst : std_logic; + +begin + +-- Parameter checking: Invalid generics will abort simulation/synthesis + PCHK1 : if msb /= init_msb generate + process + begin + report "POLYNOMIAL and INIT_VALUE vectors must be equal length!" + severity failure; + wait; + end process; + end generate PCHK1; + + PCHK2 : if (msb < 3) or (msb > 31) generate + process + begin + report "POLYNOMIAL must be of order 4 to 32!" + severity failure; + wait; + end process; + end generate PCHK2; + + PCHK3 : if p(0) /= '1' generate -- LSB must be 1 + process + begin + report "POLYNOMIAL must have lsb set to 1!" + severity failure; + wait; + end process; + end generate PCHK3; + +-- Generate vector of each data bit + CA : for i in 1 to dw generate -- data bits + DAT : for j in 1 to msb generate + da(i)(j) <= data_i(i - 1); + end generate DAT; + end generate CA; + +-- Generate vector of each CRC MSB + MS0 : for i in 1 to msb generate + ma(1)(i) <= crc(msb); + end generate MS0; + MSP : for i in 2 to dw generate + MSU : for j in 1 to msb generate + ma(i)(j) <= crca(i - 1)(msb); + end generate MSU; + end generate MSP; + +-- Generate feedback matrix + crca(1)(0) <= da(1)(1) xor crc(msb); + crca(1)(msb downto 1) <= crc(msb - 1 downto 0) xor ((da(1) xor ma(1)) and p(msb downto 1)); + FB : for i in 2 to dw generate + crca(i)(0) <= da(i)(1) xor crca(i - 1)(msb); + crca(i)(msb downto 1) <= crca(i - 1)(msb - 1 downto 0) xor + ((da(i) xor ma(i)) and p(msb downto 1)); + end generate FB; + +-- Reset signal + SR : if SYNC_RESET = 1 generate + srst <= rst_i; + arst <= '0'; + end generate SR; + AR : if SYNC_RESET = 0 generate + srst <= '0'; + arst <= rst_i; + end generate AR; + +-- CRC process + crc_o <= crc; + zero <= (others => '0'); + + CRCP : process (clk_i, arst) + begin + if arst = '1' then -- async. reset + crc <= INIT_VALUE; + match_o <= '0'; + elsif rising_edge(clk_i) then + if srst = '1' then -- sync. reset + crc <= INIT_VALUE; + match_o <= '0'; + elsif clken_i = '1' then + crc <= crca(dw); + if crca(dw) = zero then + match_o <= '1'; + else + match_o <= '0'; + end if; + end if; + end if; + end process; + +end rtl; + Index: ultimate_crc/trunk/doc/ultimate_crc.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ultimate_crc/trunk/doc/ultimate_crc.pdf =================================================================== --- ultimate_crc/trunk/doc/ultimate_crc.pdf (nonexistent) +++ ultimate_crc/trunk/doc/ultimate_crc.pdf (revision 12)
ultimate_crc/trunk/doc/ultimate_crc.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ultimate_crc/trunk/doc/src/ultimate_crc.doc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ultimate_crc/trunk/doc/src/ultimate_crc.doc =================================================================== --- ultimate_crc/trunk/doc/src/ultimate_crc.doc (nonexistent) +++ ultimate_crc/trunk/doc/src/ultimate_crc.doc (revision 12)
ultimate_crc/trunk/doc/src/ultimate_crc.doc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ultimate_crc/trunk/doc/copying.txt =================================================================== --- ultimate_crc/trunk/doc/copying.txt (nonexistent) +++ ultimate_crc/trunk/doc/copying.txt (revision 12) @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) year name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. Index: ultimate_crc/trunk =================================================================== --- ultimate_crc/trunk (nonexistent) +++ ultimate_crc/trunk (revision 12)
ultimate_crc/trunk Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: ultimate_crc/web_uploads =================================================================== --- ultimate_crc/web_uploads (nonexistent) +++ ultimate_crc/web_uploads (revision 12)
ultimate_crc/web_uploads Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: ultimate_crc/branches =================================================================== --- ultimate_crc/branches (nonexistent) +++ ultimate_crc/branches (revision 12)
ultimate_crc/branches Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: ultimate_crc/tags =================================================================== --- ultimate_crc/tags (nonexistent) +++ ultimate_crc/tags (revision 12)
ultimate_crc/tags Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ##

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.