URL
https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk
Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 13 to Rev 14
- ↔ Reverse comparison
Rev 13 → Rev 14
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/sdram_core.v
14,6 → 14,7
parameter NIC_CONNECT_PORT = 0, // 0:Local 1:East, 2:North, 3:West, 4:South |
parameter SDRAM_ADDR_WIDTH = 25, |
parameter CAND_VC_SEL_MODE = 0, |
parameter CONGESTION_WIDTH = 8, |
parameter VC_ID_WIDTH = VC_NUM_PER_PORT, |
parameter FLIT_WIDTH = PYLD_WIDTH+FLIT_TYPE_WIDTH+VC_ID_WIDTH, |
parameter CORE_NUMBER = `CORE_NUM(SW_X_ADDR,SW_Y_ADDR) |
26,7 → 27,8
output [FLIT_WIDTH-1 :0] flit_out, |
output flit_out_wr, |
input [VC_NUM_PER_PORT-1 :0] credit_in, |
|
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
|
input [FLIT_WIDTH-1 :0] flit_in, |
input flit_in_wr, |
output [VC_NUM_PER_PORT-1 :0] credit_out, |
112,7 → 114,7
.flit_out (flit_out), |
.flit_out_wr (flit_out_wr), |
.credit_in (credit_in), |
|
.congestion_cmp_i (congestion_cmp_i), |
.flit_in (flit_in), |
.flit_in_wr (flit_in_wr), |
.credit_out (credit_out), |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/router.v
47,7 → 47,9
parameter FLIT_WIDTH = PYLD_WIDTH+ FLIT_TYPE_WIDTH+VC_ID_WIDTH, |
parameter FLIT_ARRAY_WIDTH = FLIT_WIDTH * PORT_NUM, |
parameter CREDIT_ARRAY_WIDTH = VC_NUM_PER_PORT * PORT_NUM, |
parameter VC_FULL_WIDTH = VC_NUM_PER_PORT * 2 |
parameter VC_FULL_WIDTH = VC_NUM_PER_PORT * 2, |
parameter CONGESTION_WIDTH = 8 |
|
|
) |
( |
57,6 → 59,8
output[PORT_NUM-1 : 0] wr_out_en_array, |
output[FLIT_ARRAY_WIDTH-1 : 0] flit_out_array, |
input [CREDIT_ARRAY_WIDTH-1 : 0] credit_in_array, |
output[CONGESTION_WIDTH-1 : 0] congestion_cmp_o, |
input [CONGESTION_WIDTH-1 : 0] congestion_cmp_i, |
input clk, |
input reset |
); |
95,6 → 99,7
wire [PORT_NUM-1 :0] sw_any_vc_granted; |
wire [X_NODE_NUM_WIDTH-1 :0] sw_dest_x_addr [PORT_NUM-1 : 0]; |
wire [Y_NODE_NUM_WIDTH-1 :0] sw_dest_y_addr [PORT_NUM-1 : 0]; |
wire [PORT_NUM_BCD_WIDTH-1 :0] sw_in_port_num [PORT_NUM-1 : 0]; |
wire [FLIT_WIDTH-1 :0] sw_flit_in [PORT_NUM-1 : 0]; |
wire [VC_NUM_PER_PORT-1 :0] sw_credit_out [PORT_NUM-1 : 0]; |
wire [FLIT_WIDTH-1 :0] sw_flit_out [PORT_NUM-1 : 0]; |
119,10 → 124,12
wire [STATUS_WIDTH_PER_SW-1 :0] sw_assigned_ovcs_status [PORT_NUM-1 : 0]; |
wire [PORT_SEL_WIDTH-1 :0] sw_granted_port [PORT_NUM-1 : 0]; |
wire [PORT_SEL_WIDTH-1 :0] sw_ovc_alloc_in_port [PORT_NUM-1 : 0]; |
|
|
wire [X_NODE_NUM_WIDTH-1 :0] lk_dest_x_addr [PORT_NUM-1 : 0]; |
wire [Y_NODE_NUM_WIDTH-1 :0] lk_dest_y_addr [PORT_NUM-1 : 0]; |
wire [PORT_NUM_BCD_WIDTH-1 :0] lk_port_sel_out [PORT_NUM-1 : 0]; |
wire [CONGESTION_WIDTH-1 :0] lk_congestion_cmp [PORT_NUM-1 : 0]; |
wire [PORT_NUM_BCD_WIDTH-1 :0] lk_in_port_num [PORT_NUM-1 : 0]; |
|
wire [FLIT_WIDTH-1 :0] ou_flit_in [PORT_NUM-1 : 0]; |
wire [FLIT_WIDTH-1 :0] ou_flit_out [PORT_NUM-1 : 0]; |
149,6 → 156,7
wire [OVC_WR_ARRAY_WIDTH-1 :0] st_ovc_write_array; |
wire [OVC_ALLOC_ARRAY_WIDTH-1 :0] st_vc_alloc_array; |
wire [PORT_SEL_ARRAY_WIDTH-1 :0] st_ovc_alloc_in_port_array; |
wire [3 :0] st_congestion_cmp; |
|
wire [LOOK_AHEAD_ARRAY_WIDTH-1 :0] cr_look_ahead_port_sel_array; |
wire [PORT_SEL_ARRAY_WIDTH-1 :0] cr_port_sel_array; |
199,6 → 207,7
.candidate_bcd_ovc_array (st_candidate_bcd_ovc_array), |
.ovc_available_array (st_ovc_available_array), |
.ovc_alloc_in_port_array (st_ovc_alloc_in_port_array), |
.congestion_cmp (st_congestion_cmp), |
.clk (clk), |
.reset (reset) |
); |
242,6 → 251,8
|
assign lk_dest_x_addr[i] = sw_dest_x_addr[i]; |
assign lk_dest_y_addr[i] = sw_dest_y_addr[i]; |
assign lk_congestion_cmp[i] = congestion_cmp_i; |
assign lk_in_port_num[i] = sw_in_port_num[i]; |
assign ou_flit_in[i] = cr_flit_out_array [(i+1)*FLIT_WIDTH-1 : i*FLIT_WIDTH]; |
|
assign credit_out_array [(i+1)*VC_NUM_PER_PORT-1 : i*VC_NUM_PER_PORT ] = sw_credit_out [i]; |
314,6 → 325,7
//interface to look over head routing module |
.dest_x_addr (sw_dest_x_addr[i]), |
.dest_y_addr (sw_dest_y_addr[i]), |
.in_port_num (sw_in_port_num[i]), |
.look_ahead_port_sel_in (sw_look_ahead_port_sel_in[i]), |
|
//global |
336,8 → 348,10
) |
the_look_ahead_routing |
( |
.congestion_cmp_i (lk_congestion_cmp[i]), |
.dest_x_node_in (lk_dest_x_addr[i]), |
.dest_y_node_in (lk_dest_y_addr[i]), |
.in_port_num_i (lk_in_port_num[i]), |
.port_num_out (lk_port_sel_out[i]), |
.clk (clk), |
.reset (reset) |
370,10 → 384,22
.bcd_code (sw_ovc_bcd[i]), |
.one_hot_code (sw_ovc[i]) |
); |
|
|
localparam W_VS_S = 3; |
localparam W_VS_N = 2; |
localparam E_VS_N = 1; |
localparam E_VS_S = 0; |
|
assign congestion_cmp_o = {st_congestion_cmp[W_VS_N],st_congestion_cmp[E_VS_N], //to the S |
st_congestion_cmp[E_VS_N],st_congestion_cmp[E_VS_S], //to the W |
st_congestion_cmp[W_VS_S],st_congestion_cmp[E_VS_S], //to the N |
st_congestion_cmp[W_VS_N],st_congestion_cmp[W_VS_S] //to the E |
}; |
|
|
|
|
|
for(j=0;j<PORT_NUM;j=j+1)begin : port_loop2 |
if(i>j)begin |
// assign sw_candidate_ovcs [i][(j+1)*VC_NUM_PER_PORT-1 : j*VC_NUM_PER_PORT] = st_candidate_ovc_array [(j+1)*VC_NUM_PER_PORT-1 : j*VC_NUM_PER_PORT ]; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/switch_in.v
96,6 → 96,7
//interface to look over head routing module |
output [X_NODE_NUM_WIDTH-1 :0] dest_x_addr, |
output [Y_NODE_NUM_WIDTH-1 :0] dest_y_addr, |
output [PORT_NUM_BCD_WIDTH-1 :0] in_port_num, |
input [PORT_NUM_BCD_WIDTH-1 :0] look_ahead_port_sel_in, |
|
//global |
151,7 → 152,7
wire [CANDIDATE_OVCS_WIDTH-1 :0] candidate_ovc_released,candidate_ovc_alloc_granted; |
wire [ALL_LK_PORT_NUM_WIDTH-1 :0] look_ahead_mux_in; |
//wire [CANDIDATE_OVCS_WIDTH-1 :0] vc_alloc_req_array; |
wire [PORT_NUM_BCD_WIDTH-1 :0] in_port_num; |
|
//wire [OVCS_WIDTH-1 :0] all_ovcs; |
wire [OVCS_BCD_WIDTH-1 :0] all_ovcs_bcd; |
wire [VC_NUM_PER_PORT-1 :0] tail_fifo_wr; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/ext_ram_nic.v
38,6 → 38,7
parameter NIC_CONNECT_PORT = 0, // 0:Local 1:East, 2:North, 3:West, 4:South |
parameter RAM_ADDR_WIDTH = 25, |
parameter CAND_VC_SEL_MODE = 0, // 0: use arbieration between not full vcs, 1: select the vc with the most availble free space |
parameter CONGESTION_WIDTH = 8, |
parameter VC_ID_WIDTH = VC_NUM_PER_PORT, |
parameter FLIT_WIDTH = PYLD_WIDTH+FLIT_TYPE_WIDTH+VC_ID_WIDTH, |
parameter CORE_NUMBER = `CORE_NUM(SW_X_ADDR,SW_Y_ADDR) |
51,7 → 52,7
output [FLIT_WIDTH-1 :0] flit_out, |
output flit_out_wr, |
input [VC_NUM_PER_PORT-1 :0] credit_in, |
|
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [FLIT_WIDTH-1 :0] flit_in, |
input flit_in_wr, |
output [VC_NUM_PER_PORT-1 :0] credit_out, |
214,7 → 215,6
|
|
route_compute #( |
.ROUTE_TYPE ("NORMAL"), |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
225,8 → 225,10
) |
the_normal_routting |
( |
.congestion_cmp_i (congestion_cmp_i), |
.dest_x_node_in (dest_x_addr), |
.dest_y_node_in (dest_y_addr), |
.in_port_num_i ({PORT_NUM_BCD_WIDTH{1'b0}}), //conventional routing |
.port_num_out (port_num_next) |
); |
|
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/ovc_status.v
1,5 → 1,5
/********************************************************************** |
File: sw_alloc_first_arbiter.v |
File: ovc_status.v |
|
Copyright (C) 2013 Alireza Monemi |
|
64,6 → 64,7
output [CANDIDATE_OVCS_BCD_WIDTH-1: 0] candidate_bcd_ovc_array, |
output reg [PORT_NUM-1 : 0] ovc_available_array, |
input [PORT_SEL_ARRAY_WIDTH-1 : 0] ovc_alloc_in_port_array, |
output [3 : 0] congestion_cmp, |
input clk, |
input reset |
); |
72,6 → 73,16
//check if assigned ovc is full or not |
localparam MUX_IN_WIDTH = VC_NUM_PER_PORT *PORT_SEL_WIDTH; |
localparam ACCUM_WIDTH = log2(VC_NUM_PER_PORT+1); |
|
localparam W_VS_S = 3; |
localparam W_VS_N = 2; |
localparam E_VS_N = 1; |
localparam E_VS_S = 0; |
|
|
|
|
|
wire [PORT_SEL_BCD_WIDTH-1 : 0] port_sel_bcd [TOTAL_VC_NUM-1 :0]; |
//wire [VC_NUM_PER_PORT-1 : 0] vc_sel [TOTAL_VC_NUM-1 :0]; |
wire [VC_NUM_BCD_WIDTH-1 : 0] vc_sel_bcd [TOTAL_VC_NUM-1 :0]; |
302,6 → 313,8
assign port_has_no_avb_vc[i] = number_of_avb_vc[i] == 0; |
assign port_has_one_avb_vc[i] = number_of_avb_vc[i] == 1; |
|
|
|
always @(*)begin |
available_vcs_per_port[i] =1'b1; |
if(port_has_no_avb_vc[i]) available_vcs_per_port[i]= 1'b0; |
310,11 → 323,17
|
end |
|
|
|
|
|
endgenerate |
|
|
assign congestion_cmp[W_VS_S] = number_of_avb_vc[`WEST_PORT] >= number_of_avb_vc[`SOUTH_PORT]; |
assign congestion_cmp[W_VS_N] = number_of_avb_vc[`WEST_PORT] >= number_of_avb_vc[`NORTH_PORT]; |
assign congestion_cmp[E_VS_N] = number_of_avb_vc[`EAST_PORT] >= number_of_avb_vc[`NORTH_PORT]; |
assign congestion_cmp[E_VS_S] = number_of_avb_vc[`EAST_PORT] >= number_of_avb_vc[`SOUTH_PORT]; |
|
|
wide_or #( |
.IN_ARRAY_WIDTH (OVC_RLS_ARRAY_WIDTH), |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/route_compute.v
1,14 → 1,56
/********************************************************************** |
File: route_compute.v |
|
Copyright (C) 2013 Alireza Monemi |
|
This program is free software: you can redistribute it and/or modify |
it under the terms of the GNU General Public License as published by |
the Free Software Foundation, either version 3 of the License, or |
(at your option) any later version. |
|
This program is distributed in the hope that it will be useful, |
but WITHOUT ANY WARRANTY; without even the implied warranty of |
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
GNU General Public License for more details. |
|
You should have received a copy of the GNU General Public License |
along with this program. If not, see <http://www.gnu.org/licenses/>. |
|
|
Purpose: |
|
The conventional and look ahead NoC routing computation modules |
based on mesh and torus topology. support 3 algorithm: |
|
XY_CLASSIC: The classic xy routing. The packet first forwarded to the |
x dimension until reach to the same destination column then |
forwarded to the y direction to reach the destination. |
|
BALANCE_DOR: The packet always move to the dimension with higher |
differences value. |
|
SUDO_XY : its an adaptive version of classic xy. First one dimension in x |
and another in y with less number of nodes is selected then the |
packet is forwarded to the dimension with less congestion. |
|
|
|
Info: monemi@fkegraduate.utm.my |
|
*********************************************************************/ |
|
`timescale 1ns/1ps |
`include "../define.v" |
|
module look_ahead_routing_sync #( |
parameter TOPOLOGY = "TORUS", // "MESH" or "TORUS" |
parameter ROUTE_ALGRMT = "XY", //"XY" or "MINIMAL" |
parameter ROUTE_ALGRMT = "XY_CLASSIC", //"XY_CLASSIC" or "BALANCE_DOR" or "SUDO_XY" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 3, |
parameter SW_X_ADDR = 2, |
parameter SW_Y_ADDR = 1, |
parameter CONGESTION_WIDTH = 8, |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM) |
18,6 → 60,8
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
output[PORT_NUM_BCD_WIDTH-1 :0] port_num_out,// one extra bit will be removed by switch_in latter |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [PORT_NUM_BCD_WIDTH-1 :0] in_port_num_i, |
input clk, |
input reset |
|
26,12 → 70,12
|
reg [X_NODE_NUM_WIDTH-1 :0] dest_x_node; |
reg [Y_NODE_NUM_WIDTH-1 :0] dest_y_node; |
reg [PORT_NUM_BCD_WIDTH-1 :0] in_port_num_registerted; |
|
|
// routing algorithm |
route_compute #( |
.ROUTE_TYPE ("LOOK_AHEAD"), |
.TOPOLOGY (TOPOLOGY), |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
42,8 → 86,10
) |
routing |
( |
.congestion_cmp_i (congestion_cmp_i), |
.dest_x_node_in (dest_x_node), |
.dest_y_node_in (dest_y_node), |
.in_port_num_i (in_port_num_registerted), |
.port_num_out (port_num_out) |
); |
|
54,104 → 100,37
if(reset)begin |
dest_x_node <= {X_NODE_NUM_WIDTH{1'b0}}; |
dest_y_node <= {Y_NODE_NUM_WIDTH{1'b0}}; |
in_port_num_registerted <= {PORT_NUM_BCD_WIDTH{1'b0}}; |
|
end else begin |
dest_x_node <= dest_x_node_in; |
dest_y_node <= dest_y_node_in; |
in_port_num_registerted <= in_port_num_i; |
|
end//else reset |
end//always |
endmodule |
|
/****************************************************** |
|
route_compute |
|
******************************************************/ |
|
|
|
module route_compute #( |
parameter ROUTE_TYPE = "NORMAL", // "NORMAL" or "LOOK_AHEAD" |
parameter TOPOLOGY = "TORUS", // "MESH" or "TORUS" |
parameter ROUTE_ALGRMT = "MINIMAL",//"XY" or "MINIMAL" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 4, |
parameter SW_X_ADDR = 2, |
parameter SW_Y_ADDR = 1, |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM) |
|
) |
( |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
output [PORT_NUM_BCD_WIDTH-1 :0] port_num_out// one extra bit will be removed by cross bar switch later |
); |
`LOG2 |
// just to get rid of Warning (10230): truncated value with size 32 to match size of target (2) |
localparam [X_NODE_NUM_WIDTH-1 : 0] CURRENT_X_ADDR =SW_X_ADDR [X_NODE_NUM_WIDTH-1 : 0]; |
localparam [Y_NODE_NUM_WIDTH-1 : 0] CURRENT_Y_ADDR =SW_Y_ADDR [Y_NODE_NUM_WIDTH-1 : 0]; |
|
generate |
if(ROUTE_TYPE == "NORMAL") begin :normal |
|
normal_routing #( |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM), |
.SW_X_ADDR (SW_X_ADDR), |
.SW_Y_ADDR (SW_Y_ADDR) |
) normal_routing |
( |
.current_router_x_addr (CURRENT_X_ADDR), |
.current_router_y_addr (CURRENT_Y_ADDR), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
|
|
end else if(ROUTE_TYPE == "LOOK_AHEAD") begin :look_ahead |
|
look_ahead_routing #( |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM), |
.SW_X_ADDR (SW_X_ADDR), |
.SW_Y_ADDR (SW_Y_ADDR) |
) normal_routing |
( |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
end |
endgenerate |
|
endmodule |
|
|
|
|
/*************************************************** |
normal routing |
|
***************************************************/ |
module normal_routing #( |
module conventional_routing #( |
parameter TOPOLOGY = "TORUS", // "MESH" or "TORUS" |
parameter ROUTE_ALGRMT = "MINIMAL",//"XY" or "MINIMAL" |
parameter ROUTE_ALGRMT = "BALANCE_DOR",//"XY_CLASSIC" or "BALANCE_DOR" or "SUDO_XY" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 4, |
parameter SW_X_ADDR = 2, |
parameter SW_Y_ADDR = 1, |
parameter CONGESTION_WIDTH = 4, |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM) |
158,6 → 137,7
|
) |
( |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [X_NODE_NUM_WIDTH-1 :0] current_router_x_addr, |
input [Y_NODE_NUM_WIDTH-1 :0] current_router_y_addr, |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
168,7 → 148,7
`LOG2 |
|
generate |
if(ROUTE_ALGRMT == "XY") begin : xy_routing_blk |
if(ROUTE_ALGRMT == "XY_CLASSIC") begin : xy_routing_blk |
xy_routing #( |
.TOPOLOGY (TOPOLOGY), |
.PORT_NUM (PORT_NUM), |
182,13 → 162,13
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
end else if(ROUTE_ALGRMT == "MINIMAL") begin : minimal_routing_blk |
minimal_routing #( |
end else if(ROUTE_ALGRMT == "BALANCE_DOR") begin : minimal_routing_blk |
bdor_routing #( |
.TOPOLOGY (TOPOLOGY), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
) minimal |
) bdor |
( |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
196,9 → 176,22
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
|
|
|
|
end else if (ROUTE_ALGRMT == "SUDO_XY") begin : sudo_routing_blk |
sudo_xy_routing #( |
.TOPOLOGY (TOPOLOGY), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
) sudo_xy |
( |
.congestion_cmp_i (congestion_cmp_i), |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
end |
endgenerate |
|
207,20 → 200,23
|
|
|
/*************************************************** |
look-ahead routing |
call the normal routing twice in cascade mode |
***************************************************/ |
/****************************************************** |
|
route_compute |
|
module look_ahead_routing #( |
******************************************************/ |
|
|
|
module route_compute #( |
parameter TOPOLOGY = "TORUS", // "MESH" or "TORUS" |
parameter ROUTE_ALGRMT = "MINIMAL",//"XY" or "MINIMAL" |
parameter ROUTE_ALGRMT = "BALANCE_DOR",//"XY_CLASSIC" or "BALANCE_DOR" or "SUDO_XY" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 4, |
parameter SW_X_ADDR = 2, |
parameter SW_Y_ADDR = 1, |
parameter CONGESTION_WIDTH = 8, |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM) |
227,13 → 223,15
|
) |
( |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
input [PORT_NUM_BCD_WIDTH-1 :0] in_port_num_i,// if local thr routing is normal otherwise its look ahead |
output [PORT_NUM_BCD_WIDTH-1 :0] port_num_out// one extra bit will be removed by cross bar switch later |
); |
|
`LOG2 |
wire [PORT_NUM_BCD_WIDTH-1 :0] port_num_out_first; |
|
reg [X_NODE_NUM_WIDTH-1 :0] next_router_x_addr; |
reg [Y_NODE_NUM_WIDTH-1 :0] next_router_y_addr; |
|
243,72 → 241,88
localparam WEST = 3'd3; |
localparam SOUTH = 3'd4; |
|
localparam DST_CONGESTION_WIDTH = 4; |
|
reg [DST_CONGESTION_WIDTH-1 : 0] dst_congestion; |
|
// just to get rid of Warning (10230): Verilog HDL assignment warning at look_ahead.v(71): truncated value with size 32 to match size of target (2) |
localparam [X_NODE_NUM_WIDTH-1 : 0] CURRENT_X_ADDR =SW_X_ADDR [X_NODE_NUM_WIDTH-1 : 0]; |
localparam [Y_NODE_NUM_WIDTH-1 : 0] CURRENT_Y_ADDR =SW_Y_ADDR [Y_NODE_NUM_WIDTH-1 : 0]; |
localparam [X_NODE_NUM_WIDTH-1 : 0] LAST_X_ADDR =X_NODE_NUM[X_NODE_NUM_WIDTH-1 : 0]-1'b1; |
localparam [Y_NODE_NUM_WIDTH-1 : 0] LAST_Y_ADDR =Y_NODE_NUM[Y_NODE_NUM_WIDTH-1 : 0]-1'b1; |
|
|
localparam W_VS_S = 3; |
localparam W_VS_N = 2; |
localparam E_VS_N = 1; |
localparam E_VS_S = 0; |
|
localparam EAST_PORT_W_VS_S = 0; |
localparam EAST_PORT_W_VS_N = 1; |
localparam NORTH_PORT_E_VS_S = 2; |
localparam NORTH_PORT_W_VS_S = 3; |
localparam WEST_PORT_E_VS_S = 4; |
localparam WEST_PORT_E_VS_N = 5; |
localparam SOUTH_PORT_E_VS_N = 6; |
localparam SOUTH_PORT_W_VS_N = 7; |
|
|
normal_routing #( |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)first_level |
( |
.current_router_x_addr (CURRENT_X_ADDR), |
.current_router_y_addr (CURRENT_Y_ADDR), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out_first)// one extra bit will be removed by switch_in latter |
); |
|
|
|
normal_routing #( |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)second_level |
( |
.current_router_x_addr (next_router_x_addr), |
.current_router_y_addr (next_router_y_addr), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
|
|
|
//get next router address |
always @(*) begin |
case(port_num_out_first) |
|
case(in_port_num_i) |
LOCAL :begin |
next_router_x_addr= CURRENT_X_ADDR; |
next_router_y_addr= CURRENT_Y_ADDR; |
dst_congestion = {congestion_cmp_i[EAST_PORT_W_VS_S],congestion_cmp_i[EAST_PORT_W_VS_N ],congestion_cmp_i[WEST_PORT_E_VS_N ],congestion_cmp_i[NORTH_PORT_E_VS_S]}; |
end |
|
EAST: begin |
next_router_x_addr= (SW_X_ADDR==LAST_X_ADDR ) ? {X_NODE_NUM_WIDTH{1'b0}} : CURRENT_X_ADDR+1'b1; |
next_router_y_addr= CURRENT_Y_ADDR; |
dst_congestion = {congestion_cmp_i[EAST_PORT_W_VS_S],congestion_cmp_i[EAST_PORT_W_VS_N],2'b00}; |
end |
NORTH: begin |
next_router_x_addr= CURRENT_X_ADDR; |
next_router_y_addr= (SW_Y_ADDR==0)? LAST_Y_ADDR : CURRENT_Y_ADDR-1'b1; |
dst_congestion = {congestion_cmp_i[NORTH_PORT_W_VS_S],2'b00,congestion_cmp_i[NORTH_PORT_E_VS_S]}; |
end |
WEST: begin |
next_router_x_addr= (SW_X_ADDR==0) ? LAST_X_ADDR : CURRENT_X_ADDR-1'b1; |
next_router_y_addr= CURRENT_Y_ADDR; |
dst_congestion = {2'b00,congestion_cmp_i[WEST_PORT_E_VS_N],congestion_cmp_i[WEST_PORT_E_VS_S]}; |
end |
SOUTH: begin |
next_router_x_addr= CURRENT_X_ADDR; |
next_router_y_addr= (SW_Y_ADDR== LAST_Y_ADDR ) ? {Y_NODE_NUM_WIDTH{1'b0}}: CURRENT_Y_ADDR+1'b1; |
dst_congestion = {1'b0,congestion_cmp_i[SOUTH_PORT_W_VS_N],congestion_cmp_i[SOUTH_PORT_E_VS_N],1'b0}; |
end |
default begin |
next_router_x_addr= {X_NODE_NUM_WIDTH{1'bX}}; |
next_router_y_addr= {Y_NODE_NUM_WIDTH{1'bX}}; |
dst_congestion = {congestion_cmp_i[EAST_PORT_W_VS_S],congestion_cmp_i[EAST_PORT_W_VS_N ],congestion_cmp_i[WEST_PORT_E_VS_N ],congestion_cmp_i[NORTH_PORT_E_VS_S]}; |
end |
endcase |
end//always |
|
|
conventional_routing #( |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)conventional_routing |
( |
.congestion_cmp_i (dst_congestion), |
.current_router_x_addr (next_router_x_addr), |
.current_router_y_addr (next_router_y_addr), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
|
|
endmodule |
|
|
521,12 → 535,13
|
/***************************************************** |
|
minimal_mesh_routing |
bdor_mesh_routing |
balanced dimension-order routing |
|
*****************************************************/ |
|
|
module minimal_mesh_routing #( |
module bdor_mesh_routing #( |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 3, |
588,12 → 603,12
|
/************************************************* |
|
minimal _torus_routing |
|
bdor _torus_routing |
balanced dimension-order routing |
************************************************/ |
|
|
module minimal_torus_routing #( |
module bdor_torus_routing #( |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 3, |
666,12 → 681,13
|
/************************************************* |
|
minimal_routing |
bdor_routing |
balanced dimension-order routing |
|
************************************************/ |
|
|
module minimal_routing #( |
module bdor_routing #( |
parameter TOPOLOGY = "MESH",//"TORUS" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
692,11 → 708,11
`LOG2 |
generate |
if(TOPOLOGY == "MESH") begin |
minimal_mesh_routing #( |
bdor_mesh_routing #( |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)second_level |
)bdor_mesh |
( |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
706,11 → 722,11
); |
|
end else if(TOPOLOGY == "TORUS") begin |
minimal_torus_routing #( |
bdor_torus_routing #( |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)second_level |
)bdor |
( |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
725,5 → 741,264
endgenerate |
endmodule |
|
/******************************** |
|
sudo_xy |
|
|
********************************/ |
|
module sudo_xy_routing #( |
parameter TOPOLOGY = "MESH",//"TORUS" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 3, |
parameter CONGESTION_WIDTH = 4, |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM), |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter PORT_SEL_WIDTH = PORT_NUM-1//assum that no port whants to send a packet to itself! |
|
) |
( |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [X_NODE_NUM_WIDTH-1 :0] current_router_x_addr, |
input [Y_NODE_NUM_WIDTH-1 :0] current_router_y_addr, |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
output [PORT_NUM_BCD_WIDTH-1 :0] port_num_out// one extra bit will be removed by switch_in latter |
); |
`LOG2 |
generate |
if(TOPOLOGY == "MESH") begin |
sudo_xy_mesh_routing #( |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)sudo_xy_mesh |
( |
.congestion_cmp_i (congestion_cmp_i), |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
|
end else if(TOPOLOGY == "TORUS") begin |
sudo_xy_torus_routing #( |
.PORT_NUM (PORT_NUM), |
.X_NODE_NUM (X_NODE_NUM), |
.Y_NODE_NUM (Y_NODE_NUM) |
)sudo_xy_mesh |
( |
.congestion_cmp_i (congestion_cmp_i), |
.current_router_x_addr (current_router_x_addr), |
.current_router_y_addr (current_router_y_addr), |
.dest_x_node_in (dest_x_node_in), |
.dest_y_node_in (dest_y_node_in), |
.port_num_out (port_num_out)// one extra bit will be removed by switch_in latter |
); |
end |
|
endgenerate |
|
endmodule |
|
/******************************** |
|
sudo_xy_mesh_routing |
|
|
********************************/ |
|
|
module sudo_xy_mesh_routing #( |
parameter TOPOLOGY = "TORUS", // "MESH" or "TORUS" |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 4, |
parameter SW_X_ADDR = 2, |
parameter SW_Y_ADDR = 1, |
parameter CONGESTION_WIDTH = 4, |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM) |
) |
( |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [X_NODE_NUM_WIDTH-1 :0] current_router_x_addr, |
input [Y_NODE_NUM_WIDTH-1 :0] current_router_y_addr, |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
output [PORT_NUM_BCD_WIDTH-1 :0] port_num_out// one extra bit will be removed by cross bar switch later |
); |
|
|
|
`LOG2 |
|
|
localparam LOCAL = 3'd0; |
localparam EAST = 3'd1; |
localparam NORTH = 3'd2; |
localparam WEST = 3'd3; |
localparam SOUTH = 3'd4; |
|
localparam W_VS_S = 3; |
localparam W_VS_N = 2; |
localparam E_VS_N = 1; |
localparam E_VS_S = 0; |
|
reg [PORT_NUM_BCD_WIDTH-1 :0] port_num_next; |
|
|
wire signed [X_NODE_NUM_WIDTH :0] xc;//current |
wire signed [X_NODE_NUM_WIDTH :0] xd;//destination |
wire signed [Y_NODE_NUM_WIDTH :0] yc;//current |
wire signed [Y_NODE_NUM_WIDTH :0] yd;//destination |
wire signed [X_NODE_NUM_WIDTH :0] xdiff; |
wire signed [Y_NODE_NUM_WIDTH :0] ydiff; |
|
|
assign xc ={1'b0, current_router_x_addr [X_NODE_NUM_WIDTH-1 :0]}; |
assign yc ={1'b0, current_router_y_addr [Y_NODE_NUM_WIDTH-1 :0]}; |
assign xd ={1'b0, dest_x_node_in}; |
assign yd ={1'b0, dest_y_node_in}; |
assign xdiff = xd-xc; |
assign ydiff = yd-yc; |
|
|
assign port_num_out= port_num_next; |
|
always@(*) begin |
port_num_next = LOCAL; |
if (xdiff > 0) begin |
if(ydiff > 0) begin // E_S |
if( congestion_cmp_i[E_VS_S]) port_num_next = EAST; |
else port_num_next = SOUTH; |
end |
else if(ydiff < 0) begin // E_N |
if( congestion_cmp_i[E_VS_N]) port_num_next = EAST; |
else port_num_next = NORTH; |
end |
else port_num_next = EAST; //ydiff ==0 |
end |
|
|
else if (xdiff < 0) begin |
if( ydiff > 0) begin // W_S |
if( congestion_cmp_i [W_VS_S]) port_num_next = WEST; |
else port_num_next = SOUTH; |
end |
else if(ydiff < 0) begin // W_N |
if( congestion_cmp_i[W_VS_N]) port_num_next = WEST; |
else port_num_next = NORTH; |
end |
else port_num_next = WEST; //ydiff ==0 |
end |
|
else begin //xdiff==0 |
if (ydiff <0) port_num_next = SOUTH; |
else if(ydiff >0) port_num_next = NORTH; |
else port_num_next = LOCAL; |
end |
end |
|
endmodule |
|
/********************************** |
|
sudo_xy_torus_routing |
|
***********************************/ |
|
|
module sudo_xy_torus_routing #( |
parameter PORT_NUM = 5, |
parameter X_NODE_NUM = 4, |
parameter Y_NODE_NUM = 3, |
parameter CONGESTION_WIDTH = 4, |
parameter X_NODE_NUM_WIDTH = log2(X_NODE_NUM), |
parameter Y_NODE_NUM_WIDTH = log2(Y_NODE_NUM), |
parameter PORT_NUM_BCD_WIDTH = log2(PORT_NUM), |
parameter PORT_SEL_WIDTH = PORT_NUM-1//assum that no port whants to send a packet to itself! |
|
) |
( |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
input [X_NODE_NUM_WIDTH-1 :0] current_router_x_addr, |
input [Y_NODE_NUM_WIDTH-1 :0] current_router_y_addr, |
input [X_NODE_NUM_WIDTH-1 :0] dest_x_node_in, |
input [Y_NODE_NUM_WIDTH-1 :0] dest_y_node_in, |
output [PORT_NUM_BCD_WIDTH-1 :0] port_num_out// one extra bit will be removed by switch_in latter |
); |
|
`LOG2 |
|
|
localparam LOCAL = 3'd0; |
localparam EAST = 3'd1; |
localparam NORTH = 3'd2; |
localparam WEST = 3'd3; |
localparam SOUTH = 3'd4; |
|
localparam W_VS_S = 3; |
localparam W_VS_N = 2; |
localparam E_VS_N = 1; |
localparam E_VS_S = 0; |
|
reg [PORT_NUM_BCD_WIDTH-1 :0] port_num_next,E_W_sel,N_S_sel; |
wire [X_NODE_NUM_WIDTH-1 :0] x_addr_low,x_addr_high,x_addr_diff_f,x_addr_diff_b; |
wire x_des_bigger; |
|
wire [Y_NODE_NUM_WIDTH-1 :0] y_addr_low,y_addr_high,y_addr_diff_f,y_addr_diff_b; |
wire y_des_bigger; |
|
assign x_des_bigger =(dest_x_node_in > current_router_x_addr); |
assign x_addr_low =(x_des_bigger)? current_router_x_addr : dest_x_node_in; |
assign x_addr_high =(x_des_bigger)? dest_x_node_in : current_router_x_addr; |
assign x_addr_diff_f = x_addr_high - x_addr_low; |
assign x_addr_diff_b = x_addr_low + X_NODE_NUM[X_NODE_NUM_WIDTH-1 :0] - x_addr_high; |
|
assign y_des_bigger =(dest_y_node_in > current_router_y_addr); |
assign y_addr_low =(y_des_bigger)? current_router_y_addr : dest_y_node_in ; |
assign y_addr_high =(y_des_bigger)? dest_y_node_in : current_router_y_addr; |
assign y_addr_diff_f = y_addr_high - y_addr_low; |
assign y_addr_diff_b = y_addr_low + Y_NODE_NUM[Y_NODE_NUM_WIDTH-1 :0] - y_addr_high; |
|
|
assign port_num_out= port_num_next; |
|
|
always@(*)begin |
E_W_sel = LOCAL; |
N_S_sel = LOCAL; |
|
if (x_addr_diff_f > 0 ) begin |
if (x_addr_diff_f <= x_addr_diff_b ) E_W_sel = (x_des_bigger)? EAST: WEST; |
else E_W_sel = (x_des_bigger)? WEST: EAST; |
end |
if (y_addr_diff_f > 0 ) begin |
if (y_addr_diff_f <= y_addr_diff_b ) N_S_sel = (y_des_bigger)? SOUTH: NORTH; |
else N_S_sel = (y_des_bigger)? NORTH: SOUTH; |
end |
end |
|
always@(*)begin |
port_num_next = LOCAL; |
if (E_W_sel == EAST && N_S_sel == SOUTH) port_num_next =(congestion_cmp_i[E_VS_S])? EAST : SOUTH; |
else if(E_W_sel == EAST && N_S_sel == NORTH) port_num_next =(congestion_cmp_i[E_VS_N])? EAST : NORTH; |
else if(E_W_sel == WEST && N_S_sel == SOUTH) port_num_next =(congestion_cmp_i[W_VS_S])? WEST : SOUTH; |
else if(E_W_sel == WEST && N_S_sel == NORTH) port_num_next =(congestion_cmp_i[W_VS_N])? WEST : NORTH; |
else if(E_W_sel == LOCAL) port_num_next = N_S_sel; |
else if(N_S_sel == LOCAL) port_num_next = E_W_sel; |
end |
|
|
endmodule |
|
|
|
|
|
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/NoC/ni.v
56,8 → 56,8
parameter VC_ID_WIDTH = VC_NUM_PER_PORT, |
parameter FLIT_WIDTH = PYLD_WIDTH+FLIT_TYPE_WIDTH+VC_ID_WIDTH, |
parameter CAND_VC_SEL_MODE = 0, // 0: use arbieration between not full vcs, 1: select the vc with moast availble free space |
parameter CONGESTION_WIDTH = 8, |
|
|
//wishbone port parameters |
parameter RAM_WIDTH_IN_WORD = 13, |
parameter W_DATA_WIDTH = 32, |
66,6 → 66,7
parameter W_CTI_WIDTH = 3, |
parameter SEL_WIDTH = 4 |
|
|
) |
( |
|
81,8 → 82,8
input [FLIT_WIDTH-1 :0] flit_in, |
input flit_in_wr, |
output reg [VC_NUM_PER_PORT-1 :0] credit_out, |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
|
|
//wishbone slave interface signals |
input [W_DATA_WIDTH-1 : 0] s_dat_i, |
input [WS_ADDR_WIDTH-1 : 0] s_addr_i, |
592,7 → 593,6
|
|
route_compute #( |
.ROUTE_TYPE ("NORMAL"), |
.TOPOLOGY (TOPOLOGY), |
.ROUTE_ALGRMT (ROUTE_ALGRMT), |
.PORT_NUM (PORT_NUM), |
603,8 → 603,10
) |
the_normal_routting |
( |
.congestion_cmp_i (congestion_cmp_i), |
.dest_x_node_in (dest_x_addr), |
.dest_y_node_in (dest_y_addr), |
.in_port_num_i ({PORT_NUM_BCD_WIDTH{1'b0}}), //conventional routing |
.port_num_out (port_num) |
); |
|
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/IP_core/aeMB_IP.v
95,6 → 95,7
parameter FLIT_TYPE_WIDTH = 2, |
parameter VC_ID_WIDTH = VC_NUM_PER_PORT, |
parameter FLIT_WIDTH = PYLD_WIDTH+FLIT_TYPE_WIDTH+VC_ID_WIDTH, |
parameter CONGESTION_WIDTH = 8, |
|
//aeMB parameters |
parameter AEMB_IWB = 32, ///< INST bus width |
122,6 → 123,7
output [FLIT_WIDTH-1 :0] flit_out, |
output flit_out_wr, |
input [VC_NUM_PER_PORT-1 :0] credit_in, |
input [CONGESTION_WIDTH-1 :0] congestion_cmp_i, |
|
input [FLIT_WIDTH-1 :0] flit_in, // Data in |
input flit_in_wr, // Write enable |
434,6 → 436,7
.flit_out (flit_out) , |
.flit_out_wr (flit_out_wr) , |
.credit_in (credit_in) , |
.congestion_cmp_i (congestion_cmp_i), |
.flit_in (flit_in) , |
.flit_in_wr (flit_in_wr) , |
.credit_out (credit_out) , |
518,6 → 521,8
assign timer_irq = 1'b0; |
end |
|
assign int_ctrl_in = {ext_int_irq,timer_irq,ni_irq}; |
|
if(INT_CTRL_EN) begin : int_ctrl_gen |
int_ctrl #( |
.NOC_EN (NOC_EN), |
542,7 → 547,7
.int_i (int_ctrl_in), |
.int_o (sys_int_i) |
); |
assign int_ctrl_in = {ext_int_irq,timer_irq,ni_irq}; |
|
|
end //INT_CTRL_EN |
else begin |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/IP_core/int_ctrl.v
41,8 → 41,8
|
wire [INT_NUM-1:0] sa_dat_i_masked, int_i_masked; |
|
assign sa_dat_i_masked = sa_dat_i & DATA_BUS_MASK; |
assign int_i_masked = int_i & DATA_BUS_MASK; |
assign sa_dat_i_masked = sa_dat_i & DATA_BUS_MASK [INT_NUM-1:0]; |
assign int_i_masked = int_i & DATA_BUS_MASK [INT_NUM-1:0]; |
always@(*) begin |
mer_next = mer; |
ier_next = ier; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/IP_core/aeMB_mpsoc.v
190,6 → 190,7
`define ADD_FUNCTION 1 |
`include "../my_functions.v" |
|
localparam CONGESTION_WIDTH = 8; |
|
wire [TOTAL_O_WIDTH-1 : 0] gpio_o_array [TOTAL_ROUTERS_NUM-1 :0]; |
|
199,6 → 200,8
wire [PORT_NUM-1 : 0] router_wr_out_en_array [TOTAL_ROUTERS_NUM-1 :0]; |
wire [FLIT_ARRAY_WIDTH-1 : 0] router_flit_out_array [TOTAL_ROUTERS_NUM-1 :0]; |
wire [CREDIT_ARRAY_WIDTH-1 : 0] router_credit_in_array [TOTAL_ROUTERS_NUM-1 :0]; |
wire [CONGESTION_WIDTH+1 : 2] router_congestion_cmp_i [TOTAL_ROUTERS_NUM-1 :0]; |
wire [CONGESTION_WIDTH+1 : 2] router_congestion_cmp_o [TOTAL_ROUTERS_NUM-1 :0]; |
|
|
wire [FLIT_WIDTH-1 : 0] ni_flit_out [TOTAL_ROUTERS_NUM-1 :0]; |
206,7 → 209,8
wire [VC_NUM_PER_PORT-1 : 0] ni_credit_in [TOTAL_ROUTERS_NUM-1 :0]; |
wire [FLIT_WIDTH-1 : 0] ni_flit_in [TOTAL_ROUTERS_NUM-1 :0]; |
wire [TOTAL_ROUTERS_NUM-1 : 0] ni_flit_in_wr; |
wire [VC_NUM_PER_PORT-1 : 0] ni_credit_out [TOTAL_ROUTERS_NUM-1 :0]; |
wire [VC_NUM_PER_PORT-1 : 0] ni_credit_out [TOTAL_ROUTERS_NUM-1 :0]; |
wire [CONGESTION_WIDTH-1 : 0] ni_congestion_cmp_i [TOTAL_ROUTERS_NUM-1 :0]; |
|
|
//synthesis translate_off |
278,6 → 282,7
.flit_out (ni_flit_out [IP_NUM]), |
.flit_out_wr (ni_flit_out_wr [IP_NUM]), |
.credit_in (ni_credit_in [IP_NUM]), |
.congestion_cmp_i (ni_congestion_cmp_i [IP_NUM]), |
|
.flit_in (ni_flit_in [IP_NUM]), |
.flit_in_wr (ni_flit_in_wr [IP_NUM]), |
354,7 → 359,8
|
.flit_in (ni_flit_in [IP_NUM]), |
.flit_in_wr (ni_flit_in_wr [IP_NUM]), |
.credit_out (ni_credit_out [IP_NUM]) |
.credit_out (ni_credit_out [IP_NUM]), |
.congestion_cmp_i (ni_congestion_cmp_i [IP_NUM]) |
//synthesis translate_off |
, |
.cpu_dat_i (cpu_dat_i [IP_NUM]), |
400,6 → 406,8
.wr_out_en_array (router_wr_out_en_array [IP_NUM]), |
.flit_out_array (router_flit_out_array [IP_NUM]), |
.credit_in_array (router_credit_in_array [IP_NUM]), |
.congestion_cmp_o (router_congestion_cmp_o [IP_NUM]), |
.congestion_cmp_i (router_congestion_cmp_i [IP_NUM]), |
.clk (clk), |
.reset (reset) |
); |
418,15 → 426,18
assign router_flit_in_array [`SELECT_WIRE(x,y,1,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE((x+1),y,3,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,1,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE((x+1),y,3,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][1] = router_wr_out_en_array [`CORE_NUM((x+1),y)][3]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,1,2)] = router_congestion_cmp_o [`SELECT_WIRE((x+1),y,3,2)]; |
end else begin |
if(TOPOLOGY == "MESH") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,1,FLIT_WIDTH)] = {FLIT_WIDTH{1'b0}}; |
assign router_credit_in_array [`SELECT_WIRE(x,y,1,VC_NUM_PER_PORT)] = {VC_NUM_PER_PORT{1'b0}}; |
assign router_wr_in_en_array [IP_NUM][1] = 1'b0; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,1,2)] = 2'b0; |
end else if(TOPOLOGY == "TORUS") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,1,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE(0,y,3,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,1,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE(0,y,3,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][1] = router_wr_out_en_array [`CORE_NUM(0,y)][3]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,1,2)] = router_congestion_cmp_o [`SELECT_WIRE(0,y,3,2)]; |
end //topology |
end |
|
434,16 → 445,19
if(y>0) begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,2,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE(x,(y-1),4,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,2,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE(x,(y-1),4,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][2] = router_wr_out_en_array [`CORE_NUM(x,(y-1))][4]; |
assign router_wr_in_en_array [IP_NUM][2] = router_wr_out_en_array [`CORE_NUM(x,(y-1))][4]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,2,2)] = router_congestion_cmp_o [`SELECT_WIRE(x,(y-1),4,2)]; |
end else begin |
if(TOPOLOGY == "MESH") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,2,FLIT_WIDTH)] = {FLIT_WIDTH{1'b0}}; |
assign router_credit_in_array [`SELECT_WIRE(x,y,2,VC_NUM_PER_PORT)] = {VC_NUM_PER_PORT{1'b0}}; |
assign router_wr_in_en_array [IP_NUM][2] = 1'b0; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,2,2)] = 2'b00; |
end else if(TOPOLOGY == "TORUS") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,2,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE(x,(Y_NODE_NUM-1),4,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,2,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE(x,(Y_NODE_NUM-1),4,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][2] = router_wr_out_en_array [`CORE_NUM(x,(Y_NODE_NUM-1))][4]; |
assign router_wr_in_en_array [IP_NUM][2] = router_wr_out_en_array [`CORE_NUM(x,(Y_NODE_NUM-1))][4]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,2,2)] = router_congestion_cmp_o [`SELECT_WIRE(x,(Y_NODE_NUM-1),4,2)]; |
end//topology |
end//y>0 |
|
451,16 → 465,19
if(x>0)begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,3,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE((x-1),y,1,FLIT_WIDTH)] ; |
assign router_credit_in_array [`SELECT_WIRE(x,y,3,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE((x-1),y,1,VC_NUM_PER_PORT)] ; |
assign router_wr_in_en_array [IP_NUM][3] = router_wr_out_en_array [`CORE_NUM((x-1),y)][1]; |
assign router_wr_in_en_array [IP_NUM][3] = router_wr_out_en_array [`CORE_NUM((x-1),y)][1]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,3,2)] = router_congestion_cmp_o [`SELECT_WIRE((x-1),y,1,2)]; |
end else begin |
if(TOPOLOGY == "MESH") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,3,FLIT_WIDTH)] = {FLIT_WIDTH{1'b0}}; |
assign router_credit_in_array [`SELECT_WIRE(x,y,3,VC_NUM_PER_PORT)] = {VC_NUM_PER_PORT{1'b0}}; |
assign router_wr_in_en_array [IP_NUM][3] = 1'b0; |
assign router_wr_in_en_array [IP_NUM][3] = 1'b0; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,3,2)] = 2'b00; |
end else if(TOPOLOGY == "TORUS") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,3,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE((X_NODE_NUM-1),y,1,FLIT_WIDTH)] ; |
assign router_credit_in_array [`SELECT_WIRE(x,y,3,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE((X_NODE_NUM-1),y,1,VC_NUM_PER_PORT)] ; |
assign router_wr_in_en_array [IP_NUM][3] = router_wr_out_en_array [`CORE_NUM((X_NODE_NUM-1),y)][1]; |
assign router_wr_in_en_array [IP_NUM][3] = router_wr_out_en_array [`CORE_NUM((X_NODE_NUM-1),y)][1]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,3,2)] = router_congestion_cmp_o [`SELECT_WIRE((X_NODE_NUM-1),y,1,2)]; |
end//topology |
end |
|
467,17 → 484,19
if(y < Y_NODE_NUM-1)begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,4,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE(x,(y+1),2,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,4,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE(x,(y+1),2,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][4] = router_wr_out_en_array [`CORE_NUM(x,(y+1))][2]; |
assign router_wr_in_en_array [IP_NUM][4] = router_wr_out_en_array [`CORE_NUM(x,(y+1))][2]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,4,2)] = router_congestion_cmp_o [`SELECT_WIRE(x,(y+1),2,2)]; |
end else begin |
if(TOPOLOGY == "MESH") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,4,FLIT_WIDTH)] = {FLIT_WIDTH{1'b0}}; |
assign router_credit_in_array [`SELECT_WIRE(x,y,4,VC_NUM_PER_PORT)] = {VC_NUM_PER_PORT{1'b0}}; |
assign router_wr_in_en_array [IP_NUM][4] = 1'b0; |
assign router_wr_in_en_array [IP_NUM][4] = 1'b0; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,4,2)] = 2'b00; |
end else if(TOPOLOGY == "TORUS") begin |
assign router_flit_in_array [`SELECT_WIRE(x,y,4,FLIT_WIDTH)] = router_flit_out_array [`SELECT_WIRE(x,0,2,FLIT_WIDTH)]; |
assign router_credit_in_array [`SELECT_WIRE(x,y,4,VC_NUM_PER_PORT)] = router_credit_out_array [`SELECT_WIRE(x,0,2,VC_NUM_PER_PORT)]; |
assign router_wr_in_en_array [IP_NUM][4] = router_wr_out_en_array [`CORE_NUM(x,0)][2]; |
|
assign router_wr_in_en_array [IP_NUM][4] = router_wr_out_en_array [`CORE_NUM(x,0)][2]; |
assign router_congestion_cmp_i [`SELECT_WIRE(x,y,4,2)] = router_congestion_cmp_o [`SELECT_WIRE(x,0,2,2)]; |
end//topology |
end |
|
490,7 → 509,7
assign ni_flit_in [IP_NUM] = router_flit_out_array [`SELECT_WIRE(x,y,0,FLIT_WIDTH)]; |
assign ni_flit_in_wr [IP_NUM] = router_wr_out_en_array [IP_NUM][0]; |
assign ni_credit_in [IP_NUM] = router_credit_out_array [`SELECT_WIRE(x,y,0,VC_NUM_PER_PORT)]; |
|
assign ni_congestion_cmp_i [IP_NUM] = router_congestion_cmp_o [IP_NUM]; //local congestion |
|
|
|
498,7 → 517,7
|
assign cpu_adr_i [IP_NUM] = cpu_adr_i_array [(IP_NUM+1)*(CPU_ADR_WIDTH)-1 : IP_NUM*CPU_ADR_WIDTH]; |
assign cpu_dat_i [IP_NUM] = cpu_dat_i_array [(IP_NUM+1)*32-1 : IP_NUM*32 ]; |
assign cpu_sel_i [IP_NUM] = cpu_sel_i_array [(IP_NUM+1)*4-1 : IP_NUM*4 ]; |
assign cpu_sel_i [IP_NUM] = cpu_sel_i_array [(IP_NUM+1)*4-1 : IP_NUM*4 ]; |
assign cpu_dat_o_array [(IP_NUM+1)*32-1 : IP_NUM*32] = cpu_dat_o [IP_NUM]; |
|
//synthesis translate_on |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/MPSoC_top.v
39,7 → 39,7
NI pins are written in tasks.V file */ |
//noc parameter |
parameter TOPOLOGY = `TOPOLOGY_DEF, |
parameter ROUTE_ALGRMT = `ROUTE_ALGRMT_DEF, //"XY" or "MINIMAL" |
parameter ROUTE_ALGRMT = `ROUTE_ALGRMT_DEF, //"XY_CLASSIC" or "BALANCE_DOR" or "SUDO_XY" |
parameter VC_NUM_PER_PORT = `VC_NUM_PER_PORT_DEF , |
parameter PYLD_WIDTH = `PYLD_WIDTH_DEF, |
parameter BUFFER_NUM_PER_VC = `BUFFER_NUM_PER_VC_DEF, |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/src/define.v
37,8 → 37,8
********************************************************************/ |
//define the topology: "MESH" or "TORUS" |
`define TOPOLOGY_DEF "MESH" |
//define the routing algorithm : "XY" or "MINIMAL" |
`define ROUTE_ALGRMT_DEF "XY" |
//define the routing algorithm : "XY_CLASSIC" or "BALANCE_DOR" or "SUDO_XY" |
`define ROUTE_ALGRMT_DEF "XY_CLASSIC" |
// The number of virtual channel (VC) for each individual physical channel. this value must be power of 2. The typical value is two and four. |
`define VC_NUM_PER_PORT_DEF 2 |
|
133,6 → 133,13
Do not change the rest of definition, otherwise u need to adjast the other verilog codes |
to work with new values. |
************************************************************/ |
`define LOCAL_PORT 0 |
`define EAST_PORT 1 |
`define NORTH_PORT 2 |
`define WEST_PORT 3 |
`define SOUTH_PORT 4 |
|
|
`define X_Y_ADDR_WIDTH_IN_HDR 4 |
|
`define X_ADDR_END (32-(PORT_NUM_BCD_WIDTH+(`X_Y_ADDR_WIDTH_IN_HDR-X_NODE_NUM_WIDTH))) |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu00_00.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B8080320; |
00000002 : B808049C; |
00000003 : 00000000; |
00000004 : B8080190; |
00000004 : B8080214; |
[00000005..00000007] : 00000000; |
00000008 : B8080328; |
00000008 : B80804A4; |
[00000009..00000013] : 00000000; |
00000014 : 31A00688; |
00000015 : 30400590; |
00000016 : 30200E80; |
00000014 : 31A00808; |
00000015 : 30400710; |
00000016 : 30201080; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F402DC; |
00000019 : B9F40458; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00688; |
0000001F : 20E00688; |
0000001E : 20C00808; |
0000001F : 20E00808; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00688; |
00000027 : 20E0068C; |
00000026 : 20C00808; |
00000027 : 20E0088C; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,318 → 40,414
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F40280; |
0000002E : B9F403FC; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F401A8; |
00000032 : B9F40248; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F4025C; |
00000035 : B9F403D8; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : 94608001; |
0000003C : A0630002; |
0000003D : 9403C001; |
0000003E : B60F0008; |
0000003F : 80000000; |
00000040 : B0004300; |
00000041 : 30600000; |
00000042 : E8800688; |
00000043 : E8A30000; |
00000044 : 30840001; |
00000045 : F8800688; |
00000046 : F8A30000; |
00000047 : B60F0008; |
00000048 : 80000000; |
00000049 : B0004400; |
0000004A : E860000C; |
0000004B : A4630001; |
0000004C : BC030010; |
0000004D : B000DEAD; |
0000004E : 3060BEAF; |
0000004F : F8600688; |
00000050 : B0004400; |
00000051 : E860000C; |
00000052 : A4630002; |
00000053 : BC030010; |
00000054 : B0001234; |
00000055 : 30605678; |
00000056 : F8600688; |
00000057 : B0004400; |
00000058 : E860000C; |
00000059 : A4630004; |
0000005A : BC030010; |
0000005B : B000AAAA; |
0000005C : 3060AAAA; |
0000005D : F8600688; |
0000005E : B0004200; |
0000005F : E860000C; |
00000060 : B0004200; |
00000061 : F860000C; |
00000062 : B60F0008; |
00000063 : 80000000; |
00000064 : 3021FFB0; |
00000065 : F9E10000; |
00000066 : F8610020; |
00000067 : F8810024; |
00000068 : F8A10028; |
00000069 : F8C1002C; |
0000006A : F8E10030; |
0000006B : F9010034; |
0000006C : F9210038; |
0000006D : F941003C; |
0000006E : F9610040; |
0000006F : F9810044; |
00000070 : FA210048; |
00000071 : 95608001; |
00000072 : FA41004C; |
00000073 : F961001C; |
00000074 : B0004400; |
00000075 : E860000C; |
00000076 : A4630008; |
00000077 : BC230070; |
00000078 : B0004400; |
00000079 : E860000C; |
0000007A : A4630007; |
0000007B : BC03000C; |
0000007C : B9F4FF34; |
0000007D : 80000000; |
0000007E : B0004400; |
0000007F : E860000C; |
00000080 : B0004400; |
00000081 : F8600008; |
00000082 : E9E10000; |
00000083 : E961001C; |
00000084 : E8610020; |
00000085 : E8810024; |
00000086 : 940BC001; |
00000087 : E8A10028; |
00000088 : E8C1002C; |
00000089 : E8E10030; |
0000008A : E9010034; |
0000008B : E9210038; |
0000008C : E941003C; |
0000008D : E9610040; |
0000008E : E9810044; |
0000008F : EA210048; |
00000090 : EA41004C; |
00000091 : B62E0000; |
00000092 : 30210050; |
00000093 : B9F4FEB4; |
00000094 : 80000000; |
00000095 : B800FF8C; |
00000096 : B8000008; |
00000097 : 80000000; |
00000098 : BE25FFFC; |
00000099 : 30A5FFFF; |
0000009A : B60F0008; |
0000009B : 30A50001; |
0000009C : 3021FFE4; |
0000009D : F9E10000; |
0000009E : 30800007; |
0000009F : B00002FA; |
000000A0 : 3060F080; |
000000A1 : B0004200; |
000000A2 : F8800004; |
000000A3 : 30A00003; |
000000A4 : B0004200; |
000000A5 : F8A00000; |
000000A6 : B0004300; |
000000A7 : F8600008; |
000000A8 : B0004300; |
000000A9 : F8800000; |
000000AA : 3060000F; |
000000AB : B0004400; |
000000AC : F8600004; |
000000AD : B0004400; |
000000AE : F8A00000; |
000000AF : F8000688; |
000000B0 : B9F4FE2C; |
000000B1 : 80000000; |
000000B2 : 10E00000; |
000000B3 : 10C70000; |
000000B4 : B0004100; |
000000B5 : 30A02004; |
000000B6 : E8600688; |
000000B7 : 30E70001; |
000000B8 : 44633000; |
000000B9 : A463000F; |
000000BA : 64630402; |
000000BB : E8830548; |
000000BC : 30C60004; |
000000BD : A884FFFF; |
000000BE : F8850000; |
000000BF : AA470008; |
000000C0 : BE32FFD8; |
000000C1 : 30A50080; |
000000C2 : B0000000; |
000000C3 : 30A0C350; |
000000C4 : B9F4FF48; |
000000C5 : 80000000; |
000000C6 : B810FFB4; |
000000C7 : 10E00000; |
000000C8 : B6110000; |
000000C9 : 80000000; |
000000CA : B6910000; |
000000CB : 80000000; |
000000CC : B60F0008; |
000000CD : 80000000; |
000000CE : B60F0008; |
000000CF : 80000000; |
000000D0 : 3021FFE0; |
000000D1 : 10C00000; |
000000D2 : FA61001C; |
000000D3 : F9E10000; |
000000D4 : B9F40024; |
000000D5 : 12650000; |
000000D6 : E8A00588; |
000000D7 : E8650028; |
000000D8 : BC03000C; |
000000D9 : 99FC1800; |
000000DA : 80000000; |
000000DB : B9F4FD00; |
000000DC : 10B30000; |
000000DD : E8600588; |
000000DE : 3021FFC8; |
000000DF : FB410030; |
000000E0 : FB610034; |
000000E1 : F9E10000; |
000000E2 : FA61001C; |
000000E3 : FAC10020; |
000000E4 : FAE10024; |
000000E5 : FB010028; |
000000E6 : FB21002C; |
000000E7 : EB030048; |
000000E8 : 13650000; |
000000E9 : BE180050; |
000000EA : 13460000; |
000000EB : E8780004; |
000000EC : EB380088; |
000000ED : 3263FFFF; |
000000EE : BC53003C; |
000000EF : 64930402; |
000000F0 : 30640008; |
000000F1 : 12D81800; |
000000F2 : BE060074; |
000000F3 : 12F92000; |
000000F4 : BC1900C0; |
000000F5 : E8770080; |
000000F6 : 1643D000; |
000000F7 : BC1200EC; |
000000F8 : 3273FFFF; |
000000F9 : 32F7FFFC; |
000000FA : AA53FFFF; |
000000FB : BE32FFE8; |
000000FC : 32D6FFFC; |
000000FD : E9E10000; |
000000FE : EA61001C; |
000000FF : EAC10020; |
00000100 : EAE10024; |
00000101 : EB010028; |
00000102 : EB21002C; |
00000103 : EB410030; |
00000104 : EB610034; |
00000105 : B60F0008; |
00000106 : 30210038; |
00000107 : E8B70000; |
00000108 : 99FC3800; |
00000109 : 80000000; |
0000010A : 3273FFFF; |
0000010B : 32F7FFFC; |
0000010C : AA53FFFF; |
0000010D : BE12FFC0; |
0000010E : 32D6FFFC; |
0000010F : E8780004; |
00000110 : E8F60000; |
00000111 : 3063FFFF; |
00000112 : 16439800; |
00000113 : BC120074; |
00000114 : F8160000; |
00000115 : BC07FFD4; |
00000116 : BE190058; |
00000117 : 30800001; |
00000118 : E8790100; |
00000119 : 44849C00; |
0000011A : 84641800; |
0000011B : BC030044; |
0000011C : E8790104; |
0000011D : 84641800; |
0000011E : BC23FFA4; |
0000011F : E8D70000; |
00000120 : 99FC3800; |
00000121 : 10BB0000; |
00000122 : B810FFA4; |
00000123 : 3273FFFF; |
00000124 : 3273FFFF; |
00000125 : AA53FFFF; |
00000126 : BE12FF5C; |
00000127 : 3273FFFF; |
00000128 : AA53FFFF; |
00000129 : BE32FFF0; |
0000012A : 3273FFFF; |
0000012B : B800FF48; |
0000012C : 99FC3800; |
0000012D : 3273FFFF; |
0000012E : B810FF78; |
0000012F : 32F7FFFC; |
00000130 : FA780004; |
00000131 : B800FF90; |
00000132 : E8780004; |
00000133 : E8F60000; |
00000134 : 3063FFFF; |
00000135 : 16439800; |
00000136 : BC120054; |
00000137 : F8160000; |
00000138 : BC07FF00; |
00000139 : BC190038; |
0000013A : 30800001; |
0000013B : E8790100; |
0000013C : 44849C00; |
0000013D : 84641800; |
0000013E : BC030024; |
0000013F : E8790104; |
00000140 : 84641800; |
00000141 : BC230030; |
00000142 : E8D70000; |
00000143 : 99FC3800; |
00000144 : 10BB0000; |
00000145 : B810FED0; |
00000146 : 3273FFFF; |
00000147 : 99FC3800; |
00000148 : 3273FFFF; |
00000149 : B810FEC4; |
0000014A : 32F7FFFC; |
0000014B : FA780004; |
0000014C : B800FFB0; |
0000014D : E8B70000; |
0000014E : 99FC3800; |
0000014F : 3273FFFF; |
00000150 : B810FEA8; |
00000151 : 32F7FFFC; |
00000152 : 0000003F; |
00000153 : 00000006; |
00000154 : 0000005B; |
00000155 : 0000004F; |
00000156 : 00000066; |
00000157 : 0000006D; |
00000158 : 0000007D; |
00000159 : 00000007; |
0000015A : 0000007F; |
0000015B : 0000006F; |
0000015C : 00000077; |
0000015D : 0000007C; |
0000015E : 00000039; |
0000015F : 0000005E; |
00000160 : 00000079; |
00000161 : 00000071; |
00000162 : 00000594; |
00000163 : 43000000; |
00000164 : 00000594; |
[00000165..0000016C] : 00000000; |
0000016D : 0000058C; |
[0000016E..00000FFF] : 00000000; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : 94608001; |
0000004B : A0630002; |
0000004C : 9403C001; |
0000004D : B60F0008; |
0000004E : 80000000; |
0000004F : B0004300; |
00000050 : 30600000; |
00000051 : E8800808; |
00000052 : E8A30000; |
00000053 : 30840001; |
00000054 : F8800808; |
00000055 : F8A30000; |
00000056 : B60F0008; |
00000057 : 80000000; |
00000058 : B0004200; |
00000059 : E860000C; |
0000005A : A4630001; |
0000005B : BC030010; |
0000005C : B000DEAD; |
0000005D : 3060BEAF; |
0000005E : F8600808; |
0000005F : B0004200; |
00000060 : E860000C; |
00000061 : A4630002; |
00000062 : BC030010; |
00000063 : B0001234; |
00000064 : 30605678; |
00000065 : F8600808; |
00000066 : B0004200; |
00000067 : E860000C; |
00000068 : A4630004; |
00000069 : BC030010; |
0000006A : B000AAAA; |
0000006B : 3060AAAA; |
0000006C : F8600808; |
0000006D : B0004200; |
0000006E : E860000C; |
0000006F : B0004200; |
00000070 : F860000C; |
00000071 : B60F0008; |
00000072 : 80000000; |
00000073 : B0000100; |
00000074 : 3060080C; |
00000075 : B0004000; |
00000076 : F8600000; |
00000077 : B0004000; |
00000078 : E8600008; |
00000079 : A4630002; |
0000007A : BC03FFF4; |
0000007B : E8600808; |
0000007C : B0004000; |
0000007D : E8800008; |
0000007E : B0001111; |
0000007F : 30631111; |
00000080 : F8600808; |
00000081 : B0004000; |
00000082 : F8800008; |
00000083 : B60F0008; |
00000084 : 80000000; |
00000085 : 3021FFB0; |
00000086 : F9E10000; |
00000087 : F8610020; |
00000088 : F8810024; |
00000089 : F8A10028; |
0000008A : F8C1002C; |
0000008B : F8E10030; |
0000008C : F9010034; |
0000008D : F9210038; |
0000008E : F941003C; |
0000008F : F9610040; |
00000090 : F9810044; |
00000091 : FA210048; |
00000092 : 95608001; |
00000093 : FA41004C; |
00000094 : F961001C; |
00000095 : B0004400; |
00000096 : E860000C; |
00000097 : A4630001; |
00000098 : BC23008C; |
00000099 : B0004400; |
0000009A : E860000C; |
0000009B : A4630002; |
0000009C : BC230070; |
0000009D : B0004400; |
0000009E : E860000C; |
0000009F : A4630004; |
000000A0 : BC03000C; |
000000A1 : B9F4FEDC; |
000000A2 : 80000000; |
000000A3 : B0004400; |
000000A4 : E860000C; |
000000A5 : B0004400; |
000000A6 : F8600008; |
000000A7 : E9E10000; |
000000A8 : E961001C; |
000000A9 : E8610020; |
000000AA : E8810024; |
000000AB : 940BC001; |
000000AC : E8A10028; |
000000AD : E8C1002C; |
000000AE : E8E10030; |
000000AF : E9010034; |
000000B0 : E9210038; |
000000B1 : E941003C; |
000000B2 : E9610040; |
000000B3 : E9810044; |
000000B4 : EA210048; |
000000B5 : EA41004C; |
000000B6 : B62E0000; |
000000B7 : 30210050; |
000000B8 : B9F4FE5C; |
000000B9 : 80000000; |
000000BA : B800FF8C; |
000000BB : B9F4FEE0; |
000000BC : 80000000; |
000000BD : B800FF70; |
000000BE : B8000008; |
000000BF : 80000000; |
000000C0 : BE25FFFC; |
000000C1 : 30A5FFFF; |
000000C2 : B60F0008; |
000000C3 : 30A50001; |
000000C4 : 3021FFE4; |
000000C5 : F9E10000; |
000000C6 : 30600007; |
000000C7 : B00002FA; |
000000C8 : 3080F080; |
000000C9 : B0004200; |
000000CA : F8600004; |
000000CB : 30A00003; |
000000CC : B0004200; |
000000CD : F8A00000; |
000000CE : B0004300; |
000000CF : F8800008; |
000000D0 : B0004300; |
000000D1 : F8600000; |
000000D2 : B0004400; |
000000D3 : F8600004; |
000000D4 : B0004400; |
000000D5 : F8A00000; |
000000D6 : F8000808; |
000000D7 : B9F4FDCC; |
000000D8 : 80000000; |
000000D9 : 10E00000; |
000000DA : 10C70000; |
000000DB : B0004100; |
000000DC : 30A02004; |
000000DD : E8600808; |
000000DE : 30E70001; |
000000DF : 44633000; |
000000E0 : A463000F; |
000000E1 : 64630402; |
000000E2 : E88306C4; |
000000E3 : 30C60004; |
000000E4 : A884FFFF; |
000000E5 : F8850000; |
000000E6 : AA470008; |
000000E7 : BE32FFD8; |
000000E8 : 30A50080; |
000000E9 : B0000000; |
000000EA : 30A0C350; |
000000EB : B9F4FF4C; |
000000EC : 80000000; |
000000ED : B810FFB4; |
000000EE : 10E00000; |
000000EF : 30E70001; |
000000F0 : B0000440; |
000000F1 : 30600003; |
000000F2 : 64E70413; |
000000F3 : F8C50004; |
000000F4 : F8650000; |
000000F5 : 10E72800; |
000000F6 : 3021FFF0; |
000000F7 : B0004000; |
000000F8 : F8E00004; |
000000F9 : B0004000; |
000000FA : E8600008; |
000000FB : A4630001; |
000000FC : BC03FFF4; |
000000FD : B0004000; |
000000FE : E8600008; |
000000FF : A4630010; |
00000100 : BC03FFF4; |
00000101 : B0000018; |
00000102 : 30610004; |
00000103 : B0004000; |
00000104 : F8600000; |
00000105 : B0004000; |
00000106 : E8600008; |
00000107 : A4630002; |
00000108 : BC03FFF4; |
00000109 : B60F0008; |
0000010A : 30210010; |
0000010B : B0000440; |
0000010C : 30600000; |
0000010D : F8C50004; |
0000010E : F8E50008; |
0000010F : F8650000; |
00000110 : B0000010; |
00000111 : 30850000; |
00000112 : B0004000; |
00000113 : F8800004; |
00000114 : B0004000; |
00000115 : E8600008; |
00000116 : A4630001; |
00000117 : BC03FFF4; |
00000118 : B0004000; |
00000119 : E8600008; |
0000011A : A4630010; |
0000011B : BE03FFF4; |
0000011C : 30670001; |
0000011D : 64630413; |
0000011E : 10651800; |
0000011F : B0004000; |
00000120 : F8600000; |
00000121 : B0004000; |
00000122 : E8600008; |
00000123 : A4630002; |
00000124 : BC03FFF4; |
00000125 : B60F0008; |
00000126 : 80000000; |
00000127 : B6110000; |
00000128 : 80000000; |
00000129 : B6910000; |
0000012A : 80000000; |
0000012B : B60F0008; |
0000012C : 80000000; |
0000012D : B60F0008; |
0000012E : 80000000; |
0000012F : 3021FFE0; |
00000130 : 10C00000; |
00000131 : FA61001C; |
00000132 : F9E10000; |
00000133 : B9F40024; |
00000134 : 12650000; |
00000135 : E8A00704; |
00000136 : E8650028; |
00000137 : BC03000C; |
00000138 : 99FC1800; |
00000139 : 80000000; |
0000013A : B9F4FB84; |
0000013B : 10B30000; |
0000013C : E8600704; |
0000013D : 3021FFC8; |
0000013E : FB410030; |
0000013F : FB610034; |
00000140 : F9E10000; |
00000141 : FA61001C; |
00000142 : FAC10020; |
00000143 : FAE10024; |
00000144 : FB010028; |
00000145 : FB21002C; |
00000146 : EB030048; |
00000147 : 13650000; |
00000148 : BE180050; |
00000149 : 13460000; |
0000014A : E8780004; |
0000014B : EB380088; |
0000014C : 3263FFFF; |
0000014D : BC53003C; |
0000014E : 64930402; |
0000014F : 30640008; |
00000150 : 12D81800; |
00000151 : BE060074; |
00000152 : 12F92000; |
00000153 : BC1900C0; |
00000154 : E8770080; |
00000155 : 1643D000; |
00000156 : BC1200EC; |
00000157 : 3273FFFF; |
00000158 : 32F7FFFC; |
00000159 : AA53FFFF; |
0000015A : BE32FFE8; |
0000015B : 32D6FFFC; |
0000015C : E9E10000; |
0000015D : EA61001C; |
0000015E : EAC10020; |
0000015F : EAE10024; |
00000160 : EB010028; |
00000161 : EB21002C; |
00000162 : EB410030; |
00000163 : EB610034; |
00000164 : B60F0008; |
00000165 : 30210038; |
00000166 : E8B70000; |
00000167 : 99FC3800; |
00000168 : 80000000; |
00000169 : 3273FFFF; |
0000016A : 32F7FFFC; |
0000016B : AA53FFFF; |
0000016C : BE12FFC0; |
0000016D : 32D6FFFC; |
0000016E : E8780004; |
0000016F : E8F60000; |
00000170 : 3063FFFF; |
00000171 : 16439800; |
00000172 : BC120074; |
00000173 : F8160000; |
00000174 : BC07FFD4; |
00000175 : BE190058; |
00000176 : 30800001; |
00000177 : E8790100; |
00000178 : 44849C00; |
00000179 : 84641800; |
0000017A : BC030044; |
0000017B : E8790104; |
0000017C : 84641800; |
0000017D : BC23FFA4; |
0000017E : E8D70000; |
0000017F : 99FC3800; |
00000180 : 10BB0000; |
00000181 : B810FFA4; |
00000182 : 3273FFFF; |
00000183 : 3273FFFF; |
00000184 : AA53FFFF; |
00000185 : BE12FF5C; |
00000186 : 3273FFFF; |
00000187 : AA53FFFF; |
00000188 : BE32FFF0; |
00000189 : 3273FFFF; |
0000018A : B800FF48; |
0000018B : 99FC3800; |
0000018C : 3273FFFF; |
0000018D : B810FF78; |
0000018E : 32F7FFFC; |
0000018F : FA780004; |
00000190 : B800FF90; |
00000191 : E8780004; |
00000192 : E8F60000; |
00000193 : 3063FFFF; |
00000194 : 16439800; |
00000195 : BC120054; |
00000196 : F8160000; |
00000197 : BC07FF00; |
00000198 : BC190038; |
00000199 : 30800001; |
0000019A : E8790100; |
0000019B : 44849C00; |
0000019C : 84641800; |
0000019D : BC030024; |
0000019E : E8790104; |
0000019F : 84641800; |
000001A0 : BC230030; |
000001A1 : E8D70000; |
000001A2 : 99FC3800; |
000001A3 : 10BB0000; |
000001A4 : B810FED0; |
000001A5 : 3273FFFF; |
000001A6 : 99FC3800; |
000001A7 : 3273FFFF; |
000001A8 : B810FEC4; |
000001A9 : 32F7FFFC; |
000001AA : FA780004; |
000001AB : B800FFB0; |
000001AC : E8B70000; |
000001AD : 99FC3800; |
000001AE : 3273FFFF; |
000001AF : B810FEA8; |
000001B0 : 32F7FFFC; |
000001B1 : 0000003F; |
000001B2 : 00000006; |
000001B3 : 0000005B; |
000001B4 : 0000004F; |
000001B5 : 00000066; |
000001B6 : 0000006D; |
000001B7 : 0000007D; |
000001B8 : 00000007; |
000001B9 : 0000007F; |
000001BA : 0000006F; |
000001BB : 00000077; |
000001BC : 0000007C; |
000001BD : 00000039; |
000001BE : 0000005E; |
000001BF : 00000079; |
000001C0 : 00000071; |
000001C1 : 00000714; |
000001C2 : 43000000; |
000001C3 : 00000000; |
000001C4 : 00000714; |
[000001C5..000001CC] : 00000000; |
000001CD : 00000708; |
[000001CE..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu00_01.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B8080158; |
00000002 : B8080274; |
00000003 : 00000000; |
00000004 : B8080168; |
00000004 : B8080284; |
[00000005..00000007] : 00000000; |
00000008 : B8080160; |
00000008 : B808027C; |
[00000009..00000013] : 00000000; |
00000014 : 31A00488; |
00000015 : 30400390; |
00000016 : 30200CF8; |
00000014 : 31A005A8; |
00000015 : 304004B0; |
00000016 : 30200E18; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F4011C; |
00000019 : B9F40238; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00488; |
0000001F : 20E00488; |
0000001E : 20C005A8; |
0000001F : 20E005A8; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00488; |
00000027 : 20E00504; |
00000026 : 20C005A8; |
00000027 : 20E00624; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,190 → 40,262
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400C0; |
0000002E : B9F401DC; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F40024; |
00000032 : B9F400E8; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F4009C; |
00000035 : B9F401B8; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B00000F8; |
0000003C : 30C00488; |
0000003D : B0000420; |
0000003E : 30A00000; |
0000003F : 30600001; |
00000040 : 10800000; |
00000041 : F864048C; |
00000042 : 30630001; |
00000043 : AA43001F; |
00000044 : BE32FFF4; |
00000045 : 30840004; |
00000046 : F8A00488; |
00000047 : B0004000; |
00000048 : F8C00004; |
00000049 : B0004000; |
0000004A : E8600008; |
0000004B : A4630001; |
0000004C : BE03FFF4; |
0000004D : 30600001; |
0000004E : B810FFCC; |
0000004F : 10800000; |
00000050 : B8000008; |
00000051 : 80000000; |
00000052 : BE25FFFC; |
00000053 : 30A5FFFF; |
00000054 : B60F0008; |
00000055 : 80000000; |
00000056 : B6110000; |
00000057 : 80000000; |
00000058 : B6910000; |
00000059 : 80000000; |
0000005A : B62E0000; |
0000005B : 80000000; |
0000005C : B60F0008; |
0000005D : 80000000; |
0000005E : B60F0008; |
0000005F : 80000000; |
00000060 : 3021FFE0; |
00000061 : 10C00000; |
00000062 : FA61001C; |
00000063 : F9E10000; |
00000064 : B9F40024; |
00000065 : 12650000; |
00000066 : E8A00388; |
00000067 : E8650028; |
00000068 : BC03000C; |
00000069 : 99FC1800; |
0000006A : 80000000; |
0000006B : B9F4FEC0; |
0000006C : 10B30000; |
0000006D : E8600388; |
0000006E : 3021FFC8; |
0000006F : FB410030; |
00000070 : FB610034; |
00000071 : F9E10000; |
00000072 : FA61001C; |
00000073 : FAC10020; |
00000074 : FAE10024; |
00000075 : FB010028; |
00000076 : FB21002C; |
00000077 : EB030048; |
00000078 : 13650000; |
00000079 : BE180050; |
0000007A : 13460000; |
0000007B : E8780004; |
0000007C : EB380088; |
0000007D : 3263FFFF; |
0000007E : BC53003C; |
0000007F : 64930402; |
00000080 : 30640008; |
00000081 : 12D81800; |
00000082 : BE060074; |
00000083 : 12F92000; |
00000084 : BC1900C0; |
00000085 : E8770080; |
00000086 : 1643D000; |
00000087 : BC1200EC; |
00000088 : 3273FFFF; |
00000089 : 32F7FFFC; |
0000008A : AA53FFFF; |
0000008B : BE32FFE8; |
0000008C : 32D6FFFC; |
0000008D : E9E10000; |
0000008E : EA61001C; |
0000008F : EAC10020; |
00000090 : EAE10024; |
00000091 : EB010028; |
00000092 : EB21002C; |
00000093 : EB410030; |
00000094 : EB610034; |
00000095 : B60F0008; |
00000096 : 30210038; |
00000097 : E8B70000; |
00000098 : 99FC3800; |
00000099 : 80000000; |
0000009A : 3273FFFF; |
0000009B : 32F7FFFC; |
0000009C : AA53FFFF; |
0000009D : BE12FFC0; |
0000009E : 32D6FFFC; |
0000009F : E8780004; |
000000A0 : E8F60000; |
000000A1 : 3063FFFF; |
000000A2 : 16439800; |
000000A3 : BC120074; |
000000A4 : F8160000; |
000000A5 : BC07FFD4; |
000000A6 : BE190058; |
000000A7 : 30800001; |
000000A8 : E8790100; |
000000A9 : 44849C00; |
000000AA : 84641800; |
000000AB : BC030044; |
000000AC : E8790104; |
000000AD : 84641800; |
000000AE : BC23FFA4; |
000000AF : E8D70000; |
000000B0 : 99FC3800; |
000000B1 : 10BB0000; |
000000B2 : B810FFA4; |
000000B3 : 3273FFFF; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE12FF5C; |
000000B7 : 3273FFFF; |
000000B8 : AA53FFFF; |
000000B9 : BE32FFF0; |
000000BA : 3273FFFF; |
000000BB : B800FF48; |
000000BC : 99FC3800; |
000000BD : 3273FFFF; |
000000BE : B810FF78; |
000000BF : 32F7FFFC; |
000000C0 : FA780004; |
000000C1 : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 30E70001; |
00000051 : B0000440; |
00000052 : 30600003; |
00000053 : 64E70413; |
00000054 : F8C50004; |
00000055 : F8650000; |
00000056 : 10E72800; |
00000057 : 3021FFF0; |
00000058 : B0004000; |
00000059 : F8E00004; |
0000005A : B0004000; |
0000005B : E8600008; |
0000005C : A4630001; |
0000005D : BC03FFF4; |
0000005E : B0004000; |
0000005F : E8600008; |
00000060 : A4630010; |
00000061 : BC03FFF4; |
00000062 : B0000018; |
00000063 : 30610004; |
00000064 : B0004000; |
00000065 : F8600000; |
00000066 : B0004000; |
00000067 : E8600008; |
00000068 : A4630002; |
00000069 : BC03FFF4; |
0000006A : B60F0008; |
0000006B : 30210010; |
0000006C : B0000010; |
0000006D : 30C005A8; |
0000006E : B0000420; |
0000006F : 30A00000; |
00000070 : 30600001; |
00000071 : 10800000; |
00000072 : F86405AC; |
00000073 : 30630001; |
00000074 : AA43001F; |
00000075 : BE32FFF4; |
00000076 : 30840004; |
00000077 : F8A005A8; |
00000078 : B0004000; |
00000079 : F8C00004; |
0000007A : B0004000; |
0000007B : E8600008; |
0000007C : A4630001; |
0000007D : BE03FFF4; |
0000007E : 30600001; |
0000007F : B810FFCC; |
00000080 : 10800000; |
00000081 : B0000440; |
00000082 : 30600000; |
00000083 : F8C50004; |
00000084 : F8E50008; |
00000085 : F8650000; |
00000086 : B0000010; |
00000087 : 30850000; |
00000088 : B0004000; |
00000089 : F8800004; |
0000008A : B0004000; |
0000008B : E8600008; |
0000008C : A4630001; |
0000008D : BC03FFF4; |
0000008E : B0004000; |
0000008F : E8600008; |
00000090 : A4630010; |
00000091 : BE03FFF4; |
00000092 : 30670001; |
00000093 : 64630413; |
00000094 : 10651800; |
00000095 : B0004000; |
00000096 : F8600000; |
00000097 : B0004000; |
00000098 : E8600008; |
00000099 : A4630002; |
0000009A : BC03FFF4; |
0000009B : B60F0008; |
0000009C : 80000000; |
0000009D : B6110000; |
0000009E : 80000000; |
0000009F : B6910000; |
000000A0 : 80000000; |
000000A1 : B62E0000; |
000000A2 : 80000000; |
000000A3 : B60F0008; |
000000A4 : 80000000; |
000000A5 : B60F0008; |
000000A6 : 80000000; |
000000A7 : 3021FFE0; |
000000A8 : 10C00000; |
000000A9 : FA61001C; |
000000AA : F9E10000; |
000000AB : B9F40024; |
000000AC : 12650000; |
000000AD : E8A004A4; |
000000AE : E8650028; |
000000AF : BC03000C; |
000000B0 : 99FC1800; |
000000B1 : 80000000; |
000000B2 : B9F4FDA4; |
000000B3 : 10B30000; |
000000B4 : E86004A4; |
000000B5 : 3021FFC8; |
000000B6 : FB410030; |
000000B7 : FB610034; |
000000B8 : F9E10000; |
000000B9 : FA61001C; |
000000BA : FAC10020; |
000000BB : FAE10024; |
000000BC : FB010028; |
000000BD : FB21002C; |
000000BE : EB030048; |
000000BF : 13650000; |
000000C0 : BE180050; |
000000C1 : 13460000; |
000000C2 : E8780004; |
000000C3 : E8F60000; |
000000C4 : 3063FFFF; |
000000C5 : 16439800; |
000000C6 : BC120054; |
000000C7 : F8160000; |
000000C8 : BC07FF00; |
000000C9 : BC190038; |
000000CA : 30800001; |
000000CB : E8790100; |
000000CC : 44849C00; |
000000CD : 84641800; |
000000CE : BC030024; |
000000CF : E8790104; |
000000D0 : 84641800; |
000000D1 : BC230030; |
000000D2 : E8D70000; |
000000D3 : 99FC3800; |
000000D4 : 10BB0000; |
000000D5 : B810FED0; |
000000D6 : 3273FFFF; |
000000D7 : 99FC3800; |
000000D8 : 3273FFFF; |
000000D9 : B810FEC4; |
000000DA : 32F7FFFC; |
000000DB : FA780004; |
000000DC : B800FFB0; |
000000DD : E8B70000; |
000000DE : 99FC3800; |
000000DF : 3273FFFF; |
000000E0 : B810FEA8; |
000000E1 : 32F7FFFC; |
000000E2 : 00000394; |
000000E3 : 43000000; |
000000E4 : 00000394; |
[000000E5..000000EC] : 00000000; |
000000ED : 0000038C; |
[000000EE..00000FFF] : 00000000; |
000000C3 : EB380088; |
000000C4 : 3263FFFF; |
000000C5 : BC53003C; |
000000C6 : 64930402; |
000000C7 : 30640008; |
000000C8 : 12D81800; |
000000C9 : BE060074; |
000000CA : 12F92000; |
000000CB : BC1900C0; |
000000CC : E8770080; |
000000CD : 1643D000; |
000000CE : BC1200EC; |
000000CF : 3273FFFF; |
000000D0 : 32F7FFFC; |
000000D1 : AA53FFFF; |
000000D2 : BE32FFE8; |
000000D3 : 32D6FFFC; |
000000D4 : E9E10000; |
000000D5 : EA61001C; |
000000D6 : EAC10020; |
000000D7 : EAE10024; |
000000D8 : EB010028; |
000000D9 : EB21002C; |
000000DA : EB410030; |
000000DB : EB610034; |
000000DC : B60F0008; |
000000DD : 30210038; |
000000DE : E8B70000; |
000000DF : 99FC3800; |
000000E0 : 80000000; |
000000E1 : 3273FFFF; |
000000E2 : 32F7FFFC; |
000000E3 : AA53FFFF; |
000000E4 : BE12FFC0; |
000000E5 : 32D6FFFC; |
000000E6 : E8780004; |
000000E7 : E8F60000; |
000000E8 : 3063FFFF; |
000000E9 : 16439800; |
000000EA : BC120074; |
000000EB : F8160000; |
000000EC : BC07FFD4; |
000000ED : BE190058; |
000000EE : 30800001; |
000000EF : E8790100; |
000000F0 : 44849C00; |
000000F1 : 84641800; |
000000F2 : BC030044; |
000000F3 : E8790104; |
000000F4 : 84641800; |
000000F5 : BC23FFA4; |
000000F6 : E8D70000; |
000000F7 : 99FC3800; |
000000F8 : 10BB0000; |
000000F9 : B810FFA4; |
000000FA : 3273FFFF; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE12FF5C; |
000000FE : 3273FFFF; |
000000FF : AA53FFFF; |
00000100 : BE32FFF0; |
00000101 : 3273FFFF; |
00000102 : B800FF48; |
00000103 : 99FC3800; |
00000104 : 3273FFFF; |
00000105 : B810FF78; |
00000106 : 32F7FFFC; |
00000107 : FA780004; |
00000108 : B800FF90; |
00000109 : E8780004; |
0000010A : E8F60000; |
0000010B : 3063FFFF; |
0000010C : 16439800; |
0000010D : BC120054; |
0000010E : F8160000; |
0000010F : BC07FF00; |
00000110 : BC190038; |
00000111 : 30800001; |
00000112 : E8790100; |
00000113 : 44849C00; |
00000114 : 84641800; |
00000115 : BC030024; |
00000116 : E8790104; |
00000117 : 84641800; |
00000118 : BC230030; |
00000119 : E8D70000; |
0000011A : 99FC3800; |
0000011B : 10BB0000; |
0000011C : B810FED0; |
0000011D : 3273FFFF; |
0000011E : 99FC3800; |
0000011F : 3273FFFF; |
00000120 : B810FEC4; |
00000121 : 32F7FFFC; |
00000122 : FA780004; |
00000123 : B800FFB0; |
00000124 : E8B70000; |
00000125 : 99FC3800; |
00000126 : 3273FFFF; |
00000127 : B810FEA8; |
00000128 : 32F7FFFC; |
00000129 : 000004B4; |
0000012A : 43000000; |
0000012B : 00000000; |
0000012C : 000004B4; |
[0000012D..00000134] : 00000000; |
00000135 : 000004A8; |
[00000136..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu01_00.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080320; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080330; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080328; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00650; |
00000015 : 30400558; |
00000016 : 30200EC0; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F402E4; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00650; |
0000001F : 20E00650; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00650; |
00000027 : 20E006D0; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,304
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F40288; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F400E8; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F40264; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 30E70001; |
00000051 : B0000440; |
00000052 : 30600003; |
00000053 : 64E70413; |
00000054 : F8C50004; |
00000055 : F8650000; |
00000056 : 10E72800; |
00000057 : 3021FFF0; |
00000058 : B0004000; |
00000059 : F8E00004; |
0000005A : B0004000; |
0000005B : E8600008; |
0000005C : A4630001; |
0000005D : BC03FFF4; |
0000005E : B0004000; |
0000005F : E8600008; |
00000060 : A4630010; |
00000061 : BC03FFF4; |
00000062 : B0000018; |
00000063 : 30610004; |
00000064 : B0004000; |
00000065 : F8600000; |
00000066 : B0004000; |
00000067 : E8600008; |
00000068 : A4630002; |
00000069 : BC03FFF4; |
0000006A : B60F0008; |
0000006B : 30210010; |
0000006C : 3021FFD8; |
0000006D : F9E10000; |
0000006E : FA61001C; |
0000006F : FAC10020; |
00000070 : FAE10024; |
00000071 : 30600001; |
00000072 : 10800000; |
00000073 : F8640654; |
00000074 : 30630001; |
00000075 : AA430020; |
00000076 : BE32FFF4; |
00000077 : 30840004; |
00000078 : B00000F0; |
00000079 : 32C00650; |
0000007A : 32600001; |
0000007B : B0000008; |
0000007C : 32F60000; |
0000007D : B000004C; |
0000007E : 30A04B40; |
0000007F : B9F4FF2C; |
00000080 : 80000000; |
00000081 : F8000650; |
00000082 : B0004000; |
00000083 : FAC00004; |
00000084 : B0004000; |
00000085 : E8600008; |
00000086 : A4630001; |
00000087 : BC03FFF4; |
00000088 : B0004000; |
00000089 : E8600008; |
0000008A : A4630001; |
0000008B : BC03FFF4; |
0000008C : B00002FA; |
0000008D : 30A0F080; |
0000008E : B9F4FEF0; |
0000008F : 80000000; |
00000090 : F8000650; |
00000091 : B0004000; |
00000092 : FAE00004; |
00000093 : B0004000; |
00000094 : E8600008; |
00000095 : A4630001; |
00000096 : BC03FFF4; |
00000097 : B0004000; |
00000098 : E8600008; |
00000099 : A4630001; |
0000009A : BC03FFF4; |
0000009B : 32730001; |
0000009C : AA530020; |
0000009D : BC32FF80; |
0000009E : 32600001; |
0000009F : B0000007; |
000000A0 : 30A0A120; |
000000A1 : B0004100; |
000000A2 : FA602004; |
000000A3 : B9F4FE9C; |
000000A4 : 80000000; |
000000A5 : B0000007; |
000000A6 : 30A0A120; |
000000A7 : B0004100; |
000000A8 : F8002004; |
000000A9 : B9F4FE84; |
000000AA : 80000000; |
000000AB : B800FFD0; |
000000AC : B0000440; |
000000AD : 30600000; |
000000AE : F8C50004; |
000000AF : F8E50008; |
000000B0 : F8650000; |
000000B1 : B0000010; |
000000B2 : 30850000; |
000000B3 : B0004000; |
000000B4 : F8800004; |
000000B5 : B0004000; |
000000B6 : E8600008; |
000000B7 : A4630001; |
000000B8 : BC03FFF4; |
000000B9 : B0004000; |
000000BA : E8600008; |
000000BB : A4630010; |
000000BC : BE03FFF4; |
000000BD : 30670001; |
000000BE : 64630413; |
000000BF : 10651800; |
000000C0 : B0004000; |
000000C1 : F8600000; |
000000C2 : B0004000; |
000000C3 : E8600008; |
000000C4 : A4630002; |
000000C5 : BC03FFF4; |
000000C6 : B60F0008; |
000000C7 : 80000000; |
000000C8 : B6110000; |
000000C9 : 80000000; |
000000CA : B6910000; |
000000CB : 80000000; |
000000CC : B62E0000; |
000000CD : 80000000; |
000000CE : B60F0008; |
000000CF : 80000000; |
000000D0 : B60F0008; |
000000D1 : 80000000; |
000000D2 : 3021FFE0; |
000000D3 : 10C00000; |
000000D4 : FA61001C; |
000000D5 : F9E10000; |
000000D6 : B9F40024; |
000000D7 : 12650000; |
000000D8 : E8A00550; |
000000D9 : E8650028; |
000000DA : BC03000C; |
000000DB : 99FC1800; |
000000DC : 80000000; |
000000DD : B9F4FCF8; |
000000DE : 10B30000; |
000000DF : E8600550; |
000000E0 : 3021FFC8; |
000000E1 : FB410030; |
000000E2 : FB610034; |
000000E3 : F9E10000; |
000000E4 : FA61001C; |
000000E5 : FAC10020; |
000000E6 : FAE10024; |
000000E7 : FB010028; |
000000E8 : FB21002C; |
000000E9 : EB030048; |
000000EA : 13650000; |
000000EB : BE180050; |
000000EC : 13460000; |
000000ED : E8780004; |
000000EE : EB380088; |
000000EF : 3263FFFF; |
000000F0 : BC53003C; |
000000F1 : 64930402; |
000000F2 : 30640008; |
000000F3 : 12D81800; |
000000F4 : BE060074; |
000000F5 : 12F92000; |
000000F6 : BC1900C0; |
000000F7 : E8770080; |
000000F8 : 1643D000; |
000000F9 : BC1200EC; |
000000FA : 3273FFFF; |
000000FB : 32F7FFFC; |
000000FC : AA53FFFF; |
000000FD : BE32FFE8; |
000000FE : 32D6FFFC; |
000000FF : E9E10000; |
00000100 : EA61001C; |
00000101 : EAC10020; |
00000102 : EAE10024; |
00000103 : EB010028; |
00000104 : EB21002C; |
00000105 : EB410030; |
00000106 : EB610034; |
00000107 : B60F0008; |
00000108 : 30210038; |
00000109 : E8B70000; |
0000010A : 99FC3800; |
0000010B : 80000000; |
0000010C : 3273FFFF; |
0000010D : 32F7FFFC; |
0000010E : AA53FFFF; |
0000010F : BE12FFC0; |
00000110 : 32D6FFFC; |
00000111 : E8780004; |
00000112 : E8F60000; |
00000113 : 3063FFFF; |
00000114 : 16439800; |
00000115 : BC120074; |
00000116 : F8160000; |
00000117 : BC07FFD4; |
00000118 : BE190058; |
00000119 : 30800001; |
0000011A : E8790100; |
0000011B : 44849C00; |
0000011C : 84641800; |
0000011D : BC030044; |
0000011E : E8790104; |
0000011F : 84641800; |
00000120 : BC23FFA4; |
00000121 : E8D70000; |
00000122 : 99FC3800; |
00000123 : 10BB0000; |
00000124 : B810FFA4; |
00000125 : 3273FFFF; |
00000126 : 3273FFFF; |
00000127 : AA53FFFF; |
00000128 : BE12FF5C; |
00000129 : 3273FFFF; |
0000012A : AA53FFFF; |
0000012B : BE32FFF0; |
0000012C : 3273FFFF; |
0000012D : B800FF48; |
0000012E : 99FC3800; |
0000012F : 3273FFFF; |
00000130 : B810FF78; |
00000131 : 32F7FFFC; |
00000132 : FA780004; |
00000133 : B800FF90; |
00000134 : E8780004; |
00000135 : E8F60000; |
00000136 : 3063FFFF; |
00000137 : 16439800; |
00000138 : BC120054; |
00000139 : F8160000; |
0000013A : BC07FF00; |
0000013B : BC190038; |
0000013C : 30800001; |
0000013D : E8790100; |
0000013E : 44849C00; |
0000013F : 84641800; |
00000140 : BC030024; |
00000141 : E8790104; |
00000142 : 84641800; |
00000143 : BC230030; |
00000144 : E8D70000; |
00000145 : 99FC3800; |
00000146 : 10BB0000; |
00000147 : B810FED0; |
00000148 : 3273FFFF; |
00000149 : 99FC3800; |
0000014A : 3273FFFF; |
0000014B : B810FEC4; |
0000014C : 32F7FFFC; |
0000014D : FA780004; |
0000014E : B800FFB0; |
0000014F : E8B70000; |
00000150 : 99FC3800; |
00000151 : 3273FFFF; |
00000152 : B810FEA8; |
00000153 : 32F7FFFC; |
00000154 : 0000055C; |
00000155 : 43000000; |
00000156 : 0000055C; |
[00000157..0000015E] : 00000000; |
0000015F : 00000554; |
[00000160..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu00_02.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080304; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080314; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B808030C; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00638; |
00000015 : 30400540; |
00000016 : 30200E28; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F402C8; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00638; |
0000001F : 20E00638; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00638; |
00000027 : 20E00638; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,298
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F4026C; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40140; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F40248; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B000000F; |
00000049 : 30A04240; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B000000F; |
0000004E : 30A04240; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600003; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : 3021FFF0; |
00000043 : B0004000; |
00000044 : F8E00004; |
00000045 : B0004000; |
00000046 : E8600008; |
00000047 : A4630001; |
00000048 : BC03FFF4; |
00000049 : B0004000; |
0000004A : E8600008; |
0000004B : A4630010; |
0000004C : BC03FFF4; |
0000004D : B0000018; |
0000004E : 30610004; |
0000004F : B0004000; |
00000050 : F8600000; |
00000051 : B0004000; |
00000052 : E8600008; |
00000053 : A4630002; |
00000054 : BC03FFF4; |
00000055 : B60F0008; |
00000056 : 30210010; |
00000057 : 30E70001; |
00000058 : B0000440; |
00000059 : 30600001; |
0000005A : 64E70413; |
0000005B : F8C50004; |
0000005C : F8650000; |
0000005D : 10E72800; |
0000005E : B0004000; |
0000005F : F8E00004; |
00000060 : B0004000; |
00000061 : E8600008; |
00000062 : A4630001; |
00000063 : BC03FFF4; |
00000064 : B60F0008; |
00000065 : 80000000; |
00000066 : B0000440; |
00000067 : 30600000; |
00000068 : F8C50004; |
00000069 : F8E50008; |
0000006A : F8650000; |
0000006B : B0000010; |
0000006C : 30850000; |
0000006D : B0004000; |
0000006E : F8800004; |
0000006F : B0004000; |
00000070 : E8600008; |
00000071 : A4630001; |
00000072 : BC03FFF4; |
00000073 : B0004000; |
00000074 : E8600008; |
00000075 : A4630010; |
00000076 : BE03FFF4; |
00000077 : 30670001; |
00000078 : 64630413; |
00000079 : 10651800; |
0000007A : B0004000; |
0000007B : F8600000; |
0000007C : B0004000; |
0000007D : E8600008; |
0000007E : A4630002; |
0000007F : BC03FFF4; |
00000080 : B60F0008; |
00000081 : 80000000; |
00000082 : 3021FF84; |
00000083 : FA610064; |
00000084 : FAC10068; |
00000085 : FAE1006C; |
00000086 : FB010070; |
00000087 : FB210074; |
00000088 : F9E10000; |
00000089 : FB410078; |
0000008A : 32C00001; |
0000008B : 12600000; |
0000008C : 32E10064; |
0000008D : 3321001C; |
0000008E : 33010024; |
0000008F : 10780000; |
00000090 : 10800000; |
00000091 : F8830000; |
00000092 : 30630004; |
00000093 : 16571800; |
00000094 : BE32FFF4; |
00000095 : 1084B000; |
00000096 : 10D30000; |
00000097 : 10B90000; |
00000098 : 30E00010; |
00000099 : B9F4FE88; |
0000009A : 32730010; |
0000009B : AA530040; |
0000009C : BE32FFCC; |
0000009D : 32D60001; |
0000009E : 32600001; |
0000009F : 12D30000; |
000000A0 : 12E00000; |
000000A1 : 33410020; |
000000A2 : 33010060; |
000000A3 : 10B90000; |
000000A4 : 10D70000; |
000000A5 : B9F4FF04; |
000000A6 : 30E00010; |
000000A7 : 10BA0000; |
000000A8 : 10C00000; |
000000A9 : E8850000; |
000000AA : 30A50004; |
000000AB : 88843000; |
000000AC : 14640000; |
000000AD : 80632000; |
000000AE : A863FFFF; |
000000AF : 6463021F; |
000000B0 : 10C6B000; |
000000B1 : 16582800; |
000000B2 : BE32FFDC; |
000000B3 : 86731800; |
000000B4 : 32F70010; |
000000B5 : AA570040; |
000000B6 : BE32FFB4; |
000000B7 : 32D60001; |
000000B8 : B0004100; |
000000B9 : FA602004; |
000000BA : B8000000; |
000000BB : B8000008; |
000000BC : 80000000; |
000000BD : BE25FFFC; |
000000BE : 30A5FFFF; |
000000BF : B60F0008; |
000000C0 : 30A50001; |
000000C1 : B6110000; |
000000C2 : 80000000; |
000000C3 : B6910000; |
000000C4 : 80000000; |
000000C5 : B62E0000; |
000000C6 : 80000000; |
000000C7 : B60F0008; |
000000C8 : 80000000; |
000000C9 : B60F0008; |
000000CA : 80000000; |
000000CB : 3021FFE0; |
000000CC : 10C00000; |
000000CD : FA61001C; |
000000CE : F9E10000; |
000000CF : B9F40024; |
000000D0 : 12650000; |
000000D1 : E8A00534; |
000000D2 : E8650028; |
000000D3 : BC03000C; |
000000D4 : 99FC1800; |
000000D5 : 80000000; |
000000D6 : B9F4FD14; |
000000D7 : 10B30000; |
000000D8 : E8600534; |
000000D9 : 3021FFC8; |
000000DA : FB410030; |
000000DB : FB610034; |
000000DC : F9E10000; |
000000DD : FA61001C; |
000000DE : FAC10020; |
000000DF : FAE10024; |
000000E0 : FB010028; |
000000E1 : FB21002C; |
000000E2 : EB030048; |
000000E3 : 13650000; |
000000E4 : BE180050; |
000000E5 : 13460000; |
000000E6 : E8780004; |
000000E7 : EB380088; |
000000E8 : 3263FFFF; |
000000E9 : BC53003C; |
000000EA : 64930402; |
000000EB : 30640008; |
000000EC : 12D81800; |
000000ED : BE060074; |
000000EE : 12F92000; |
000000EF : BC1900C0; |
000000F0 : E8770080; |
000000F1 : 1643D000; |
000000F2 : BC1200EC; |
000000F3 : 3273FFFF; |
000000F4 : 32F7FFFC; |
000000F5 : AA53FFFF; |
000000F6 : BE32FFE8; |
000000F7 : 32D6FFFC; |
000000F8 : E9E10000; |
000000F9 : EA61001C; |
000000FA : EAC10020; |
000000FB : EAE10024; |
000000FC : EB010028; |
000000FD : EB21002C; |
000000FE : EB410030; |
000000FF : EB610034; |
00000100 : B60F0008; |
00000101 : 30210038; |
00000102 : E8B70000; |
00000103 : 99FC3800; |
00000104 : 80000000; |
00000105 : 3273FFFF; |
00000106 : 32F7FFFC; |
00000107 : AA53FFFF; |
00000108 : BE12FFC0; |
00000109 : 32D6FFFC; |
0000010A : E8780004; |
0000010B : E8F60000; |
0000010C : 3063FFFF; |
0000010D : 16439800; |
0000010E : BC120074; |
0000010F : F8160000; |
00000110 : BC07FFD4; |
00000111 : BE190058; |
00000112 : 30800001; |
00000113 : E8790100; |
00000114 : 44849C00; |
00000115 : 84641800; |
00000116 : BC030044; |
00000117 : E8790104; |
00000118 : 84641800; |
00000119 : BC23FFA4; |
0000011A : E8D70000; |
0000011B : 99FC3800; |
0000011C : 10BB0000; |
0000011D : B810FFA4; |
0000011E : 3273FFFF; |
0000011F : 3273FFFF; |
00000120 : AA53FFFF; |
00000121 : BE12FF5C; |
00000122 : 3273FFFF; |
00000123 : AA53FFFF; |
00000124 : BE32FFF0; |
00000125 : 3273FFFF; |
00000126 : B800FF48; |
00000127 : 99FC3800; |
00000128 : 3273FFFF; |
00000129 : B810FF78; |
0000012A : 32F7FFFC; |
0000012B : FA780004; |
0000012C : B800FF90; |
0000012D : E8780004; |
0000012E : E8F60000; |
0000012F : 3063FFFF; |
00000130 : 16439800; |
00000131 : BC120054; |
00000132 : F8160000; |
00000133 : BC07FF00; |
00000134 : BC190038; |
00000135 : 30800001; |
00000136 : E8790100; |
00000137 : 44849C00; |
00000138 : 84641800; |
00000139 : BC030024; |
0000013A : E8790104; |
0000013B : 84641800; |
0000013C : BC230030; |
0000013D : E8D70000; |
0000013E : 99FC3800; |
0000013F : 10BB0000; |
00000140 : B810FED0; |
00000141 : 3273FFFF; |
00000142 : 99FC3800; |
00000143 : 3273FFFF; |
00000144 : B810FEC4; |
00000145 : 32F7FFFC; |
00000146 : FA780004; |
00000147 : B800FFB0; |
00000148 : E8B70000; |
00000149 : 99FC3800; |
0000014A : 3273FFFF; |
0000014B : B810FEA8; |
0000014C : 32F7FFFC; |
0000014D : 00000544; |
0000014E : 43000000; |
0000014F : 00000000; |
00000150 : 00000544; |
[00000151..00000158] : 00000000; |
00000159 : 00000538; |
[0000015A..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu01_01.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B000004C; |
00000049 : 30A04B40; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B000004C; |
0000004E : 30A04B40; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B000004C; |
00000058 : 30A04B40; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B000004C; |
0000005D : 30A04B40; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu02_00.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000003; |
00000049 : 30A00D40; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000003; |
0000004E : 30A00D40; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000003; |
00000058 : 30A00D40; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000003; |
0000005D : 30A00D40; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu00_03.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu01_02.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B000002D; |
00000049 : 30A0C6C0; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B000002D; |
0000004E : 30A0C6C0; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B000002D; |
00000058 : 30A0C6C0; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B000002D; |
0000005D : 30A0C6C0; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu02_01.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B8080128; |
00000002 : B8080254; |
00000003 : 00000000; |
00000004 : B8080138; |
00000004 : B8080264; |
[00000005..00000007] : 00000000; |
00000008 : B8080130; |
00000008 : B808025C; |
[00000009..00000013] : 00000000; |
00000014 : 31A00458; |
00000015 : 30400360; |
00000016 : 30200CC8; |
00000014 : 31A00588; |
00000015 : 30400490; |
00000016 : 30200DF8; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F400EC; |
00000019 : B9F40218; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00458; |
0000001F : 20E00458; |
0000001E : 20C00588; |
0000001F : 20E00588; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00458; |
00000027 : 20E004D4; |
00000026 : 20C00588; |
00000027 : 20E00604; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,178 → 40,254
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F40090; |
0000002E : B9F401BC; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F40024; |
00000032 : B9F400AC; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F4006C; |
00000035 : B9F40198; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B00000F8; |
0000003C : 30800458; |
0000003D : B0004000; |
0000003E : E8600008; |
0000003F : A4630010; |
00000040 : BC03FFF4; |
00000041 : B0004000; |
00000042 : F8800000; |
00000043 : B800FFE8; |
00000044 : B8000008; |
00000045 : 80000000; |
00000046 : BE25FFFC; |
00000047 : 30A5FFFF; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B6110000; |
0000004B : 80000000; |
0000004C : B6910000; |
0000004D : 80000000; |
0000004E : B62E0000; |
0000004F : 80000000; |
00000050 : B60F0008; |
00000051 : 80000000; |
00000052 : B60F0008; |
00000053 : 80000000; |
00000054 : 3021FFE0; |
00000055 : 10C00000; |
00000056 : FA61001C; |
00000057 : F9E10000; |
00000058 : B9F40024; |
00000059 : 12650000; |
0000005A : E8A00358; |
0000005B : E8650028; |
0000005C : BC03000C; |
0000005D : 99FC1800; |
0000005E : 80000000; |
0000005F : B9F4FEF0; |
00000060 : 10B30000; |
00000061 : E8600358; |
00000062 : 3021FFC8; |
00000063 : FB410030; |
00000064 : FB610034; |
00000065 : F9E10000; |
00000066 : FA61001C; |
00000067 : FAC10020; |
00000068 : FAE10024; |
00000069 : FB010028; |
0000006A : FB21002C; |
0000006B : EB030048; |
0000006C : 13650000; |
0000006D : BE180050; |
0000006E : 13460000; |
0000006F : E8780004; |
00000070 : EB380088; |
00000071 : 3263FFFF; |
00000072 : BC53003C; |
00000073 : 64930402; |
00000074 : 30640008; |
00000075 : 12D81800; |
00000076 : BE060074; |
00000077 : 12F92000; |
00000078 : BC1900C0; |
00000079 : E8770080; |
0000007A : 1643D000; |
0000007B : BC1200EC; |
0000007C : 3273FFFF; |
0000007D : 32F7FFFC; |
0000007E : AA53FFFF; |
0000007F : BE32FFE8; |
00000080 : 32D6FFFC; |
00000081 : E9E10000; |
00000082 : EA61001C; |
00000083 : EAC10020; |
00000084 : EAE10024; |
00000085 : EB010028; |
00000086 : EB21002C; |
00000087 : EB410030; |
00000088 : EB610034; |
00000089 : B60F0008; |
0000008A : 30210038; |
0000008B : E8B70000; |
0000008C : 99FC3800; |
0000008D : 80000000; |
0000008E : 3273FFFF; |
0000008F : 32F7FFFC; |
00000090 : AA53FFFF; |
00000091 : BE12FFC0; |
00000092 : 32D6FFFC; |
00000093 : E8780004; |
00000094 : E8F60000; |
00000095 : 3063FFFF; |
00000096 : 16439800; |
00000097 : BC120074; |
00000098 : F8160000; |
00000099 : BC07FFD4; |
0000009A : BE190058; |
0000009B : 30800001; |
0000009C : E8790100; |
0000009D : 44849C00; |
0000009E : 84641800; |
0000009F : BC030044; |
000000A0 : E8790104; |
000000A1 : 84641800; |
000000A2 : BC23FFA4; |
000000A3 : E8D70000; |
000000A4 : 99FC3800; |
000000A5 : 10BB0000; |
000000A6 : B810FFA4; |
000000A7 : 3273FFFF; |
000000A8 : 3273FFFF; |
000000A9 : AA53FFFF; |
000000AA : BE12FF5C; |
000000AB : 3273FFFF; |
000000AC : AA53FFFF; |
000000AD : BE32FFF0; |
000000AE : 3273FFFF; |
000000AF : B800FF48; |
000000B0 : 99FC3800; |
000000B1 : 3273FFFF; |
000000B2 : B810FF78; |
000000B3 : 32F7FFFC; |
000000B4 : FA780004; |
000000B5 : B800FF90; |
000000B6 : E8780004; |
000000B7 : E8F60000; |
000000B8 : 3063FFFF; |
000000B9 : 16439800; |
000000BA : BC120054; |
000000BB : F8160000; |
000000BC : BC07FF00; |
000000BD : BC190038; |
000000BE : 30800001; |
000000BF : E8790100; |
000000C0 : 44849C00; |
000000C1 : 84641800; |
000000C2 : BC030024; |
000000C3 : E8790104; |
000000C4 : 84641800; |
000000C5 : BC230030; |
000000C6 : E8D70000; |
000000C7 : 99FC3800; |
000000C8 : 10BB0000; |
000000C9 : B810FED0; |
000000CA : 3273FFFF; |
000000CB : 99FC3800; |
000000CC : 3273FFFF; |
000000CD : B810FEC4; |
000000CE : 32F7FFFC; |
000000CF : FA780004; |
000000D0 : B800FFB0; |
000000D1 : E8B70000; |
000000D2 : 99FC3800; |
000000D3 : 3273FFFF; |
000000D4 : B810FEA8; |
000000D5 : 32F7FFFC; |
000000D6 : 00000364; |
000000D7 : 43000000; |
000000D8 : 00000364; |
[000000D9..000000E0] : 00000000; |
000000E1 : 0000035C; |
[000000E2..00000FFF] : 00000000; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 30E70001; |
00000042 : B0000440; |
00000043 : 30600003; |
00000044 : 64E70413; |
00000045 : F8C50004; |
00000046 : F8650000; |
00000047 : 10E72800; |
00000048 : 3021FFF0; |
00000049 : B0004000; |
0000004A : F8E00004; |
0000004B : B0004000; |
0000004C : E8600008; |
0000004D : A4630001; |
0000004E : BC03FFF4; |
0000004F : B0004000; |
00000050 : E8600008; |
00000051 : A4630010; |
00000052 : BC03FFF4; |
00000053 : B0000018; |
00000054 : 30610004; |
00000055 : B0004000; |
00000056 : F8600000; |
00000057 : B0004000; |
00000058 : E8600008; |
00000059 : A4630002; |
0000005A : BC03FFF4; |
0000005B : B60F0008; |
0000005C : 30210010; |
0000005D : B00000F8; |
0000005E : 30800588; |
0000005F : B0004000; |
00000060 : E8600008; |
00000061 : A4630010; |
00000062 : BC03FFF4; |
00000063 : B0004000; |
00000064 : F8800000; |
00000065 : B0004000; |
00000066 : E8600008; |
00000067 : A4630002; |
00000068 : BC03FFF4; |
00000069 : B800FFD8; |
0000006A : B0000440; |
0000006B : 30600000; |
0000006C : F8C50004; |
0000006D : F8E50008; |
0000006E : F8650000; |
0000006F : B0000010; |
00000070 : 30850000; |
00000071 : B0004000; |
00000072 : F8800004; |
00000073 : B0004000; |
00000074 : E8600008; |
00000075 : A4630001; |
00000076 : BC03FFF4; |
00000077 : B0004000; |
00000078 : E8600008; |
00000079 : A4630010; |
0000007A : BE03FFF4; |
0000007B : 30670001; |
0000007C : 64630413; |
0000007D : 10651800; |
0000007E : B0004000; |
0000007F : F8600000; |
00000080 : B0004000; |
00000081 : E8600008; |
00000082 : A4630002; |
00000083 : BC03FFF4; |
00000084 : B60F0008; |
00000085 : 80000000; |
00000086 : 30E70001; |
00000087 : B0000440; |
00000088 : 30600001; |
00000089 : 64E70413; |
0000008A : F8C50004; |
0000008B : F8650000; |
0000008C : 10E72800; |
0000008D : B0004000; |
0000008E : F8E00004; |
0000008F : B0004000; |
00000090 : E8600008; |
00000091 : A4630001; |
00000092 : BC03FFF4; |
00000093 : B60F0008; |
00000094 : 80000000; |
00000095 : B6110000; |
00000096 : 80000000; |
00000097 : B6910000; |
00000098 : 80000000; |
00000099 : B62E0000; |
0000009A : 80000000; |
0000009B : B60F0008; |
0000009C : 80000000; |
0000009D : B60F0008; |
0000009E : 80000000; |
0000009F : 3021FFE0; |
000000A0 : 10C00000; |
000000A1 : FA61001C; |
000000A2 : F9E10000; |
000000A3 : B9F40024; |
000000A4 : 12650000; |
000000A5 : E8A00484; |
000000A6 : E8650028; |
000000A7 : BC03000C; |
000000A8 : 99FC1800; |
000000A9 : 80000000; |
000000AA : B9F4FDC4; |
000000AB : 10B30000; |
000000AC : E8600484; |
000000AD : 3021FFC8; |
000000AE : FB410030; |
000000AF : FB610034; |
000000B0 : F9E10000; |
000000B1 : FA61001C; |
000000B2 : FAC10020; |
000000B3 : FAE10024; |
000000B4 : FB010028; |
000000B5 : FB21002C; |
000000B6 : EB030048; |
000000B7 : 13650000; |
000000B8 : BE180050; |
000000B9 : 13460000; |
000000BA : E8780004; |
000000BB : EB380088; |
000000BC : 3263FFFF; |
000000BD : BC53003C; |
000000BE : 64930402; |
000000BF : 30640008; |
000000C0 : 12D81800; |
000000C1 : BE060074; |
000000C2 : 12F92000; |
000000C3 : BC1900C0; |
000000C4 : E8770080; |
000000C5 : 1643D000; |
000000C6 : BC1200EC; |
000000C7 : 3273FFFF; |
000000C8 : 32F7FFFC; |
000000C9 : AA53FFFF; |
000000CA : BE32FFE8; |
000000CB : 32D6FFFC; |
000000CC : E9E10000; |
000000CD : EA61001C; |
000000CE : EAC10020; |
000000CF : EAE10024; |
000000D0 : EB010028; |
000000D1 : EB21002C; |
000000D2 : EB410030; |
000000D3 : EB610034; |
000000D4 : B60F0008; |
000000D5 : 30210038; |
000000D6 : E8B70000; |
000000D7 : 99FC3800; |
000000D8 : 80000000; |
000000D9 : 3273FFFF; |
000000DA : 32F7FFFC; |
000000DB : AA53FFFF; |
000000DC : BE12FFC0; |
000000DD : 32D6FFFC; |
000000DE : E8780004; |
000000DF : E8F60000; |
000000E0 : 3063FFFF; |
000000E1 : 16439800; |
000000E2 : BC120074; |
000000E3 : F8160000; |
000000E4 : BC07FFD4; |
000000E5 : BE190058; |
000000E6 : 30800001; |
000000E7 : E8790100; |
000000E8 : 44849C00; |
000000E9 : 84641800; |
000000EA : BC030044; |
000000EB : E8790104; |
000000EC : 84641800; |
000000ED : BC23FFA4; |
000000EE : E8D70000; |
000000EF : 99FC3800; |
000000F0 : 10BB0000; |
000000F1 : B810FFA4; |
000000F2 : 3273FFFF; |
000000F3 : 3273FFFF; |
000000F4 : AA53FFFF; |
000000F5 : BE12FF5C; |
000000F6 : 3273FFFF; |
000000F7 : AA53FFFF; |
000000F8 : BE32FFF0; |
000000F9 : 3273FFFF; |
000000FA : B800FF48; |
000000FB : 99FC3800; |
000000FC : 3273FFFF; |
000000FD : B810FF78; |
000000FE : 32F7FFFC; |
000000FF : FA780004; |
00000100 : B800FF90; |
00000101 : E8780004; |
00000102 : E8F60000; |
00000103 : 3063FFFF; |
00000104 : 16439800; |
00000105 : BC120054; |
00000106 : F8160000; |
00000107 : BC07FF00; |
00000108 : BC190038; |
00000109 : 30800001; |
0000010A : E8790100; |
0000010B : 44849C00; |
0000010C : 84641800; |
0000010D : BC030024; |
0000010E : E8790104; |
0000010F : 84641800; |
00000110 : BC230030; |
00000111 : E8D70000; |
00000112 : 99FC3800; |
00000113 : 10BB0000; |
00000114 : B810FED0; |
00000115 : 3273FFFF; |
00000116 : 99FC3800; |
00000117 : 3273FFFF; |
00000118 : B810FEC4; |
00000119 : 32F7FFFC; |
0000011A : FA780004; |
0000011B : B800FFB0; |
0000011C : E8B70000; |
0000011D : 99FC3800; |
0000011E : 3273FFFF; |
0000011F : B810FEA8; |
00000120 : 32F7FFFC; |
00000121 : 00000494; |
00000122 : 43000000; |
00000123 : 00000000; |
00000124 : 00000494; |
[00000125..0000012C] : 00000000; |
0000012D : 00000488; |
[0000012E..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu03_00.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu01_03.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu02_02.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu03_01.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B8080128; |
00000002 : B8080254; |
00000003 : 00000000; |
00000004 : B8080138; |
00000004 : B8080264; |
[00000005..00000007] : 00000000; |
00000008 : B8080130; |
00000008 : B808025C; |
[00000009..00000013] : 00000000; |
00000014 : 31A00458; |
00000015 : 30400360; |
00000016 : 30200CC8; |
00000014 : 31A00588; |
00000015 : 30400490; |
00000016 : 30200DF8; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F400EC; |
00000019 : B9F40218; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00458; |
0000001F : 20E00458; |
0000001E : 20C00588; |
0000001F : 20E00588; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00458; |
00000027 : 20E004D4; |
00000026 : 20C00588; |
00000027 : 20E00604; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,178 → 40,254
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F40090; |
0000002E : B9F401BC; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F40024; |
00000032 : B9F400AC; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F4006C; |
00000035 : B9F40198; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B00000F8; |
0000003C : 30800458; |
0000003D : B0004000; |
0000003E : E8600008; |
0000003F : A4630010; |
00000040 : BC03FFF4; |
00000041 : B0004000; |
00000042 : F8800000; |
00000043 : B800FFE8; |
00000044 : B8000008; |
00000045 : 80000000; |
00000046 : BE25FFFC; |
00000047 : 30A5FFFF; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B6110000; |
0000004B : 80000000; |
0000004C : B6910000; |
0000004D : 80000000; |
0000004E : B62E0000; |
0000004F : 80000000; |
00000050 : B60F0008; |
00000051 : 80000000; |
00000052 : B60F0008; |
00000053 : 80000000; |
00000054 : 3021FFE0; |
00000055 : 10C00000; |
00000056 : FA61001C; |
00000057 : F9E10000; |
00000058 : B9F40024; |
00000059 : 12650000; |
0000005A : E8A00358; |
0000005B : E8650028; |
0000005C : BC03000C; |
0000005D : 99FC1800; |
0000005E : 80000000; |
0000005F : B9F4FEF0; |
00000060 : 10B30000; |
00000061 : E8600358; |
00000062 : 3021FFC8; |
00000063 : FB410030; |
00000064 : FB610034; |
00000065 : F9E10000; |
00000066 : FA61001C; |
00000067 : FAC10020; |
00000068 : FAE10024; |
00000069 : FB010028; |
0000006A : FB21002C; |
0000006B : EB030048; |
0000006C : 13650000; |
0000006D : BE180050; |
0000006E : 13460000; |
0000006F : E8780004; |
00000070 : EB380088; |
00000071 : 3263FFFF; |
00000072 : BC53003C; |
00000073 : 64930402; |
00000074 : 30640008; |
00000075 : 12D81800; |
00000076 : BE060074; |
00000077 : 12F92000; |
00000078 : BC1900C0; |
00000079 : E8770080; |
0000007A : 1643D000; |
0000007B : BC1200EC; |
0000007C : 3273FFFF; |
0000007D : 32F7FFFC; |
0000007E : AA53FFFF; |
0000007F : BE32FFE8; |
00000080 : 32D6FFFC; |
00000081 : E9E10000; |
00000082 : EA61001C; |
00000083 : EAC10020; |
00000084 : EAE10024; |
00000085 : EB010028; |
00000086 : EB21002C; |
00000087 : EB410030; |
00000088 : EB610034; |
00000089 : B60F0008; |
0000008A : 30210038; |
0000008B : E8B70000; |
0000008C : 99FC3800; |
0000008D : 80000000; |
0000008E : 3273FFFF; |
0000008F : 32F7FFFC; |
00000090 : AA53FFFF; |
00000091 : BE12FFC0; |
00000092 : 32D6FFFC; |
00000093 : E8780004; |
00000094 : E8F60000; |
00000095 : 3063FFFF; |
00000096 : 16439800; |
00000097 : BC120074; |
00000098 : F8160000; |
00000099 : BC07FFD4; |
0000009A : BE190058; |
0000009B : 30800001; |
0000009C : E8790100; |
0000009D : 44849C00; |
0000009E : 84641800; |
0000009F : BC030044; |
000000A0 : E8790104; |
000000A1 : 84641800; |
000000A2 : BC23FFA4; |
000000A3 : E8D70000; |
000000A4 : 99FC3800; |
000000A5 : 10BB0000; |
000000A6 : B810FFA4; |
000000A7 : 3273FFFF; |
000000A8 : 3273FFFF; |
000000A9 : AA53FFFF; |
000000AA : BE12FF5C; |
000000AB : 3273FFFF; |
000000AC : AA53FFFF; |
000000AD : BE32FFF0; |
000000AE : 3273FFFF; |
000000AF : B800FF48; |
000000B0 : 99FC3800; |
000000B1 : 3273FFFF; |
000000B2 : B810FF78; |
000000B3 : 32F7FFFC; |
000000B4 : FA780004; |
000000B5 : B800FF90; |
000000B6 : E8780004; |
000000B7 : E8F60000; |
000000B8 : 3063FFFF; |
000000B9 : 16439800; |
000000BA : BC120054; |
000000BB : F8160000; |
000000BC : BC07FF00; |
000000BD : BC190038; |
000000BE : 30800001; |
000000BF : E8790100; |
000000C0 : 44849C00; |
000000C1 : 84641800; |
000000C2 : BC030024; |
000000C3 : E8790104; |
000000C4 : 84641800; |
000000C5 : BC230030; |
000000C6 : E8D70000; |
000000C7 : 99FC3800; |
000000C8 : 10BB0000; |
000000C9 : B810FED0; |
000000CA : 3273FFFF; |
000000CB : 99FC3800; |
000000CC : 3273FFFF; |
000000CD : B810FEC4; |
000000CE : 32F7FFFC; |
000000CF : FA780004; |
000000D0 : B800FFB0; |
000000D1 : E8B70000; |
000000D2 : 99FC3800; |
000000D3 : 3273FFFF; |
000000D4 : B810FEA8; |
000000D5 : 32F7FFFC; |
000000D6 : 00000364; |
000000D7 : 43000000; |
000000D8 : 00000364; |
[000000D9..000000E0] : 00000000; |
000000E1 : 0000035C; |
[000000E2..00000FFF] : 00000000; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 30E70001; |
00000042 : B0000440; |
00000043 : 30600003; |
00000044 : 64E70413; |
00000045 : F8C50004; |
00000046 : F8650000; |
00000047 : 10E72800; |
00000048 : 3021FFF0; |
00000049 : B0004000; |
0000004A : F8E00004; |
0000004B : B0004000; |
0000004C : E8600008; |
0000004D : A4630001; |
0000004E : BC03FFF4; |
0000004F : B0004000; |
00000050 : E8600008; |
00000051 : A4630010; |
00000052 : BC03FFF4; |
00000053 : B0000018; |
00000054 : 30610004; |
00000055 : B0004000; |
00000056 : F8600000; |
00000057 : B0004000; |
00000058 : E8600008; |
00000059 : A4630002; |
0000005A : BC03FFF4; |
0000005B : B60F0008; |
0000005C : 30210010; |
0000005D : B00000F8; |
0000005E : 30800588; |
0000005F : B0004000; |
00000060 : E8600008; |
00000061 : A4630010; |
00000062 : BC03FFF4; |
00000063 : B0004000; |
00000064 : F8800000; |
00000065 : B0004000; |
00000066 : E8600008; |
00000067 : A4630002; |
00000068 : BC03FFF4; |
00000069 : B800FFD8; |
0000006A : B0000440; |
0000006B : 30600000; |
0000006C : F8C50004; |
0000006D : F8E50008; |
0000006E : F8650000; |
0000006F : B0000010; |
00000070 : 30850000; |
00000071 : B0004000; |
00000072 : F8800004; |
00000073 : B0004000; |
00000074 : E8600008; |
00000075 : A4630001; |
00000076 : BC03FFF4; |
00000077 : B0004000; |
00000078 : E8600008; |
00000079 : A4630010; |
0000007A : BE03FFF4; |
0000007B : 30670001; |
0000007C : 64630413; |
0000007D : 10651800; |
0000007E : B0004000; |
0000007F : F8600000; |
00000080 : B0004000; |
00000081 : E8600008; |
00000082 : A4630002; |
00000083 : BC03FFF4; |
00000084 : B60F0008; |
00000085 : 80000000; |
00000086 : 30E70001; |
00000087 : B0000440; |
00000088 : 30600001; |
00000089 : 64E70413; |
0000008A : F8C50004; |
0000008B : F8650000; |
0000008C : 10E72800; |
0000008D : B0004000; |
0000008E : F8E00004; |
0000008F : B0004000; |
00000090 : E8600008; |
00000091 : A4630001; |
00000092 : BC03FFF4; |
00000093 : B60F0008; |
00000094 : 80000000; |
00000095 : B6110000; |
00000096 : 80000000; |
00000097 : B6910000; |
00000098 : 80000000; |
00000099 : B62E0000; |
0000009A : 80000000; |
0000009B : B60F0008; |
0000009C : 80000000; |
0000009D : B60F0008; |
0000009E : 80000000; |
0000009F : 3021FFE0; |
000000A0 : 10C00000; |
000000A1 : FA61001C; |
000000A2 : F9E10000; |
000000A3 : B9F40024; |
000000A4 : 12650000; |
000000A5 : E8A00484; |
000000A6 : E8650028; |
000000A7 : BC03000C; |
000000A8 : 99FC1800; |
000000A9 : 80000000; |
000000AA : B9F4FDC4; |
000000AB : 10B30000; |
000000AC : E8600484; |
000000AD : 3021FFC8; |
000000AE : FB410030; |
000000AF : FB610034; |
000000B0 : F9E10000; |
000000B1 : FA61001C; |
000000B2 : FAC10020; |
000000B3 : FAE10024; |
000000B4 : FB010028; |
000000B5 : FB21002C; |
000000B6 : EB030048; |
000000B7 : 13650000; |
000000B8 : BE180050; |
000000B9 : 13460000; |
000000BA : E8780004; |
000000BB : EB380088; |
000000BC : 3263FFFF; |
000000BD : BC53003C; |
000000BE : 64930402; |
000000BF : 30640008; |
000000C0 : 12D81800; |
000000C1 : BE060074; |
000000C2 : 12F92000; |
000000C3 : BC1900C0; |
000000C4 : E8770080; |
000000C5 : 1643D000; |
000000C6 : BC1200EC; |
000000C7 : 3273FFFF; |
000000C8 : 32F7FFFC; |
000000C9 : AA53FFFF; |
000000CA : BE32FFE8; |
000000CB : 32D6FFFC; |
000000CC : E9E10000; |
000000CD : EA61001C; |
000000CE : EAC10020; |
000000CF : EAE10024; |
000000D0 : EB010028; |
000000D1 : EB21002C; |
000000D2 : EB410030; |
000000D3 : EB610034; |
000000D4 : B60F0008; |
000000D5 : 30210038; |
000000D6 : E8B70000; |
000000D7 : 99FC3800; |
000000D8 : 80000000; |
000000D9 : 3273FFFF; |
000000DA : 32F7FFFC; |
000000DB : AA53FFFF; |
000000DC : BE12FFC0; |
000000DD : 32D6FFFC; |
000000DE : E8780004; |
000000DF : E8F60000; |
000000E0 : 3063FFFF; |
000000E1 : 16439800; |
000000E2 : BC120074; |
000000E3 : F8160000; |
000000E4 : BC07FFD4; |
000000E5 : BE190058; |
000000E6 : 30800001; |
000000E7 : E8790100; |
000000E8 : 44849C00; |
000000E9 : 84641800; |
000000EA : BC030044; |
000000EB : E8790104; |
000000EC : 84641800; |
000000ED : BC23FFA4; |
000000EE : E8D70000; |
000000EF : 99FC3800; |
000000F0 : 10BB0000; |
000000F1 : B810FFA4; |
000000F2 : 3273FFFF; |
000000F3 : 3273FFFF; |
000000F4 : AA53FFFF; |
000000F5 : BE12FF5C; |
000000F6 : 3273FFFF; |
000000F7 : AA53FFFF; |
000000F8 : BE32FFF0; |
000000F9 : 3273FFFF; |
000000FA : B800FF48; |
000000FB : 99FC3800; |
000000FC : 3273FFFF; |
000000FD : B810FF78; |
000000FE : 32F7FFFC; |
000000FF : FA780004; |
00000100 : B800FF90; |
00000101 : E8780004; |
00000102 : E8F60000; |
00000103 : 3063FFFF; |
00000104 : 16439800; |
00000105 : BC120054; |
00000106 : F8160000; |
00000107 : BC07FF00; |
00000108 : BC190038; |
00000109 : 30800001; |
0000010A : E8790100; |
0000010B : 44849C00; |
0000010C : 84641800; |
0000010D : BC030024; |
0000010E : E8790104; |
0000010F : 84641800; |
00000110 : BC230030; |
00000111 : E8D70000; |
00000112 : 99FC3800; |
00000113 : 10BB0000; |
00000114 : B810FED0; |
00000115 : 3273FFFF; |
00000116 : 99FC3800; |
00000117 : 3273FFFF; |
00000118 : B810FEC4; |
00000119 : 32F7FFFC; |
0000011A : FA780004; |
0000011B : B800FFB0; |
0000011C : E8B70000; |
0000011D : 99FC3800; |
0000011E : 3273FFFF; |
0000011F : B810FEA8; |
00000120 : 32F7FFFC; |
00000121 : 00000494; |
00000122 : 43000000; |
00000123 : 00000000; |
00000124 : 00000494; |
[00000125..0000012C] : 00000000; |
0000012D : 00000488; |
[0000012E..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu02_03.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu03_02.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/ram/cpu03_03.mif
8,24 → 8,24
CONTENT BEGIN |
00000000 : B8080050; |
00000001 : 00000000; |
00000002 : B808014C; |
00000002 : B8080268; |
00000003 : 00000000; |
00000004 : B808015C; |
00000004 : B8080278; |
[00000005..00000007] : 00000000; |
00000008 : B8080154; |
00000008 : B8080270; |
[00000009..00000013] : 00000000; |
00000014 : 31A00480; |
00000015 : 30400388; |
00000016 : 30200C70; |
00000014 : 31A00598; |
00000015 : 304004A0; |
00000016 : 30200D88; |
00000017 : B9F40014; |
00000018 : 80000000; |
00000019 : B9F40110; |
00000019 : B9F4022C; |
0000001A : 30A30000; |
0000001B : B8000000; |
0000001C : 2021FFEC; |
0000001D : F9E10000; |
0000001E : 20C00480; |
0000001F : 20E00480; |
0000001E : 20C00598; |
0000001F : 20E00598; |
00000020 : 06463800; |
00000021 : BC720014; |
00000022 : F8060000; |
32,8 → 32,8
00000023 : 20C60004; |
00000024 : 06463800; |
00000025 : BC92FFF4; |
00000026 : 20C00480; |
00000027 : 20E00480; |
00000026 : 20C00598; |
00000027 : 20E00598; |
00000028 : 06463800; |
00000029 : BC720014; |
0000002A : F8060000; |
40,188 → 40,258
0000002B : 20C60004; |
0000002C : 06463800; |
0000002D : BC92FFF4; |
0000002E : B9F400B4; |
0000002E : B9F401D0; |
0000002F : 80000000; |
00000030 : 20C00000; |
00000031 : 20E00000; |
00000032 : B9F4003C; |
00000032 : B9F40078; |
00000033 : 20A00000; |
00000034 : 32630000; |
00000035 : B9F40090; |
00000035 : B9F401AC; |
00000036 : 80000000; |
00000037 : C9E10000; |
00000038 : 30730000; |
00000039 : B60F0008; |
0000003A : 20210014; |
0000003B : B8000008; |
0000003C : 80000000; |
0000003D : BE25FFFC; |
0000003E : 30A5FFFF; |
0000003F : B60F0008; |
00000040 : 80000000; |
00000041 : 3021FFDC; |
00000042 : FA61001C; |
00000043 : B0004100; |
00000044 : 32602004; |
00000045 : FAC10020; |
00000046 : F9E10000; |
00000047 : 32C00001; |
00000048 : B0000000; |
00000049 : 30A0C350; |
0000004A : FAD30000; |
0000004B : B9F4FFC0; |
0000004C : 80000000; |
0000004D : B0000000; |
0000004E : 30A0C350; |
0000004F : F8130000; |
00000050 : B9F4FFAC; |
00000051 : 80000000; |
00000052 : B800FFD8; |
00000053 : B6110000; |
00000054 : 80000000; |
00000055 : B6910000; |
00000056 : 80000000; |
00000057 : B62E0000; |
00000058 : 80000000; |
00000059 : B60F0008; |
0000005A : 80000000; |
0000005B : B60F0008; |
0000005C : 80000000; |
0000005D : 3021FFE0; |
0000005E : 10C00000; |
0000005F : FA61001C; |
00000060 : F9E10000; |
00000061 : B9F40024; |
00000062 : 12650000; |
00000063 : E8A0037C; |
00000064 : E8650028; |
00000065 : BC03000C; |
00000066 : 99FC1800; |
00000067 : 80000000; |
00000068 : B9F4FECC; |
00000069 : 10B30000; |
0000006A : E860037C; |
0000006B : 3021FFC8; |
0000006C : FB410030; |
0000006D : FB610034; |
0000006E : F9E10000; |
0000006F : FA61001C; |
00000070 : FAC10020; |
00000071 : FAE10024; |
00000072 : FB010028; |
00000073 : FB21002C; |
00000074 : EB030048; |
00000075 : 13650000; |
00000076 : BE180050; |
00000077 : 13460000; |
00000078 : E8780004; |
00000079 : EB380088; |
0000007A : 3263FFFF; |
0000007B : BC53003C; |
0000007C : 64930402; |
0000007D : 30640008; |
0000007E : 12D81800; |
0000007F : BE060074; |
00000080 : 12F92000; |
00000081 : BC1900C0; |
00000082 : E8770080; |
00000083 : 1643D000; |
00000084 : BC1200EC; |
00000085 : 3273FFFF; |
00000086 : 32F7FFFC; |
00000087 : AA53FFFF; |
00000088 : BE32FFE8; |
00000089 : 32D6FFFC; |
0000008A : E9E10000; |
0000008B : EA61001C; |
0000008C : EAC10020; |
0000008D : EAE10024; |
0000008E : EB010028; |
0000008F : EB21002C; |
00000090 : EB410030; |
00000091 : EB610034; |
00000092 : B60F0008; |
00000093 : 30210038; |
00000094 : E8B70000; |
00000095 : 99FC3800; |
00000096 : 80000000; |
00000097 : 3273FFFF; |
00000098 : 32F7FFFC; |
00000099 : AA53FFFF; |
0000009A : BE12FFC0; |
0000009B : 32D6FFFC; |
0000009C : E8780004; |
0000009D : E8F60000; |
0000009E : 3063FFFF; |
0000009F : 16439800; |
000000A0 : BC120074; |
000000A1 : F8160000; |
000000A2 : BC07FFD4; |
000000A3 : BE190058; |
000000A4 : 30800001; |
000000A5 : E8790100; |
000000A6 : 44849C00; |
000000A7 : 84641800; |
000000A8 : BC030044; |
000000A9 : E8790104; |
000000AA : 84641800; |
000000AB : BC23FFA4; |
000000AC : E8D70000; |
000000AD : 99FC3800; |
000000AE : 10BB0000; |
000000AF : B810FFA4; |
000000B0 : 3273FFFF; |
000000B1 : 3273FFFF; |
000000B2 : AA53FFFF; |
000000B3 : BE12FF5C; |
000000B4 : 3273FFFF; |
000000B5 : AA53FFFF; |
000000B6 : BE32FFF0; |
000000B7 : 3273FFFF; |
000000B8 : B800FF48; |
000000B9 : 99FC3800; |
000000BA : 3273FFFF; |
000000BB : B810FF78; |
000000BC : 32F7FFFC; |
000000BD : FA780004; |
000000BE : B800FF90; |
0000003B : 30E70001; |
0000003C : B0000440; |
0000003D : 30600001; |
0000003E : 64E70413; |
0000003F : F8C50004; |
00000040 : F8650000; |
00000041 : 10E72800; |
00000042 : B0004000; |
00000043 : F8E00004; |
00000044 : B0004000; |
00000045 : E8600008; |
00000046 : A4630001; |
00000047 : BC03FFF4; |
00000048 : B60F0008; |
00000049 : 80000000; |
0000004A : B8000008; |
0000004B : 80000000; |
0000004C : BE25FFFC; |
0000004D : 30A5FFFF; |
0000004E : B60F0008; |
0000004F : 80000000; |
00000050 : 3021FFDC; |
00000051 : FA61001C; |
00000052 : B0004100; |
00000053 : 32602004; |
00000054 : FAC10020; |
00000055 : F9E10000; |
00000056 : 32C00001; |
00000057 : B0000000; |
00000058 : 30A0C350; |
00000059 : FAD30000; |
0000005A : B9F4FFC0; |
0000005B : 80000000; |
0000005C : B0000000; |
0000005D : 30A0C350; |
0000005E : F8130000; |
0000005F : B9F4FFAC; |
00000060 : 80000000; |
00000061 : B800FFD8; |
00000062 : 30E70001; |
00000063 : B0000440; |
00000064 : 30600003; |
00000065 : 64E70413; |
00000066 : F8C50004; |
00000067 : F8650000; |
00000068 : 10E72800; |
00000069 : 3021FFF0; |
0000006A : B0004000; |
0000006B : F8E00004; |
0000006C : B0004000; |
0000006D : E8600008; |
0000006E : A4630001; |
0000006F : BC03FFF4; |
00000070 : B0004000; |
00000071 : E8600008; |
00000072 : A4630010; |
00000073 : BC03FFF4; |
00000074 : B0000018; |
00000075 : 30610004; |
00000076 : B0004000; |
00000077 : F8600000; |
00000078 : B0004000; |
00000079 : E8600008; |
0000007A : A4630002; |
0000007B : BC03FFF4; |
0000007C : B60F0008; |
0000007D : 30210010; |
0000007E : B0000440; |
0000007F : 30600000; |
00000080 : F8C50004; |
00000081 : F8E50008; |
00000082 : F8650000; |
00000083 : B0000010; |
00000084 : 30850000; |
00000085 : B0004000; |
00000086 : F8800004; |
00000087 : B0004000; |
00000088 : E8600008; |
00000089 : A4630001; |
0000008A : BC03FFF4; |
0000008B : B0004000; |
0000008C : E8600008; |
0000008D : A4630010; |
0000008E : BE03FFF4; |
0000008F : 30670001; |
00000090 : 64630413; |
00000091 : 10651800; |
00000092 : B0004000; |
00000093 : F8600000; |
00000094 : B0004000; |
00000095 : E8600008; |
00000096 : A4630002; |
00000097 : BC03FFF4; |
00000098 : B60F0008; |
00000099 : 80000000; |
0000009A : B6110000; |
0000009B : 80000000; |
0000009C : B6910000; |
0000009D : 80000000; |
0000009E : B62E0000; |
0000009F : 80000000; |
000000A0 : B60F0008; |
000000A1 : 80000000; |
000000A2 : B60F0008; |
000000A3 : 80000000; |
000000A4 : 3021FFE0; |
000000A5 : 10C00000; |
000000A6 : FA61001C; |
000000A7 : F9E10000; |
000000A8 : B9F40024; |
000000A9 : 12650000; |
000000AA : E8A00498; |
000000AB : E8650028; |
000000AC : BC03000C; |
000000AD : 99FC1800; |
000000AE : 80000000; |
000000AF : B9F4FDB0; |
000000B0 : 10B30000; |
000000B1 : E8600498; |
000000B2 : 3021FFC8; |
000000B3 : FB410030; |
000000B4 : FB610034; |
000000B5 : F9E10000; |
000000B6 : FA61001C; |
000000B7 : FAC10020; |
000000B8 : FAE10024; |
000000B9 : FB010028; |
000000BA : FB21002C; |
000000BB : EB030048; |
000000BC : 13650000; |
000000BD : BE180050; |
000000BE : 13460000; |
000000BF : E8780004; |
000000C0 : E8F60000; |
000000C1 : 3063FFFF; |
000000C2 : 16439800; |
000000C3 : BC120054; |
000000C4 : F8160000; |
000000C5 : BC07FF00; |
000000C6 : BC190038; |
000000C7 : 30800001; |
000000C8 : E8790100; |
000000C9 : 44849C00; |
000000CA : 84641800; |
000000CB : BC030024; |
000000CC : E8790104; |
000000CD : 84641800; |
000000CE : BC230030; |
000000CF : E8D70000; |
000000D0 : 99FC3800; |
000000D1 : 10BB0000; |
000000D2 : B810FED0; |
000000D3 : 3273FFFF; |
000000D4 : 99FC3800; |
000000D5 : 3273FFFF; |
000000D6 : B810FEC4; |
000000D7 : 32F7FFFC; |
000000D8 : FA780004; |
000000D9 : B800FFB0; |
000000DA : E8B70000; |
000000DB : 99FC3800; |
000000DC : 3273FFFF; |
000000DD : B810FEA8; |
000000DE : 32F7FFFC; |
000000DF : 0000038C; |
000000E0 : 43000000; |
000000E1 : 00000000; |
000000E2 : 0000038C; |
[000000E3..000000EA] : 00000000; |
000000EB : 00000380; |
[000000EC..00000FFF] : 00000000; |
000000C0 : EB380088; |
000000C1 : 3263FFFF; |
000000C2 : BC53003C; |
000000C3 : 64930402; |
000000C4 : 30640008; |
000000C5 : 12D81800; |
000000C6 : BE060074; |
000000C7 : 12F92000; |
000000C8 : BC1900C0; |
000000C9 : E8770080; |
000000CA : 1643D000; |
000000CB : BC1200EC; |
000000CC : 3273FFFF; |
000000CD : 32F7FFFC; |
000000CE : AA53FFFF; |
000000CF : BE32FFE8; |
000000D0 : 32D6FFFC; |
000000D1 : E9E10000; |
000000D2 : EA61001C; |
000000D3 : EAC10020; |
000000D4 : EAE10024; |
000000D5 : EB010028; |
000000D6 : EB21002C; |
000000D7 : EB410030; |
000000D8 : EB610034; |
000000D9 : B60F0008; |
000000DA : 30210038; |
000000DB : E8B70000; |
000000DC : 99FC3800; |
000000DD : 80000000; |
000000DE : 3273FFFF; |
000000DF : 32F7FFFC; |
000000E0 : AA53FFFF; |
000000E1 : BE12FFC0; |
000000E2 : 32D6FFFC; |
000000E3 : E8780004; |
000000E4 : E8F60000; |
000000E5 : 3063FFFF; |
000000E6 : 16439800; |
000000E7 : BC120074; |
000000E8 : F8160000; |
000000E9 : BC07FFD4; |
000000EA : BE190058; |
000000EB : 30800001; |
000000EC : E8790100; |
000000ED : 44849C00; |
000000EE : 84641800; |
000000EF : BC030044; |
000000F0 : E8790104; |
000000F1 : 84641800; |
000000F2 : BC23FFA4; |
000000F3 : E8D70000; |
000000F4 : 99FC3800; |
000000F5 : 10BB0000; |
000000F6 : B810FFA4; |
000000F7 : 3273FFFF; |
000000F8 : 3273FFFF; |
000000F9 : AA53FFFF; |
000000FA : BE12FF5C; |
000000FB : 3273FFFF; |
000000FC : AA53FFFF; |
000000FD : BE32FFF0; |
000000FE : 3273FFFF; |
000000FF : B800FF48; |
00000100 : 99FC3800; |
00000101 : 3273FFFF; |
00000102 : B810FF78; |
00000103 : 32F7FFFC; |
00000104 : FA780004; |
00000105 : B800FF90; |
00000106 : E8780004; |
00000107 : E8F60000; |
00000108 : 3063FFFF; |
00000109 : 16439800; |
0000010A : BC120054; |
0000010B : F8160000; |
0000010C : BC07FF00; |
0000010D : BC190038; |
0000010E : 30800001; |
0000010F : E8790100; |
00000110 : 44849C00; |
00000111 : 84641800; |
00000112 : BC030024; |
00000113 : E8790104; |
00000114 : 84641800; |
00000115 : BC230030; |
00000116 : E8D70000; |
00000117 : 99FC3800; |
00000118 : 10BB0000; |
00000119 : B810FED0; |
0000011A : 3273FFFF; |
0000011B : 99FC3800; |
0000011C : 3273FFFF; |
0000011D : B810FEC4; |
0000011E : 32F7FFFC; |
0000011F : FA780004; |
00000120 : B800FFB0; |
00000121 : E8B70000; |
00000122 : 99FC3800; |
00000123 : 3273FFFF; |
00000124 : B810FEA8; |
00000125 : 32F7FFFC; |
00000126 : 000004A4; |
00000127 : 43000000; |
00000128 : 000004A4; |
[00000129..00000130] : 00000000; |
00000131 : 0000049C; |
[00000132..00000FFF] : 00000000; |
END; |
/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/noc_based_mpsoc/sw/soc_code/soc.c
4,20 → 4,18
#include "system.h" |
|
|
#define EXT_INT_EN 1 |
#define TIMER_EN 1 |
#define EXT_INT_NUM 3 |
|
#define TIMER_INT (1<<(EXT_INT_NUM*EXT_INT_EN)) |
|
#define EXT_INT_1 (1<<0) |
#define EXT_INT_2 (1<<1) |
#define EXT_INT_3 (1<<2) |
|
#define EXT_INT_ALL (EXT_INT_1 | EXT_INT_2 | EXT_INT_3) // ((1<<EXT_INT_NUM)-1) |
|
|
const unsigned int seven_seg_tab [16] = {0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F,0x77,0x7C,0x39,0x5E, 0x79,0x71}; |
|
void delay(unsigned int); |
void ni_ISR ( void ); |
void timer_ISR( void ); |
void ext_int_ISR( void ); |
|
43,8 → 41,9
|
void myISR( void ) |
{ |
if( INTC_IPR & NI_INT ) ni_ISR(); |
if( INTC_IPR & TIMER_INT ) timer_ISR(); |
if( INTC_IPR & EXT_INT_ALL ) ext_int_ISR(); |
if( INTC_IPR & EXT_INT) ext_int_ISR(); |
INTC_IAR = INTC_IPR; // Acknowledge Interrupts |
} |
|
62,15 → 61,24
void ext_int_ISR( void ) |
{ |
// Do Stuff Here |
if(INTC_IPR & EXT_INT_1) i=0xDEADBEAF; |
if(INTC_IPR & EXT_INT_2) i=0x12345678; |
if(INTC_IPR & EXT_INT_3) i=0xAAAAAAAA; |
if(EXT_INT_ISR & EXT_INT_1) i=0xDEADBEAF; |
if(EXT_INT_ISR & EXT_INT_2) i=0x12345678; |
if(EXT_INT_ISR & EXT_INT_3) i=0xAAAAAAAA; |
EXT_INT_ISR = EXT_INT_ISR; |
// Clear any pending button interrupts |
} |
|
unsigned int ni_buffer [32]; |
|
void ni_ISR( void ) |
{ |
// Do Stuff Here |
save_pck (ni_buffer, 32); |
NIC_ST = NIC_ST; |
// Clear any pending button interrupts |
} |
|
|
int main() |
{ |
unsigned int j,hex_val; |
79,14 → 87,14
|
|
|
EXT_INT_IER_RISE=EXT_INT_ALL; |
EXT_INT_GER =0x3; |
EXT_INT_IER_RISE=EXT_INT_1 | EXT_INT_2 | EXT_INT_3; |
EXT_INT_GER = 0x3; |
|
TCMP0 = 50000000; |
TCSR0 = ( TIMER_EN | TIMER_INT_EN | TIMER_RST_ON_CMP); |
|
INTC_IER=EXT_INT_ALL|TIMER_INT; |
INTC_MER=0x3; |
INTC_IER= EXT_INT | TIMER_INT; |
INTC_MER= 0x3; |
|
|
|