URL
https://opencores.org/ocsvn/open_hitter/open_hitter/trunk
Subversion Repositories open_hitter
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 14 to Rev 15
- ↔ Reverse comparison
Rev 14 → Rev 15
/open_hitter/trunk/bench/vhdl/search_control_wrapper.vhd
0,0 → 1,236
--//////////////////////////////////////////////////////////////////// |
--// //// |
--// search_control_wrapper.vhd //// |
--// //// |
--// This file is part of the open_hitter opencores effort. //// |
--// <http://www.opencores.org/cores/open_hitter/> //// |
--// //// |
--// Module Description: //// |
--// Simulation program (non-synthesizable) //// |
--// Drives auto regression tests via NSEW button actions and //// |
--// NSEW LED reporting //// |
--// target env: ghdl <attrib required> //// |
--// //// |
--// To Do: //// |
--// //// |
--// Author(s): //// |
--// - Stephen Hawes //// |
--// //// |
--//////////////////////////////////////////////////////////////////// |
--// //// |
--// Copyright (C) 2015 Stephen Hawes and OPENCORES.ORG //// |
--// //// |
--// This source file may be used and distributed without //// |
--// restriction provided that this copyright statement is not //// |
--// removed from the file and that any derivative work contains //// |
--// the original copyright notice and the associated disclaimer. //// |
--// //// |
--// This source file is free software; you can redistribute it //// |
--// and/or modify it under the terms of the GNU Lesser General //// |
--// Public License as published by the Free Software Foundation; //// |
--// either version 2.1 of the License, or (at your option) any //// |
--// later version. //// |
--// //// |
--// This source is distributed in the hope that it will be //// |
--// useful, but WITHOUT ANY WARRANTY; without even the implied //// |
--// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// |
--// PURPOSE. See the GNU Lesser General Public License for more //// |
--// details. //// |
--// //// |
--// You should have received a copy of the GNU Lesser General //// |
--// Public License along with this source; if not, download it //// |
--// from <http://www.opencores.org/lgpl.shtml> //// |
--// //// |
--//////////////////////////////////////////////////////////////////// |
--// |
--// \$Id\$ TAKE OUT THE \'s and this comment in order to get this to work |
--// |
--// CVS Revision History |
--// |
--// \$Log\$ TAKE OUT THE \'s and this comment in order to get this to work |
--// |
library ieee; |
use ieee.std_logic_1164.all; |
use ieee.numeric_std.ALL; |
use std.textio.all; -- Imports the standard textio package. |
|
entity search_control_wrapper is |
end search_control_wrapper; |
|
architecture behaviour of search_control_wrapper is |
component search_control is |
generic ( searchitems : integer ); |
port ( |
RX_CLK: in std_logic; |
-- control flag(s) on the incoming bus |
search_px_valid_i: in std_logic; |
-- pxdata: in price_packet |
search_px_type_i: in std_logic_vector(4 downto 0); |
search_buy_sell_i: in std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
search_px_i: in std_logic_vector(15 downto 0); -- price |
search_qty_i: in std_logic_vector(15 downto 0); -- quantity |
search_sec_i: in std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
search_id_i: in std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- pxdata: out price_packet |
order_px_type_o: out std_logic_vector(4 downto 0); |
order_buy_sell_o: out std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
order_px_o: out std_logic_vector(15 downto 0); -- price |
order_qty_o: out std_logic_vector(15 downto 0); -- quantity |
order_sec_o: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
order_id_o: out std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- control flag(s) on the outgoing bus |
order_px_valid_o: out std_logic |
); |
end component; |
for search_control_0: search_control use entity work.search_control; |
signal RX_CLK: std_logic; |
-- control flag(s) on the incoming bus |
signal search_px_valid_i: std_logic; |
-- pxdata: in price_packet |
signal search_px_type_i: std_logic_vector(4 downto 0); |
signal search_buy_sell_i: std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
signal search_px_i: std_logic_vector(15 downto 0); -- price |
signal search_qty_i: std_logic_vector(15 downto 0); -- quantity |
signal search_sec_i: std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
signal search_id_i: std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- pxdata: out price_packet |
signal order_px_type_o: std_logic_vector(4 downto 0); |
signal order_buy_sell_o: std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
signal order_px_o: std_logic_vector(15 downto 0); -- price |
signal order_qty_o: std_logic_vector(15 downto 0); -- quantity |
signal order_sec_o: std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
signal order_id_o: std_logic_vector(15 downto 0); -- unique/identifier/counter |
begin |
search_control_0: search_control |
generic map ( searchitems => 3 ) |
port map ( |
RX_CLK => RX_CLK, |
-- control flag(s) on the incoming bus |
search_px_valid_i => search_px_valid_i, |
-- pxdata: in price_packet |
search_px_type_i => search_px_type_i, |
search_buy_sell_i => search_buy_sell_i, |
search_px_i => search_px_i, |
search_qty_i => search_qty_i, |
search_sec_i => search_sec_i, |
search_id_i => search_id_i, |
-- pxdata: out price_packet |
order_px_type_o => order_px_type_o, |
order_buy_sell_o => order_buy_sell_o, |
order_px_o => order_px_o, |
order_qty_o => order_qty_o, |
order_sec_o => order_sec_o, |
order_id_o => order_id_o |
); |
process |
variable l : line; |
|
type input_pattern_type is record |
-- control flag(s) on the incoming bus |
search_px_valid_i: std_logic; |
-- pxdata: in price_packet |
search_px_type_i: std_logic_vector(4 downto 0); |
search_buy_sell_i: std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
search_px_i: std_logic_vector(15 downto 0); -- price |
search_qty_i: std_logic_vector(15 downto 0); -- quantity |
search_sec_i: std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
-- search_id_i: std_logic_vector(15 downto 0); -- unique/identifier/counter |
end record; |
type output_pattern_type is record |
-- pxdata: out price_packet |
order_px_type_o: std_logic_vector(4 downto 0); |
order_buy_sell_o: std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
order_px_o: std_logic_vector(15 downto 0); -- price |
order_qty_o: std_logic_vector(15 downto 0); -- quantity |
order_sec_o: std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
order_id_o: std_logic_vector(15 downto 0); -- unique/identifier/counter |
end record; |
|
-- The patterns to apply. |
constant zz_px: std_logic_vector(15 downto 0) := (others => 'Z'); |
constant zz_qty: std_logic_vector(15 downto 0) := (others => 'Z'); |
constant zz_sec: std_logic_vector(55 downto 0) := (others => 'Z'); |
constant zz_id: std_logic_vector(15 downto 0) := (others => 'Z'); |
constant set_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000010000"); |
constant test_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000011100000"); |
constant test_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000001100"); |
constant remain_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000000100"); |
constant test_sec0: std_logic_vector(55 downto 0) := std_logic_vector'(X"ABA544223478DC"); |
constant test_sec1: std_logic_vector(55 downto 0) := std_logic_vector'(X"ABA543332178DC"); |
constant test_sec2: std_logic_vector(55 downto 0) := std_logic_vector'(X"ABA234234378DC"); |
constant test_sec3: std_logic_vector(55 downto 0) := std_logic_vector'(X"ABA534534578DC"); |
constant test_id: std_logic_vector(15 downto 0) := std_logic_vector'("0110011001100110"); |
constant other_id: std_logic_vector(15 downto 0) := std_logic_vector'("0000010001100010"); |
constant other_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000001110"); |
constant other_sec: std_logic_vector(55 downto 0) := std_logic_vector'(X"CDC423354634AA"); |
type input_pattern_array is array (natural range <>) of input_pattern_type; |
constant input_patterns : input_pattern_array := |
( ('1', std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 0 reset |
('0', std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 1 nothing |
('1', std_logic_vector'("01010"), std_logic_vector'("000"), test_px, set_qty, test_sec0), -- 2 sec/set |
('1', std_logic_vector'("01010"), std_logic_vector'("000"), test_px, set_qty, test_sec1), -- 3 sec/set |
('1', std_logic_vector'("01010"), std_logic_vector'("111"), test_px, set_qty, test_sec2), -- 4 sec/set |
('1', std_logic_vector'("01010"), std_logic_vector'("000"), test_px, set_qty, test_sec3), -- 5 too many sec/set |
('1', std_logic_vector'("11100"), std_logic_vector'("111"), test_px, test_qty, test_sec1), -- 6 incoming px |
('1', std_logic_vector'("11100"), std_logic_vector'("111"), test_px, zz_qty, other_sec), -- 7 incoming px (wrong security) |
('1', std_logic_vector'("11100"), std_logic_vector'("111"), other_px, test_qty, test_sec1), -- 8 incoming px (too low sale price) |
('1', std_logic_vector'("11100"), std_logic_vector'("111"), test_px, test_qty, test_sec1) ); -- 9 incoming px (part qty) |
type output_pattern_array is array (natural range <>) of output_pattern_type; |
constant output_patterns : output_pattern_array := |
( (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- 0 reset |
(std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- 1 nothing |
(std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0001")), -- 2 sec/set |
(std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0002")), -- 3 sec/set |
(std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0003")), -- 4 sec/set |
(std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- 5 bad sec/set (too many) |
(std_logic_vector'("11100"), std_logic_vector'("000"), test_px, test_qty, test_sec1, test_id), -- 6 incoming px |
(std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- 7 incoming px (wrong security) |
(std_logic_vector'("11101"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- 8 incoming px (too low sale price) |
(std_logic_vector'("11100"), std_logic_vector'("000"), test_px, remain_qty, test_sec1, test_id) ); -- 9 incoming px (part qty) |
|
begin |
write (l, String'("Exercising search_control")); |
writeline (output, l); |
RX_CLK <= '0'; |
wait for 1 ns; |
|
-- Check each pattern. |
for i in input_patterns'range loop |
-- Set the inputs. |
search_px_valid_i <= input_patterns(i).search_px_valid_i; |
search_px_type_i <= input_patterns(i).search_px_type_i; |
search_buy_sell_i <= input_patterns(i).search_buy_sell_i; |
search_px_i <= input_patterns(i).search_px_i; |
search_qty_i <= input_patterns(i).search_qty_i; |
search_sec_i <= input_patterns(i).search_sec_i; |
--search_id_i <= input_patterns(i).search_id_i; |
-- Clock once for the results. |
RX_CLK <= '1'; |
wait for 1 ns; |
-- Check the outputs. |
write(l, i); |
writeline (output, l); |
assert order_px_type_o = output_patterns(i).order_px_type_o report "search_item_wrapper: bad px type" severity error; |
assert order_buy_sell_o = output_patterns(i).order_buy_sell_o report "search_item_wrapper: bad buy_sell" severity error; |
assert order_px_o = output_patterns(i).order_px_o report "search_item_wrapper: bad px" severity error; |
assert order_qty_o = output_patterns(i).order_qty_o report "search_item_wrapper: bad qty" severity error; |
assert order_sec_o = output_patterns(i).order_sec_o report "search_item_wrapper: bad sec" severity error; |
assert order_id_o = output_patterns(i).order_id_o report "search_item_wrapper: bad id" severity error; |
-- Clock down. |
RX_CLK <= '0'; |
wait for 1 ns; |
search_px_valid_i <= '0'; |
RX_CLK <= '1'; |
wait for 1 ns; |
RX_CLK <= '0'; |
wait for 1 ns; |
end loop; |
-- assert false report "end of test" severity note; |
|
write (l, String'("Done search_control")); |
writeline (output, l); |
|
wait; |
end process; |
end behaviour; |
|
/open_hitter/trunk/bench/vhdl/build.sh
8,6 → 8,7
ghdl -a ../../sim/rtl_sim/src/parse_price_sim.vhd |
ghdl -a ../../sim/rtl_sim/src/hitter_sim.vhd |
ghdl -a search_item_wrapper.vhd |
ghdl -a search_control_wrapper.vhd |
ghdl -a parse_price_wrapper.vhd |
ghdl -a hitter_wrapper.vhd |
|
25,4 → 26,7
#ghdl -r hitter_wrapper |
|
ghdl -e search_item_wrapper |
ghdl -r search_item_wrapper |
#ghdl -r search_item_wrapper |
|
ghdl -e search_control_wrapper |
ghdl -r search_control_wrapper |
/open_hitter/trunk/rtl/vhdl/search_control.vhd
0,0 → 1,255
--//////////////////////////////////////////////////////////////////// |
--// //// |
--// search_control.vhd //// |
--// //// |
--// This file is part of the open_hitter opencores effort. //// |
--// <http://www.opencores.org/cores/open_hitter/> //// |
--// //// |
--// Module Description: //// |
--// This is the multipelexed search's control item, used to set //// |
--// up, access and control the search. //// |
--// search_*_i - input to search_control //// |
--// order_*_o - output from search_control //// |
--// Buses perform the multiplex and are experienced by each item //// |
--// as b1_* - set by search_control, input to search_item //// |
--// b2_* - output from search_item, read by search_control //// |
--// The state machine in search_control coordinates the search, //// |
--// and the number of transitions is dependant on the incoming //// |
--// instruction (search_*_i). //// |
--// //// |
--// To Do: //// |
--// //// |
--// Author(s): //// |
--// - Stephen Hawes //// |
--// //// |
--//////////////////////////////////////////////////////////////////// |
--// //// |
--// Copyright (C) 2015 Stephen Hawes and OPENCORES.ORG //// |
--// //// |
--// This source file may be used and distributed without //// |
--// restriction provided that this copyright statement is not //// |
--// removed from the file and that any derivative work contains //// |
--// the original copyright notice and the associated disclaimer. //// |
--// //// |
--// This source file is free software; you can redistribute it //// |
--// and/or modify it under the terms of the GNU Lesser General //// |
--// Public License as published by the Free Software Foundation; //// |
--// either version 2.1 of the License, or (at your option) any //// |
--// later version. //// |
--// //// |
--// This source is distributed in the hope that it will be //// |
--// useful, but WITHOUT ANY WARRANTY; without even the implied //// |
--// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// |
--// PURPOSE. See the GNU Lesser General Public License for more //// |
--// details. //// |
--// //// |
--// You should have received a copy of the GNU Lesser General //// |
--// Public License along with this source; if not, download it //// |
--// from <http://www.opencores.org/lgpl.shtml> //// |
--// //// |
--//////////////////////////////////////////////////////////////////// |
--// |
--// \$Id\$ TAKE OUT THE \'s and this comment in order to get this to work |
--// |
--// CVS Revision History |
--// |
--// \$Log\$ TAKE OUT THE \'s and this comment in order to get this to work |
--// |
library ieee; |
use ieee.std_logic_1164.all; |
use ieee.numeric_std.ALL; |
|
entity search_control is |
generic ( searchitems : integer ); |
port ( |
RX_CLK: in std_logic; |
-- control flag(s) on the incoming bus |
search_px_valid_i: in std_logic; |
-- pxdata: in price_packet |
search_px_type_i: in std_logic_vector(4 downto 0); |
search_buy_sell_i: in std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
search_px_i: in std_logic_vector(15 downto 0); -- price |
search_qty_i: in std_logic_vector(15 downto 0); -- quantity |
search_sec_i: in std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
search_id_i: in std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- pxdata: out price_packet |
order_px_type_o: out std_logic_vector(4 downto 0); |
order_buy_sell_o: out std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
order_px_o: out std_logic_vector(15 downto 0); -- price |
order_qty_o: out std_logic_vector(15 downto 0); -- quantity |
order_sec_o: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
order_id_o: out std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- control flag(s) on the outgoing bus |
order_px_valid_o: out std_logic |
); |
end search_control; |
|
architecture search_control_implementation of search_control is |
component search_item |
generic ( item_id: std_logic_vector(15 downto 0) ); |
port ( |
RX_CLK: in std_logic; |
-- control flag(s) on the incoming bus |
b1_px_valid: in std_logic; |
-- pxdata: in price_packet |
b1_px_type: in std_logic_vector(4 downto 0); |
b1_buy_sell: in std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
b1_px: in std_logic_vector(15 downto 0); -- price |
b1_qty: in std_logic_vector(15 downto 0); -- quantity |
b1_sec: in std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
b1_id: in std_logic_vector(15 downto 0); -- unique/identifier/counter |
-- pxdata: out price_packet |
b2_px_type: out std_logic_vector(4 downto 0); |
b2_buy_sell: out std_logic_vector(2 downto 0); -- 111 buy, 000 sell |
b2_px: out std_logic_vector(15 downto 0); -- price |
b2_qty: out std_logic_vector(15 downto 0); -- quantity |
b2_sec: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier |
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter |
); |
end component; |
-- for search_item_0: search_item use entity work.search_item; |
-- |
signal state : integer range 0 to 16 := 16; |
-- pxdata: store price_packet |
signal store_px_type: std_logic_vector(4 downto 0) := (others => '0'); |
signal store_buy_sell: std_logic_vector(2 downto 0) := (others => '0'); -- 111 buy, 000 sell |
signal store_px: std_logic_vector(15 downto 0) := (others => '0'); -- price |
signal store_qty: std_logic_vector(15 downto 0) := (others => '0'); -- quantity |
signal store_sec: std_logic_vector(55 downto 0) := (others => '0'); -- 7x 8bits securities identifier |
signal store_id: std_logic_vector(15 downto 0) := (others => '0'); -- unique/identifier/counter |
-- control flag(s) on the incoming bus |
signal b1_px_valid: std_logic; |
-- pxdata: b1 price_packet |
signal b1_px_type: std_logic_vector(4 downto 0) := (others => '0'); |
signal b1_buy_sell: std_logic_vector(2 downto 0) := (others => '0'); -- 111 buy, 000 sell |
signal b1_px: std_logic_vector(15 downto 0) := (others => '0'); -- price |
signal b1_qty: std_logic_vector(15 downto 0) := (others => '0'); -- quantity |
signal b1_sec: std_logic_vector(55 downto 0) := (others => '0'); -- 7x 8bits securities identifier |
signal b1_id: std_logic_vector(15 downto 0) := (others => '0'); -- unique/identifier/counter |
-- pxdata: b2 price_packet |
signal b2_px_type: std_logic_vector(4 downto 0) := (others => '0'); |
signal b2_buy_sell: std_logic_vector(2 downto 0) := (others => '0'); -- 111 buy, 000 sell |
signal b2_px: std_logic_vector(15 downto 0) := (others => '0'); -- price |
signal b2_qty: std_logic_vector(15 downto 0) := (others => '0'); -- quantity |
signal b2_sec: std_logic_vector(55 downto 0) := (others => '0'); -- 7x 8bits securities identifier |
signal b2_id: std_logic_vector(15 downto 0) := (others => '0'); -- unique/identifier/counter |
begin |
items_array : for iter_id in 0 to searchitems - 1 generate |
begin |
cell_item : entity work.search_item |
generic map ( item_id => std_logic_vector(to_unsigned(iter_id,16)) ) |
port map ( |
RX_CLK => RX_CLK, |
b1_px_valid => b1_px_valid, |
b1_px_type => b1_px_type, b1_buy_sell => b1_buy_sell, b1_px => b1_px, b1_qty => b1_qty, b1_sec => b1_sec, b1_id => b2_id, |
b2_px_type => b2_px_type, b2_buy_sell => b2_buy_sell, b2_px => b2_px, b2_qty => b2_qty, b2_sec => b2_sec, b2_id => b2_id |
); |
end generate items_array; |
|
match: process (RX_CLK) is |
begin |
if rising_edge(RX_CLK) then |
if search_px_valid_i = '1' then |
|
if search_px_type_i = std_logic_vector'("00000") then |
-- do reset store and outputs |
store_px_type <= (others => '0'); |
store_buy_sell <= (others => '0'); -- 111 buy, 000 sell |
store_px <= (others => '0'); -- price |
store_qty <= (others => '0'); -- quantity |
store_sec <= (others => '0'); -- 7x 8bits securities identifier |
-- not reset / generic store_id <= (others => '0'); -- unique/identifier/counter |
-- |
b2_px_type <= (others => 'Z'); |
b2_buy_sell <= (others => 'Z'); -- 111 buy, 000 sell |
b2_px <= (others => 'Z'); -- price |
b2_qty <= (others => 'Z'); -- quantity |
b2_sec <= (others => 'Z'); -- 7x 8bits securities identifier |
b2_id <= (others => 'Z'); -- unique/identifier/counter |
-- |
b2_px_type <= std_logic_vector'(std_logic_vector'("00000")); |
state <= 8; |
|
elsif search_px_type_i = std_logic_vector'("00110") then |
-- do set store from incoming price |
store_px_type <= b1_px_type; |
store_buy_sell <= b1_buy_sell; |
store_px <= b1_px; |
store_qty <= b1_qty; |
store_sec <= b1_sec; |
store_id <= b1_id; |
-- |
b2_px_type <= std_logic_vector'(std_logic_vector'("00000")); |
state <= 8; |
|
elsif search_px_type_i = std_logic_vector'("00101") then |
-- incoming price, register it and start the state machine |
if (store_sec /= b1_sec or store_buy_sell = b1_buy_sell or store_px_type /= std_logic_vector'(std_logic_vector'("0110")) ) then |
-- not this store_item instance no action, also stop anything that might be going on |
state <= 14; |
elsif (to_integer(unsigned(store_qty)) = 0 or to_integer(unsigned(b1_qty)) = 0 or |
(store_buy_sell = std_logic_vector'("111") and store_px < b1_px) or |
(store_buy_sell = std_logic_vector'("000") and store_px > b1_px) ) then |
-- no deal: this is the correct store_item but there's no match |
b2_px_type <= std_logic_vector'(std_logic_vector'("00000")); |
state <= 8; |
else |
-- send a return order |
b2_px_type <= std_logic_vector'("1010"); |
b2_buy_sell <= store_buy_sell; -- 111 buy, 000 sell |
b2_px <= b1_px; -- price |
-- b2_qty <= |
if b1_qty < store_qty then |
b2_qty <= b1_qty; |
else |
b2_qty <= store_qty; |
end if; -- quantity |
b2_sec <= store_sec; -- 7x 8bits securities identifier |
b2_id <= store_id; -- unique/identifier/counter |
-- update the store |
-- store_qty |
if (b1_qty < store_qty) then |
store_qty <= std_logic_vector(to_unsigned( to_integer(unsigned(store_qty)) - to_integer(unsigned(b1_qty)) ,16 )); |
else |
store_qty <= (others => '0'); |
state <= 1; |
end if; |
end if; |
|
else |
-- no action |
null; |
end if; -- search_px_type |
|
else -- search_px_valid_i |
-- no incoming search_px_i so check for state machine actions |
case state is |
when 1 => |
-- sent return order, so clean up |
b2_px_type <= (others => 'Z'); |
b2_buy_sell <= (others => 'Z'); -- 111 buy, 000 sell |
b2_px <= (others => 'Z'); -- price |
b2_qty <= (others => 'Z'); -- quantity |
b2_sec <= (others => 'Z'); -- 7x 8bits securities identifier |
b2_id <= (others => 'Z'); -- unique/identifier/counter |
state <= 16; |
|
when 8 => |
-- correct store_item but there was no match |
b2_px_type <= std_logic_vector'("ZZZZZ"); |
state <= 16; |
|
when others => null; |
end case; -- state |
|
if (state < 16) then |
state <= state + 1; |
end if; |
|
end if; -- search_px_valid_i |
|
end if; |
end process match; |
|
end search_control_implementation; |
|