OpenCores
URL https://opencores.org/ocsvn/fpu100/fpu100/trunk

Subversion Repositories fpu100

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/trunk/serial_div.vhd
137,7 → 137,11
variable v_div : std_logic_vector(26 downto 0);
begin
if rising_edge(clk_i) then
if s_state=busy then
--Reset
if s_start_i ='1' then
s_qutnt_o <= (others =>'0');
s_rmndr_o <= (others =>'0');
elsif s_state=busy then
if s_count=26 then
v_div := "000" & s_dvdnd_i(49 downto 26);
else
/trunk/sqrt.vhd
178,7 → 178,9
process(clk_i)
begin
if rising_edge(clk_i) then
if s_count=0 then
if s_start_i = '1' then
s_sqr_o <= (others =>'0');
elsif s_count=0 then
if r1_2 > s_rad_i then
s_sqr_o <= r1 - '1';
else
/trunk/test_bench/fpusim.bat
19,7 → 19,6
vcom %REL%comppack.vhd
vcom %REL%fpu.vhd
 
 
vcom txt_util.vhd
vcom tb_fpu.vhd
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.