OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/wiegand_ctl/trunk/sim/vsim.do
0,0 → 1,?rev2len?
vsim -gui work.testbench_top work.testcase_1
/wiegand_ctl/trunk/sim/wlft7f2gvw Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
wiegand_ctl/trunk/sim/wlft7f2gvw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.vhd (revision 16) @@ -0,0 +1,15 @@ +library verilog; +use verilog.vl_types.all; +entity custom_fifo_dp is + port( + clk_rd : in vl_logic; + clk_wr : in vl_logic; + d_i : in vl_logic_vector(7 downto 0); + d_o : out vl_logic_vector(7 downto 0); + rst : in vl_logic; + wr_en : in vl_logic; + rd_en : in vl_logic; + full : out vl_logic; + empty : out vl_logic + ); +end custom_fifo_dp; Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/custom_fifo_dp/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/custom_fifo_dp/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/mem_byte/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/mem_byte/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/mem_byte/_primary.vhd (revision 16) @@ -0,0 +1,12 @@ +library verilog; +use verilog.vl_types.all; +entity mem_byte is + port( + rst : in vl_logic; + clk : in vl_logic; + din : in vl_logic_vector(7 downto 0); + dout : out vl_logic_vector(7 downto 0); + wen : in vl_logic; + ren : in vl_logic + ); +end mem_byte; Index: wiegand_ctl/trunk/sim/work/mem_byte/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/mem_byte/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/mem_byte/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/mem_byte/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/mem_byte/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/mem_byte/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/mem_byte/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/mem_byte/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/mem_byte/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/mem_byte/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/mem_byte/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/mem_byte/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/mem_byte/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/mem_byte/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/mem_byte/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/mem_byte/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/mem_byte/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/mem_byte/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/mem_byte/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/mem_byte/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testbench_top/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/testbench_top/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/testbench_top/_primary.vhd (revision 16) @@ -0,0 +1,4 @@ +library verilog; +use verilog.vl_types.all; +entity testbench_top is +end testbench_top; Index: wiegand_ctl/trunk/sim/work/testbench_top/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testbench_top/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/testbench_top/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/testbench_top/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/testbench_top/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testbench_top/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testbench_top/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/testbench_top/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/testbench_top/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/testbench_top/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testbench_top/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testbench_top/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/testbench_top/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/testbench_top/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/testbench_top/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testbench_top/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testbench_top/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/testbench_top/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/testbench_top/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/testbench_top/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_vmake =================================================================== --- wiegand_ctl/trunk/sim/work/_vmake (nonexistent) +++ wiegand_ctl/trunk/sim/work/_vmake (revision 16) @@ -0,0 +1,3 @@ +m255 +K3 +cModel Technology Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.vhd (revision 16) @@ -0,0 +1,32 @@ +library verilog; +use verilog.vl_types.all; +entity wb_interface_wieg is + port( + wb_rst_i : in vl_logic; + wb_clk_i : in vl_logic; + wb_stb_i : in vl_logic; + wb_ack_o : out vl_logic; + wb_addr_i : in vl_logic_vector(5 downto 0); + wb_we_i : in vl_logic; + wb_dat_i : in vl_logic_vector(31 downto 0); + wb_sel_i : in vl_logic_vector(3 downto 0); + wb_dat_o : out vl_logic_vector(31 downto 0); + wb_cyc_i : in vl_logic; + wb_cti_i : in vl_logic_vector(2 downto 0); + wb_err_o : out vl_logic; + wb_rty_o : out vl_logic; + rst_o : out vl_logic; + dat_o : out vl_logic_vector(31 downto 0); + dat_i : in vl_logic_vector(31 downto 0); + msgLength : out vl_logic_vector(6 downto 0); + start_tx : out vl_logic; + p2p : out vl_logic_vector(31 downto 0); + pulsewidth : out vl_logic_vector(31 downto 0); + clk_o : out vl_logic; + full : in vl_logic; + lock_cfg_i : in vl_logic; + wb_wr_en : out vl_logic; + rst_FIFO : out vl_logic; + wb_rd_en : out vl_logic + ); +end wb_interface_wieg; Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/wb_interface_wieg/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/wb_interface_wieg/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogi9w68a =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogi9w68a =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogi9w68a (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogi9w68a (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogi9w68a Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogg6mf7b =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogg6mf7b =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogg6mf7b (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogg6mf7b (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogg6mf7b Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog85tcvr =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog85tcvr =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog85tcvr (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog85tcvr (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog85tcvr Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog779ww7 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog779ww7 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog779ww7 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog779ww7 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog779ww7 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog7d5qz2 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog7d5qz2 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog7d5qz2 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog7d5qz2 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog7d5qz2 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogkc2geb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogkc2geb =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogkc2geb (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogkc2geb (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogkc2geb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogq5xdyt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogq5xdyt =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogq5xdyt (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogq5xdyt (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogq5xdyt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog403cb4 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog403cb4 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog403cb4 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog403cb4 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog403cb4 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog40v8n0 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog40v8n0 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog40v8n0 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog40v8n0 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog40v8n0 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogi5h42d =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogi5h42d =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogi5h42d (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogi5h42d (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogi5h42d Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogvktbwc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogvktbwc =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogvktbwc (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogvktbwc (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogvktbwc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogtft2zy =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogtft2zy =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogtft2zy (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogtft2zy (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogtft2zy Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogw7h83s =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogw7h83s =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogw7h83s (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogw7h83s (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogw7h83s Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogdaw1tt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogdaw1tt =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogdaw1tt (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogdaw1tt (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogdaw1tt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog1tiik4 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog1tiik4 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog1tiik4 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog1tiik4 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog1tiik4 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloge74ty9 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloge74ty9 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloge74ty9 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloge74ty9 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloge74ty9 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog2dqx4e =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog2dqx4e =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog2dqx4e (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog2dqx4e (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog2dqx4e Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog6kmjnv =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog6kmjnv =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog6kmjnv (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog6kmjnv (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog6kmjnv Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog67ajxm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog67ajxm =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog67ajxm (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog67ajxm (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog67ajxm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog5anz03 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog5anz03 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog5anz03 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog5anz03 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog5anz03 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogxsfgbg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogxsfgbg =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogxsfgbg (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogxsfgbg (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogxsfgbg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogyn5he9 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogyn5he9 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogyn5he9 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogyn5he9 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogyn5he9 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloged1c8r =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloged1c8r =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloged1c8r (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloged1c8r (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloged1c8r Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogt0ezaf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogt0ezaf =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogt0ezaf (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogt0ezaf (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogt0ezaf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog9fn707 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog9fn707 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog9fn707 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog9fn707 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog9fn707 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogakh77j =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogakh77j =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogakh77j (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogakh77j (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogakh77j Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogeizhm1 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogeizhm1 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogeizhm1 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogeizhm1 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogeizhm1 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog30xrh9 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog30xrh9 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog30xrh9 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog30xrh9 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog30xrh9 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogya9tsw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogya9tsw =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogya9tsw (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogya9tsw (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogya9tsw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogv9zkmq =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogv9zkmq =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogv9zkmq (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogv9zkmq (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogv9zkmq Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog0353r6 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog0353r6 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog0353r6 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog0353r6 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog0353r6 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogyy6t6b =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogyy6t6b =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogyy6t6b (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogyy6t6b (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogyy6t6b Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog9jd6qg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog9jd6qg =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog9jd6qg (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog9jd6qg (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog9jd6qg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog4nj5h6 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog4nj5h6 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog4nj5h6 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog4nj5h6 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog4nj5h6 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog7keh8y =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog7keh8y =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog7keh8y (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog7keh8y (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog7keh8y Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogf5cnd2 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogf5cnd2 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogf5cnd2 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogf5cnd2 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogf5cnd2 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog7vqhjs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog7vqhjs =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog7vqhjs (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog7vqhjs (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog7vqhjs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogxsfm0x =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogxsfm0x =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogxsfm0x (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogxsfm0x (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogxsfm0x Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogg1t4dh =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogg1t4dh =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogg1t4dh (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogg1t4dh (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogg1t4dh Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogxanzak =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogxanzak =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogxanzak (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogxanzak (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogxanzak Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogrhxci0 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogrhxci0 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogrhxci0 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogrhxci0 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogrhxci0 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog0g5k8a =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog0g5k8a =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog0g5k8a (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog0g5k8a (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog0g5k8a Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogx6zd8m =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogx6zd8m =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogx6zd8m (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogx6zd8m (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogx6zd8m Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogx3twri =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogx3twri =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogx3twri (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogx3twri (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogx3twri Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogrg377w =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogrg377w =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogrg377w (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogrg377w (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogrg377w Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogsf77v5 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogsf77v5 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogsf77v5 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogsf77v5 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogsf77v5 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloga7zh0i =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloga7zh0i =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloga7zh0i (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloga7zh0i (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloga7zh0i Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogq8mtah =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogq8mtah =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogq8mtah (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogq8mtah (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogq8mtah Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloghnj21q =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloghnj21q =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloghnj21q (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloghnj21q (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloghnj21q Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogy6fxw1 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogy6fxw1 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogy6fxw1 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogy6fxw1 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogy6fxw1 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogs1jz9w =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogs1jz9w =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogs1jz9w (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogs1jz9w (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogs1jz9w Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogddr1w7 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogddr1w7 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogddr1w7 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogddr1w7 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogddr1w7 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog8ynwr2 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog8ynwr2 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog8ynwr2 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog8ynwr2 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog8ynwr2 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogzzdcwi =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogzzdcwi =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogzzdcwi (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogzzdcwi (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogzzdcwi Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloggimwdd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloggimwdd =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloggimwdd (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloggimwdd (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloggimwdd Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogx1mdnv =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogx1mdnv =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogx1mdnv (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogx1mdnv (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogx1mdnv Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog9dyh3n =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog9dyh3n =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog9dyh3n (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog9dyh3n (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog9dyh3n Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloge8vqhs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloge8vqhs =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloge8vqhs (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloge8vqhs (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloge8vqhs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogyyn00e =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogyyn00e =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogyyn00e (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogyyn00e (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogyyn00e Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog69mi2n =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog69mi2n =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog69mi2n (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog69mi2n (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog69mi2n Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogmzt0xb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogmzt0xb =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogmzt0xb (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogmzt0xb (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogmzt0xb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogzq397x =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogzq397x =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogzq397x (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogzq397x (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogzq397x Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog12hy6m =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog12hy6m =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog12hy6m (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog12hy6m (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog12hy6m Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogdz3rxm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogdz3rxm =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogdz3rxm (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogdz3rxm (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogdz3rxm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog175rev =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog175rev =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog175rev (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog175rev (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog175rev Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog4vwbr6 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog4vwbr6 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog4vwbr6 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog4vwbr6 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog4vwbr6 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloga0c1r4 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloga0c1r4 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloga0c1r4 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloga0c1r4 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloga0c1r4 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogxg76rm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogxg76rm =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogxg76rm (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogxg76rm (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogxg76rm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog5ik496 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog5ik496 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog5ik496 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog5ik496 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog5ik496 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog12y3kt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog12y3kt =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog12y3kt (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog12y3kt (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog12y3kt Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloggrsz73 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloggrsz73 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloggrsz73 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloggrsz73 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloggrsz73 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogkqr9gc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogkqr9gc =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogkqr9gc (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogkqr9gc (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogkqr9gc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogdevgxs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogdevgxs =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogdevgxs (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogdevgxs (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogdevgxs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogaj41qs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogaj41qs =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogaj41qs (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogaj41qs (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogaj41qs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogkm3dnz =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogkm3dnz =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogkm3dnz (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogkm3dnz (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogkm3dnz Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogh5b0zn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogh5b0zn =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogh5b0zn (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogh5b0zn (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogh5b0zn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogsvza3a =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogsvza3a =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogsvza3a (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogsvza3a (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogsvza3a Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog7ayd04 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog7ayd04 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog7ayd04 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog7ayd04 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog7ayd04 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vloggfdkr0 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vloggfdkr0 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vloggfdkr0 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vloggfdkr0 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vloggfdkr0 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogc9i425 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogc9i425 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogc9i425 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogc9i425 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogc9i425 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog1xj3e7 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog1xj3e7 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog1xj3e7 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog1xj3e7 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog1xj3e7 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogfh1zw4 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogfh1zw4 =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogfh1zw4 (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogfh1zw4 (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogfh1zw4 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlog0ehseq =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlog0ehseq =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlog0ehseq (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlog0ehseq (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlog0ehseq Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_temp/vlogvrtfvn =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/_temp/vlogvrtfvn =================================================================== --- wiegand_ctl/trunk/sim/work/_temp/vlogvrtfvn (nonexistent) +++ wiegand_ctl/trunk/sim/work/_temp/vlogvrtfvn (revision 16)
wiegand_ctl/trunk/sim/work/_temp/vlogvrtfvn Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.vhd (revision 16) @@ -0,0 +1,21 @@ +library verilog; +use verilog.vl_types.all; +entity wiegand_rx_top is + port( + one_i : in vl_logic; + zero_i : in vl_logic; + wb_clk_i : in vl_logic; + wb_rst_i : in vl_logic; + wb_dat_i : in vl_logic_vector(31 downto 0); + wb_dat_o : out vl_logic_vector(31 downto 0); + wb_cyc_i : in vl_logic; + wb_stb_i : in vl_logic; + wb_cti_i : in vl_logic_vector(2 downto 0); + wb_sel_i : in vl_logic_vector(3 downto 0); + wb_we_i : in vl_logic; + wb_adr_i : in vl_logic_vector(5 downto 0); + wb_ack_o : out vl_logic; + wb_err_o : out vl_logic; + wb_rty_o : out vl_logic + ); +end wiegand_rx_top; Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_rx_top/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_rx_top/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/_info =================================================================== --- wiegand_ctl/trunk/sim/work/_info (nonexistent) +++ wiegand_ctl/trunk/sim/work/_info (revision 16) @@ -0,0 +1,166 @@ +m255 +K3 +13 +cModel Technology +Z0 dC:\Users\jeffA\Desktop\a2dSys\roic\sim +vcustom_fifo_dp +Z1 !s100 Xo6hcAad;iX5WeSlP?m``2 +Z2 IJiQ29ejeRc9fEb_@PM_@`1 +Z3 VM`n_ide9aJf9Y;?kT2G`D1 +Z4 dC:\Users\jeffA\Desktop\rtl\wiegand\trunk\sim +Z5 w1423923188 +Z6 8C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v +Z7 FC:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v +Z8 L0 130 +Z9 OV;L;10.1e;51 +r1 +31 +Z10 !s108 1424183909.846000 +Z11 !s107 C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_defines.v|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/timescale.v|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v| +Z12 !s90 -reportprogress|300|-work|work|+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v| +Z13 o-work work -O0 +Z14 !s92 -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog -O0 +!i10b 1 +!s85 0 +!s101 -O0 +vfifo_wieg +Z15 !s100 ?:[HeM@BXIQ>2:SmQ>_^D3 +Z16 IMWIKf98kGKe:gcW:E4I1<^a3 +R4 +R5 +R6 +R7 +Z21 L0 204 +R9 +r1 +31 +R10 +R11 +R12 +R13 +R14 +!i10b 1 +!s85 0 +!s101 -O0 +vtestbench_top +!i10b 1 +!s100 e;7bIU4^F9D0 +Z32 IeV437il8M_7lMG^F[l:^lAA?IIWCZ@Tj3 +Z35 IX1Ol2Ln2;B4HJB`3;4LZd]mXAF1 +R4 +Z37 w1424107791 +Z38 8C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +Z39 FC:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +L0 57 +R9 +r1 +31 +Z40 !s90 -reportprogress|300|-work|work|+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v| +R13 +R14 +Z41 !s108 1424183909.721000 +Z42 !s107 C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_defines.v|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/timescale.v|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v| +!i10b 1 +!s85 0 +!s101 -O0 +vwiegand_rx_top +Z43 IO1FA`ROofEkzkofYg1T2o2 +Z44 VU0bUQRm`UCcP5ZoZFG][Y1 +R4 +Z45 w1424183905 +Z46 8C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v +Z47 FC:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v +L0 61 +R9 +r1 +31 +Z48 !s90 -reportprogress|300|-work|work|+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog|C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v| +R13 +R14 +Z49 !s100 OE:g
wiegand_ctl/trunk/sim/work/testcase_1/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testcase_1/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testcase_1/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/testcase_1/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/testcase_1/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/testcase_1/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testcase_1/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testcase_1/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/testcase_1/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/testcase_1/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/testcase_1/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/testcase_1/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/testcase_1/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/testcase_1/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/testcase_1/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/testcase_1/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.vhd (revision 16) @@ -0,0 +1,21 @@ +library verilog; +use verilog.vl_types.all; +entity wiegand_tx_top is + port( + one_o : out vl_logic; + zero_o : out vl_logic; + wb_clk_i : in vl_logic; + wb_rst_i : in vl_logic; + wb_dat_i : in vl_logic_vector(31 downto 0); + wb_dat_o : out vl_logic_vector(31 downto 0); + wb_cyc_i : in vl_logic; + wb_stb_i : in vl_logic; + wb_cti_i : in vl_logic_vector(2 downto 0); + wb_sel_i : in vl_logic_vector(3 downto 0); + wb_we_i : in vl_logic; + wb_adr_i : in vl_logic_vector(5 downto 0); + wb_ack_o : out vl_logic; + wb_err_o : out vl_logic; + wb_rty_o : out vl_logic + ); +end wiegand_tx_top; Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_tx_top/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/wiegand_tx_top/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.vhd =================================================================== --- wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.vhd (nonexistent) +++ wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.vhd (revision 16) @@ -0,0 +1,15 @@ +library verilog; +use verilog.vl_types.all; +entity fifo_wieg is + port( + clk_rd : in vl_logic; + clk_wr : in vl_logic; + d_i : in vl_logic_vector(31 downto 0); + d_o : out vl_logic_vector(31 downto 0); + rst : in vl_logic; + wr_en : in vl_logic; + rd_en : in vl_logic; + full : out vl_logic; + empty : out vl_logic + ); +end fifo_wieg; Index: wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.psm =================================================================== --- wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.psm (nonexistent) +++ wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.psm (revision 16)
wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dat =================================================================== --- wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dat (nonexistent) +++ wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dat (revision 16)
wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dbs =================================================================== --- wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dbs (nonexistent) +++ wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dbs (revision 16)
wiegand_ctl/trunk/sim/work/fifo_wieg/_primary.dbs Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.prw =================================================================== --- wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.prw (nonexistent) +++ wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.prw (revision 16)
wiegand_ctl/trunk/sim/work/fifo_wieg/verilog.prw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlftwga4kh =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftwga4kh =================================================================== --- wiegand_ctl/trunk/sim/wlftwga4kh (nonexistent) +++ wiegand_ctl/trunk/sim/wlftwga4kh (revision 16)
wiegand_ctl/trunk/sim/wlftwga4kh Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlftv88fcx =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftv88fcx =================================================================== --- wiegand_ctl/trunk/sim/wlftv88fcx (nonexistent) +++ wiegand_ctl/trunk/sim/wlftv88fcx (revision 16)
wiegand_ctl/trunk/sim/wlftv88fcx Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/compile_hw.do.bak =================================================================== --- wiegand_ctl/trunk/sim/compile_hw.do.bak (nonexistent) +++ wiegand_ctl/trunk/sim/compile_hw.do.bak (revision 16) @@ -0,0 +1,25 @@ +# common files for both modules +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v + +# uncomment to compile Wiegand TX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v + +# uncomment to compile Wiegand RX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v + +# testbench stuff +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testbench_top.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testcase_1.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v + +# uncomment to compile Wiegand TX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v + +# uncomment to compile Wiegand RX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v + +# testbench stuff +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testbench_top.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testcase_1.v Index: wiegand_ctl/trunk/sim/vsim_rx.do.bak =================================================================== --- wiegand_ctl/trunk/sim/vsim_rx.do.bak (nonexistent) +++ wiegand_ctl/trunk/sim/vsim_rx.do.bak (revision 16) @@ -0,0 +1 @@ +vsim -gui work.testbench_top work.testcase_1 \ No newline at end of file Index: wiegand_ctl/trunk/sim/wiegand_tb.mpf =================================================================== --- wiegand_ctl/trunk/sim/wiegand_tb.mpf (nonexistent) +++ wiegand_ctl/trunk/sim/wiegand_tb.mpf (revision 16) @@ -0,0 +1,464 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +vital2000 = $MODEL_TECH/../vital2000 +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std + +; Altera Primitive libraries +; +; VHDL Section +; +altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf +altera = $MODEL_TECH/../altera/vhdl/altera +altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim +lpm = $MODEL_TECH/../altera/vhdl/220model +220model = $MODEL_TECH/../altera/vhdl/220model +maxii = $MODEL_TECH/../altera/vhdl/maxii +maxv = $MODEL_TECH/../altera/vhdl/maxv +fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm +sgate = $MODEL_TECH/../altera/vhdl/sgate +arriaii = $MODEL_TECH/../altera/vhdl/arriaii +arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi +arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip +arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz +arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi +arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip +stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv +stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi +stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip +cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv +cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi +cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip +cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive +stratixv = $MODEL_TECH/../altera/vhdl/stratixv +stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi +stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip +arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz +arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi +arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip +arriav = $MODEL_TECH/../altera/vhdl/arriav +cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev +; +; Verilog Section +; +altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf +altera_ver = $MODEL_TECH/../altera/verilog/altera +altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim +lpm_ver = $MODEL_TECH/../altera/verilog/220model +220model_ver = $MODEL_TECH/../altera/verilog/220model +maxii_ver = $MODEL_TECH/../altera/verilog/maxii +maxv_ver = $MODEL_TECH/../altera/verilog/maxv +fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm +sgate_ver = $MODEL_TECH/../altera/verilog/sgate +arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii +arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi +arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip +arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz +arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi +arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip +stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv +stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi +stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip +stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv +stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi +stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip +arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz +arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi +arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip +arriav_ver = $MODEL_TECH/../altera/verilog/arriav +arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi +arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip +cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev +cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi +cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip +cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv +cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi +cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip +cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Default or value of 3 or 2008 for VHDL-2008. +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +[vlog] + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turn on incremental compilation of modules. Default is off. +; Incremental = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license +; License = plus + +; Stop the simulator after a VHDL/Verilog assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing VHDL/Verilog assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave +; DefaultRestartOptions = -force + +; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs +; (> 500 megabyte memory footprint). Default is disabled. +; Specify number of megabytes to lock. +; LockedMemory = 1000 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +[lmc] + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of elaboration/runtime messages. +; The default is to have messages appear in the transcript and +; recorded in the wlf file (messages that are recorded in the +; wlf file can be viewed in the MsgViewer). The other settings +; are to send messages only to the transcript or only to the +; wlf file. The valid values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both +[Project] +; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 6 +Project_File_0 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_1 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testbench_top.v +Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1423253444 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_2 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v +Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_3 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v +Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1420060928 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_4 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testcase_1.v +Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1423253479 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_5 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v +Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 1 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 10 +Project_Minor_Version = 1 Index: wiegand_ctl/trunk/sim/wlftsbsfe8 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftsbsfe8 =================================================================== --- wiegand_ctl/trunk/sim/wlftsbsfe8 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftsbsfe8 (revision 16)
wiegand_ctl/trunk/sim/wlftsbsfe8 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/compile_hw.do =================================================================== --- wiegand_ctl/trunk/sim/compile_hw.do (nonexistent) +++ wiegand_ctl/trunk/sim/compile_hw.do (revision 16) @@ -0,0 +1,13 @@ +# common files for both modules +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v + +# uncomment to compile Wiegand TX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v + +# uncomment to compile Wiegand RX +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v + +# testbench stuff +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testbench_top.v +vlog -work work +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testcase_1.v Index: wiegand_ctl/trunk/sim/modelsim.ini =================================================================== --- wiegand_ctl/trunk/sim/modelsim.ini (nonexistent) +++ wiegand_ctl/trunk/sim/modelsim.ini (revision 16) @@ -0,0 +1,323 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = C:/altera/14.0/modelsim_ase/modelsim.ini + +; Altera Primitive libraries +; +; VHDL Section +; +; +; Verilog Section +; + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Default or value of 3 or 2008 for VHDL-2008. +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +[vlog] + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turn on incremental compilation of modules. Default is off. +; Incremental = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license +; License = plus + +; Stop the simulator after a VHDL/Verilog assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing VHDL/Verilog assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave +; DefaultRestartOptions = -force + +; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs +; (> 500 megabyte memory footprint). Default is disabled. +; Specify number of megabytes to lock. +; LockedMemory = 1000 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +[lmc] + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of elaboration/runtime messages. +; The default is to have messages appear in the transcript and +; recorded in the wlf file (messages that are recorded in the +; wlf file can be viewed in the MsgViewer). The other settings +; are to send messages only to the transcript or only to the +; wlf file. The valid values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both Index: wiegand_ctl/trunk/sim/wlftb8wv40 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftb8wv40 =================================================================== --- wiegand_ctl/trunk/sim/wlftb8wv40 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftb8wv40 (revision 16)
wiegand_ctl/trunk/sim/wlftb8wv40 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/vsim_rx.do =================================================================== --- wiegand_ctl/trunk/sim/vsim_rx.do (nonexistent) +++ wiegand_ctl/trunk/sim/vsim_rx.do (revision 16) @@ -0,0 +1 @@ +vsim -gui work.testbench_rx_top work.testcase_rx \ No newline at end of file Index: wiegand_ctl/trunk/sim/wlft6g1vah =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlft6g1vah =================================================================== --- wiegand_ctl/trunk/sim/wlft6g1vah (nonexistent) +++ wiegand_ctl/trunk/sim/wlft6g1vah (revision 16)
wiegand_ctl/trunk/sim/wlft6g1vah Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlftxqk451 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftxqk451 =================================================================== --- wiegand_ctl/trunk/sim/wlftxqk451 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftxqk451 (revision 16)
wiegand_ctl/trunk/sim/wlftxqk451 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlft8xwmfv =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlft8xwmfv =================================================================== --- wiegand_ctl/trunk/sim/wlft8xwmfv (nonexistent) +++ wiegand_ctl/trunk/sim/wlft8xwmfv (revision 16)
wiegand_ctl/trunk/sim/wlft8xwmfv Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wiegand_tb.cr.mti =================================================================== --- wiegand_ctl/trunk/sim/wiegand_tb.cr.mti (nonexistent) +++ wiegand_ctl/trunk/sim/wiegand_tb.cr.mti (revision 16) @@ -0,0 +1 @@ + Index: wiegand_ctl/trunk/sim/wlftse42a4 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftse42a4 =================================================================== --- wiegand_ctl/trunk/sim/wlftse42a4 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftse42a4 (revision 16)
wiegand_ctl/trunk/sim/wlftse42a4 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlfteyqibz =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlfteyqibz =================================================================== --- wiegand_ctl/trunk/sim/wlfteyqibz (nonexistent) +++ wiegand_ctl/trunk/sim/wlfteyqibz (revision 16)
wiegand_ctl/trunk/sim/wlfteyqibz Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlftajj3am =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftajj3am =================================================================== --- wiegand_ctl/trunk/sim/wlftajj3am (nonexistent) +++ wiegand_ctl/trunk/sim/wlftajj3am (revision 16)
wiegand_ctl/trunk/sim/wlftajj3am Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlft590gzw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlft590gzw =================================================================== --- wiegand_ctl/trunk/sim/wlft590gzw (nonexistent) +++ wiegand_ctl/trunk/sim/wlft590gzw (revision 16)
wiegand_ctl/trunk/sim/wlft590gzw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wave.do =================================================================== --- wiegand_ctl/trunk/sim/wave.do (nonexistent) +++ wiegand_ctl/trunk/sim/wave.do (revision 16) @@ -0,0 +1,93 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider {TX controller} +add wave -noupdate /testcase_1/testbench/wb_addr_i +add wave -noupdate -radix hexadecimal /testcase_1/testbench/wb_dat_i +add wave -noupdate /testcase_1/testbench/wb_cyc_i +add wave -noupdate /testcase_1/testbench/wb_stb_i +add wave -noupdate /testcase_1/testbench/wb_we_i +add wave -noupdate /testcase_1/testbench/wb_rst_i +add wave -noupdate /testcase_1/testbench/wb_clk_i +add wave -noupdate /testcase_1/testbench/one_o +add wave -noupdate /testcase_1/testbench/zero_o +add wave -noupdate -radix hexadecimal /testcase_1/testbench/wb_dat_o +add wave -noupdate /testcase_1/testbench/wb_ack_o +add wave -noupdate /testcase_1/testbench/wb_err_o +add wave -noupdate /testcase_1/testbench/wb_rty_o +add wave -noupdate -divider {RX controller} +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/one_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/zero_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_clk_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_rst_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_dat_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_dat_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_cyc_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_stb_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_we_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_sel_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_cti_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_adr_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_ack_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_err_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_rty_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/rst +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/data +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/dat_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/dat_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/data_o +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/pulsewidth +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/sampleCnt +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/p2p +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/msgLength +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/word_in +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/fifo_out +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/zero_edge +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/one_edge +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/zero_det +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/one_det +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/clk +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/lock_cfg +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filter1 +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filter0 +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filterCnt +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/sampleTime +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filterEn +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/bitCount +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/tpiCnt +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/tpi +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/errorClr +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/msgDone +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/msgError +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/zero +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/one +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/notzero +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/notone +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filtered1 +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/filtered0 +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_data_i +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/rst_FIFO +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wr_en +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/rd_en +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/full +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/empty +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/start_tx +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/full_dly +add wave -noupdate /testcase_1/testbench/wiegand_rx_top/wb_wr_en +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {9383386 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 365 +configure wave -valuecolwidth 81 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {9147233 ps} {9980065 ps} Index: wiegand_ctl/trunk/sim/wlftq3mnc6 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftq3mnc6 =================================================================== --- wiegand_ctl/trunk/sim/wlftq3mnc6 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftq3mnc6 (revision 16)
wiegand_ctl/trunk/sim/wlftq3mnc6 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/vsim.wlf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/vsim.wlf =================================================================== --- wiegand_ctl/trunk/sim/vsim.wlf (nonexistent) +++ wiegand_ctl/trunk/sim/vsim.wlf (revision 16)
wiegand_ctl/trunk/sim/vsim.wlf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: wiegand_ctl/trunk/sim/wlftedcri5 =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: wiegand_ctl/trunk/sim/wlftedcri5 =================================================================== --- wiegand_ctl/trunk/sim/wlftedcri5 (nonexistent) +++ wiegand_ctl/trunk/sim/wlftedcri5 (revision 16)
wiegand_ctl/trunk/sim/wlftedcri5 Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.