OpenCores
URL https://opencores.org/ocsvn/alternascope/alternascope/trunk

Subversion Repositories alternascope

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 25 to Rev 26
    Reverse comparison

Rev 25 → Rev 26

/tags/A1/README.txt
0,0 → 1,46
//////////////////////////////////////////////////////////////////////
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
//////////////////////////////////////////////////////////////////////
 
July 16, 2005
 
Alternascope is a quick and dirty way to debug circuits. Being a cheap and completely functional Oscilliscope, this is
ideal for students and hobbyists.
 
The entire package is developed on the Spartan III Development kit from Xilinx. On that development kit is a VGA port
and a PS/2 mouse port, making this project very easy to implement. Because of that, I have included the
"spartanIII.alternascope.mcs" file so that people can quickly give this a shot. Keep in mind though, it is just a
sneak preview of what it can be capable of - it is not nearly close to completion... just functional.
 
The file "spartanIII.alternascope.mcs" was compiled using Xilinx's ISE 7.1 and targeted for the Spartan-3,
SC3S200FT256 chip. If you would like a programming file for a different target, and for some reason can't get the
source files to compile, email me. If you would like a cheap development kit, go to www.Xilinx.com (about $100 bucks
for this one).
 
Eventually, I plan to make a couple of these (if there is interest) and sell them (at cost) to fellow keener students
like myself. Being a 4th year Electrical Engineering student (at the University of Calgary, Alberta, Canada), I could
have used this years ago - so hopefully it will be of aid to others.
 
If you have any questions, concerns, or comments, please don't hesitate to email me at smpickett@opencores.org.
 
Stephen Pickett
Calgary, Alberta
smpickett@opencores.org
 
 
 
 
/tags/A1/d_TopLevel.v
0,0 → 1,264
//==================================================================//
// File: d_TopLevel.v //
// Version: 0.0.0.3 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Apr , 2005 Under Development //
// Ver 0.0.0.2 Jun 08, 2005 Updates //
// Ver 0.0.0.3 Jun 19, 2005 Added Character Display //
// //
//==================================================================//
 
module TopLevel(
CLK_50MHZ_IN, MASTER_RST,
H_SYNC, V_SYNC, VGA_OUTPUT,
PS2C, PS2D,
// TIME_BASE,
ADC_DATA, CLK_ADC,
VGA_RAM_ADDR, VGA_RAM_DATA,
VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
SEG_OUT, SEG_SEL, leds, SHOW_LEVELS_BUTTON
);
 
//==================================================================//
// DEFINITIONS //
//==================================================================//
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ_IN, MASTER_RST;
output H_SYNC, V_SYNC;
output[2:0] VGA_OUTPUT;
//input[5:0] TIME_BASE;
inout PS2C, PS2D;
input[8:0] ADC_DATA;
output CLK_ADC;
output[17:0] VGA_RAM_ADDR;
inout[15:0] VGA_RAM_DATA;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
 
output[7:0] leds;
output[6:0] SEG_OUT;
output[3:0] SEG_SEL;
input SHOW_LEVELS_BUTTON;
wire SHOW_LEVELS_BUTTON;
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ_IN, MASTER_RST;
wire H_SYNC, V_SYNC;
wire[2:0] VGA_OUTPUT;
wire[5:0] TIME_BASE;
wire PS2C, PS2D;
wire[8:0] ADC_DATA;
wire CLK_ADC;
wire[17:0] VGA_RAM_ADDR;
wire[15:0] VGA_RAM_DATA;
wire VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
 
 
//----------------------//
// VARIABLES //
//----------------------//
assign TIME_BASE = 6'b0;
 
 
//==================================================================//
// TEMP //
//==================================================================//
reg[8:0] fake_adcData;
 
wire[17:0] VGA_RAM_ADDRESS_w;
wire[15:0] VGA_RAM_DATA_w;
wire L_BUTTON, R_BUTTON, M_BUTTON;
 
wire VGA_RAM_ACCESS_OK;
wire CLK_50MHZ, CLK_64MHZ, CLK180_64MHZ;
reg CLK_VGA;
wire[6:0] SEG_OUT;
wire[3:0] SEG_SEL;
 
wire[7:0] data_charRamRead;
reg[7:0] data_charRamRead_buf;
wire[7:0] mask_charMap;
reg[7:0] mask_charMap_buf;
 
 
always @ (posedge CLK_50MHZ) begin
if(R_BUTTON) begin
data_charRamRead_buf <= data_charRamRead_buf;
mask_charMap_buf <= mask_charMap_buf;
end else begin
data_charRamRead_buf <= data_charRamRead;
mask_charMap_buf <= mask_charMap;
end
end
 
sub_SegDriver segs(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.DATA_IN(fake_adcData[7:0]),
.SEG_OUT(SEG_OUT), .SEG_SEL(SEG_SEL)
);
 
wire[7:0] leds;
assign leds[7:0] = 8'b0;
 
/*- - - - - - - - - - - - - */
/* Fake ADC data */
/*- - - - - - - - - - - - - */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
fake_adcData <= 9'd0;
else
fake_adcData <= fake_adcData+1;
end
 
 
 
//==================================================================//
// SUBROUTINES //
//==================================================================//
//d_DCM_VGA clock_gen_VGA (
// .CLKIN_IN(CLK_50MHZ_IN),
// .RST_IN(MASTER_RST),
// .CLKFX_OUT(CLK_VGA),
// .CLKIN_IBUFG_OUT(CLK_50MHZ_B),
// .LOCKED_OUT(CLK_VGA_LOCKED)
// );
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST)
if(MASTER_RST) CLK_VGA <= 1'b0;
else CLK_VGA <= ~CLK_VGA;
 
 
wire CLK_64MHZ_LOCKED;
d_DCM clock_generator(
.CLKIN_IN(CLK_50MHZ_IN),
.RST_IN(MASTER_RST),
.CLKIN_IBUFG_OUT(CLK_50MHZ),
.CLK_64MHZ(CLK_64MHZ),
.CLK180_64MHZ(CLK180_64MHZ),
.LOCKED_OUT(CLK_64MHZ_LOCKED)
);
 
wire[11:0] XCOORD, YCOORD;
wire[9:0] TRIGGER_LEVEL;
Driver_mouse driver_MOUSE(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.PS2C(PS2C), .PS2D(PS2D),
.XCOORD(XCOORD), .YCOORD(YCOORD),
.L_BUTTON(L_BUTTON), .M_BUTTON(M_BUTTON), .R_BUTTON(R_BUTTON)
);
Driver_MouseInput Driver_MouseInput_inst(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.XCOORD(XCOORD[9:0]), .YCOORD(YCOORD[9:0]),
.L_BUTTON(L_BUTTON), .M_BUTTON(M_BUTTON), .R_BUTTON(R_BUTTON),
.TRIGGER_LEVEL(TRIGGER_LEVEL)
);
 
 
 
wire[8:0] ADC_RAM_DATA;
wire[10:0] ADC_RAM_ADDR;
wire ADC_RAM_CLK;
wire[10:0] TRIG_ADDR;
wire VGA_WRITE_DONE;
 
ADCDataBuffer ADC_Data_Buffer(
.CLK_64MHZ(CLK_64MHZ), .MASTER_CLK(MASTER_CLK), .MASTER_RST(MASTER_RST),
.TIME_BASE(TIME_BASE), .TRIGGER_LEVEL(TRIGGER_LEVEL[8:0]), .ADC_DATA(ADC_DATA[7:0]),
.CLK_ADC(CLK_ADC),
.SNAP_DATA_EXT(ADC_RAM_DATA), .SNAP_ADDR_EXT(ADC_RAM_ADDR), .SNAP_CLK_EXT(ADC_RAM_CLK)
);
 
 
//------------------------------------------------------------------//
// VGA //
//------------------------------------------------------------------//
wire[9:0] HCNT, VCNT;
wire[2:0] RGB_CHAR;
 
 
CharacterDisplay charTest(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.CLK_VGA(CLK_VGA), .HCNT(HCNT), .VCNT(VCNT),
.RGB_OUT(RGB_CHAR)
);
 
 
//wire[17:0] VGA_RAM_ADDRESS_w;
//wire[15:0] VGA_RAM_DATA_w;
wire VGA_RAM_OE_w, VGA_RAM_WE_w, VGA_RAM_CS_w;
wire[17:0] VGA_RAM_ADDRESS_r;
wire VGA_RAM_OE_r, VGA_RAM_WE_r, VGA_RAM_CS_r;
//wire VGA_RAM_ACCESS_OK;
 
assign VGA_RAM_ADDR = (VGA_RAM_ACCESS_OK) ? VGA_RAM_ADDRESS_w : VGA_RAM_ADDRESS_r;
assign VGA_RAM_DATA = (VGA_RAM_ACCESS_OK) ? VGA_RAM_DATA_w : 16'bZ;
assign VGA_RAM_OE = (VGA_RAM_ACCESS_OK) ? VGA_RAM_OE_w : VGA_RAM_OE_r;
assign VGA_RAM_WE = (VGA_RAM_ACCESS_OK) ? VGA_RAM_WE_w : VGA_RAM_WE_r;
assign VGA_RAM_CS = (VGA_RAM_ACCESS_OK) ? VGA_RAM_CS_w : VGA_RAM_CS_r;
 
VGADataBuffer ram_VGA_ramwrite(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.VGA_RAM_DATA(VGA_RAM_DATA_w), .VGA_RAM_ADDR(VGA_RAM_ADDRESS_w),
.VGA_RAM_OE(VGA_RAM_OE_w), .VGA_RAM_WE(VGA_RAM_WE_w), .VGA_RAM_CS(VGA_RAM_CS_w),
.VGA_RAM_ACCESS_OK(VGA_RAM_ACCESS_OK),
.ADC_RAM_DATA(ADC_RAM_DATA), .ADC_RAM_ADDR(ADC_RAM_ADDR), .ADC_RAM_CLK(ADC_RAM_CLK),
.TIME_BASE(TIME_BASE)
);
 
Driver_VGA driver_VGA(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.CLK_VGA(CLK_VGA),
.H_SYNC(H_SYNC), .V_SYNC(V_SYNC), .VGA_OUTPUT(VGA_OUTPUT),
.XCOORD(XCOORD), .YCOORD(YCOORD),
.VGA_RAM_DATA(VGA_RAM_DATA), .VGA_RAM_ADDR(VGA_RAM_ADDRESS_r),
.VGA_RAM_OE(VGA_RAM_OE_r), .VGA_RAM_WE(VGA_RAM_WE_r), .VGA_RAM_CS(VGA_RAM_CS_r),
.VGA_RAM_ACCESS_OK(VGA_RAM_ACCESS_OK),
.TRIGGER_LEVEL(TRIGGER_LEVEL[8:0]),
.SHOW_LEVELS(SHOW_LEVELS_BUTTON),
.HCNT(HCNT), .VCNT(VCNT),
.RGB_CHAR(RGB_CHAR)
);
 
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
endmodule
 
/tags/A1/AdcDriver/d_Driver_ADCRamBuffer.v
0,0 → 1,222
//==================================================================//
// File: d_Driver_ADCRamBuffer.v //
// Version: X //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// July 15, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver X July 15, 2005 Initial Development Release //
// //
//==================================================================//
 
module ADCDataBuffer(
CLK_64MHZ, MASTER_CLK, MASTER_RST,
TIME_BASE, TRIGGER_LEVEL, ADC_DATA,
CLK_ADC,
SNAP_DATA_EXT, SNAP_ADDR_EXT, SNAP_CLK_EXT,
sm_adc_ram, triggered
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter ss_fifo_fill = 2'b00;
parameter ss_fifo_half = 2'b01;
parameter ss_save_snapshot = 2'b11;
parameter ss_invalid = 2'b10;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_64MHZ;
input MASTER_CLK;
input MASTER_RST;
input[5:0] TIME_BASE;
input[8:0] TRIGGER_LEVEL;
input[8:0] ADC_DATA;
 
output CLK_ADC;
 
output[8:0] SNAP_DATA_EXT;
input[10:0] SNAP_ADDR_EXT;
input SNAP_CLK_EXT;
 
output[1:0]sm_adc_ram;
output triggered;
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_64MHZ, MASTER_CLK, MASTER_RST;
wire[5:0] TIME_BASE;
wire[8:0] TRIGGER_LEVEL;
wire[8:0] ADC_DATA;
wire CLK_ADC;
wire[8:0] SNAP_DATA_EXT;
wire[10:0] SNAP_ADDR_EXT;
wire SNAP_CLK_EXT;
 
 
//----------------------//
// VARIABLES //
//----------------------//
wire[8:0] data_from_adc;
reg triggered;
reg[1:0] sm_adc_ram;
reg[10:0] fifo_addr;
reg[8:0] data_from_adc_buffered;
reg[10:0] trig_addr;
wire[8:0] buf_adc_data;
reg[10:0] snap_addr, buf_adc_addr;
 
 
 
//==================================================================//
// 'SUB-ROUTINES' //
//==================================================================//
//------------------------------------------------------------------//
// Instanstiate the ADC //
//------------------------------------------------------------------//
 
Driver_ADC ADC(
.CLK_64MHZ(CLK_64MHZ),
.MASTER_RST(MASTER_RST),
.TIME_BASE(TIME_BASE),
.CLK_ADC(CLK_ADC),
.ADC_DATA(ADC_DATA),
.DATA_OUT(data_from_adc)
);
 
//------------------------------------------------------------------//
// Initialize the RAMs WE WILL NEED MORE! //
// RAM is structured as follows: //
// Dual-Access RAM //
// 18kBits -> 2048Bytes + 1Parity/Byte //
// Access A: 8bit + 1parity (ADC_Write) //
// Access B: 8bit + 1parity (Read) //
//------------------------------------------------------------------//
wire VCC, GND;
assign VCC = 1'b1;
assign GND = 1'b0;
 
RAMB16_S9_S9 ADC_QuasiFifo_Buffer(
.DOA(), .DOB(buf_adc_data[7:0]),
.DOPA(), .DOPB(buf_adc_data[8]),
.ADDRA(fifo_addr), .ADDRB(buf_adc_addr),
.CLKA(CLK_ADC), .CLKB(CLK_ADC),
.DIA(data_from_adc[7:0]), .DIB(8'b0),
.DIPA(data_from_adc[8]), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
RAMB16_S9_S9 ADC_Data_Snapshot(
.DOA(), .DOB(SNAP_DATA_EXT[7:0]),
.DOPA(), .DOPB(SNAP_DATA_EXT[8]),
.ADDRA(snap_addr), .ADDRB(SNAP_ADDR_EXT),
.CLKA(CLK_ADC), .CLKB(SNAP_CLK_EXT),
.DIA(buf_adc_data[7:0]), .DIB(8'b0),
.DIPA(buf_adc_data[8]), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
/* STATE_MACHINE */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
sm_adc_ram <= ss_fifo_fill;
else begin
// if(sm_adc_ram != ss_fifo_fill || sm_adc_ram != ss_fifo_half || sm_adc_ram != ss_save_snapshot)
// sm_adc_ram <= ss_fifo_fill;
if(sm_adc_ram == ss_fifo_fill && triggered)
sm_adc_ram <= ss_fifo_half;
else if(sm_adc_ram == ss_fifo_half && (fifo_addr == trig_addr + 11'd1024))
sm_adc_ram <= ss_save_snapshot;
else if(sm_adc_ram == ss_save_snapshot && snap_addr == 11'd2047)
sm_adc_ram <= ss_fifo_fill;
else if(sm_adc_ram == ss_invalid)
sm_adc_ram <= ss_fifo_fill;
else
sm_adc_ram <= sm_adc_ram;
end
end
 
/* FIFO ADDR */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
fifo_addr <= 11'b0;
else if(sm_adc_ram == ss_fifo_fill || sm_adc_ram == ss_fifo_half)
fifo_addr <= fifo_addr + 1;
else
fifo_addr <= fifo_addr;
end
 
/* TRIGGER */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
data_from_adc_buffered <= 9'b0;
else
data_from_adc_buffered <= data_from_adc;
end
 
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
triggered <= 1'b0;
else
triggered <= (data_from_adc_buffered < TRIGGER_LEVEL && data_from_adc >= TRIGGER_LEVEL);
end
 
always @ (posedge triggered or posedge MASTER_RST) begin
if(MASTER_RST)
trig_addr <= 11'b0;
else if(sm_adc_ram == ss_fifo_fill)
trig_addr <= fifo_addr;
else
trig_addr <= trig_addr;
end
/* SNAPSHOT */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST) begin
snap_addr <= 11'b0;
buf_adc_addr <= 11'b0;
end else if(sm_adc_ram == ss_save_snapshot) begin
snap_addr <= snap_addr + 1;
buf_adc_addr <= buf_adc_addr + 1;
end else begin
buf_adc_addr <= trig_addr;
snap_addr <= 11'b0;
end
end
 
endmodule
/tags/A1/AdcDriver/d_Driver_ADC.v
0,0 → 1,202
//==================================================================
// File: d_Driver_ADC.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module Driver_ADC(
CLK_64MHZ, MASTER_RST,
TIME_BASE,
CLK_ADC, ADC_DATA,
DATA_OUT
);
 
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter US1 = 5'd0;
parameter US2 = 5'd1;
parameter US4 = 5'd2;
parameter US8 = 5'd3;
parameter US16 = 5'd4;
parameter US32 = 5'd5;
parameter US64 = 5'd6;
parameter US128 = 5'd7;
parameter US512 = 5'd8;
parameter US1024 = 5'd9;
parameter US2048 = 5'd10;
parameter US4096 = 5'd11;
parameter US8192 = 5'd12;
parameter US16384 = 5'd13;
parameter US32768 = 5'd14;
parameter US65536 = 5'd15;
parameter US131072 = 5'd16;
parameter US262144 = 5'd17;
parameter US524288 = 5'd18;
parameter US1048576 = 5'd19;
parameter US2097152 = 5'd20;
parameter US4194304 = 5'd21;
parameter US8388608 = 5'd22;
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_64MHZ; // Global System Clock
input MASTER_RST; // Global Asyncronous Reset
input[5:0] TIME_BASE; // The selected V/Div
input[8:0] ADC_DATA; // Data recieved from ADC
output CLK_ADC; // Clock out to the ADC
output[8:0] DATA_OUT; // Data output (essentially buffered from ADC by one clk)
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_64MHZ, MASTER_RST;
wire[5:0] TIME_BASE;
wire[8:0] ADC_DATA;
wire CLK_ADC;
reg [8:0] DATA_OUT;
 
//----------------------//
// VARIABLES //
//----------------------//
reg[15:0] Counter_CLK;
wire CLK_32MHZ, CLK_16MHZ, CLK_8MHZ, CLK_4MHZ, CLK_2MHZ, CLK_1MHZ, CLK_500KHZ, CLK_250KHZ, CLK_125KHZ,
CLK_62KHZ, CLK_31KHZ, CLK_16KHZ, CLK_8KHZ, CLK_4KHZ, CLK_2KHZ, CLK_1KHZ;
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST) DATA_OUT <= 9'b0;
else DATA_OUT <= ADC_DATA;
end
 
assign CLK_ADC = CLK_62KHZ;
 
 
//------------------------------------------------------------------//
// CLOCK GENERATION AND SELECTION //
//------------------------------------------------------------------//
 
always @ (posedge CLK_64MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_CLK <= 16'b0;
end else begin
Counter_CLK <= Counter_CLK + 1;
end
end
 
 
assign CLK_32MHZ = Counter_CLK[0];
assign CLK_16MHZ = Counter_CLK[1];
assign CLK_8MHZ = Counter_CLK[2];
assign CLK_4MHZ = Counter_CLK[3];
assign CLK_2MHZ = Counter_CLK[4];
assign CLK_1MHZ = Counter_CLK[5];
assign CLK_500KHZ = Counter_CLK[6];
assign CLK_250KHZ = Counter_CLK[7];
assign CLK_125KHZ = Counter_CLK[8];
assign CLK_62KHZ = Counter_CLK[9];
assign CLK_31KHZ = Counter_CLK[10];
assign CLK_16KHZ = Counter_CLK[11];
assign CLK_8KHZ = Counter_CLK[12];
assign CLK_4KHZ = Counter_CLK[13];
assign CLK_2KHZ = Counter_CLK[14];
assign CLK_1KHZ = Counter_CLK[15];
//assign CLK_500HZ = Counter_CLK[16];
/*
 
always @ (TIME_BASE or MASTER_RST or CLK_64MHZ or CLK_32MHZ or CLK_16MHZ or
CLK_8MHZ or CLK_4MHZ or CLK_2MHZ or CLK_1MHZ or CLK_500KHZ or CLK_250KHZ or
CLK_125KHZ or CLK_62KHZ or CLK_31KHZ or CLK_16KHZ or CLK_8KHZ or CLK_4KHZ or
CLK_2KHZ or CLK_1KHZ) begin
if(MASTER_RST == 1'b1) begin
CLK_ADC = 1'b0;
end else if(TIME_BASE == 6'd0) begin // 1us/Div, 1samp/pxl
CLK_ADC = CLK_64MHZ;
end else if(TIME_BASE == 6'd1) begin // 2us/Div, 2samp/pxl
CLK_ADC = CLK_64MHZ;
end else if(TIME_BASE == 6'd2) begin // 4us/Div, 2samp/pxl
CLK_ADC = CLK_32MHZ;
end else if(TIME_BASE == 6'd3) begin // 8us/Div, 2samp/pxl
CLK_ADC = CLK_16MHZ;
end else if(TIME_BASE == 6'd4) begin // 16us/Div, 2samp/pxl
CLK_ADC = CLK_8MHZ;
end else if(TIME_BASE == 6'd5) begin // 32us/Div, 2samp/pxl
CLK_ADC = CLK_4MHZ;
end else if(TIME_BASE == 6'd6) begin // 64us/Div, 2samp/pxl
CLK_ADC = CLK_2MHZ;
end else if(TIME_BASE == 6'd7) begin // 128us/Div, 2samp/pxl
CLK_ADC = CLK_1MHZ;
end else if(TIME_BASE == 6'd8) begin // 256us/Div, 2samp/pxl
CLK_ADC = CLK_500KHZ;
end else if(TIME_BASE == 6'd9) begin // 512us/Div, 2samp/pxl
CLK_ADC = CLK_250KHZ;
end else if(TIME_BASE == 6'd10) begin // ...
CLK_ADC = CLK_125KHZ;
end else if(TIME_BASE == 6'd11) begin
CLK_ADC = CLK_62KHZ;
end else if(TIME_BASE == 6'd12) begin
CLK_ADC = CLK_31KHZ;
end else if(TIME_BASE == 6'd13) begin
CLK_ADC = CLK_16KHZ;
end else if(TIME_BASE == 6'd14) begin
CLK_ADC = CLK_8KHZ;
end else if(TIME_BASE == 6'd15) begin
CLK_ADC = CLK_4KHZ;
end else if(TIME_BASE == 6'd16) begin
CLK_ADC = CLK_2KHZ;
end else if(TIME_BASE == 6'd17) begin
CLK_ADC = CLK_1KHZ;
// end else if(TIME_BASE == 6'd18) begin
// CLK_ADC = CLK_500HZ;
/*
end else if(TIME_BASE == 6'd19) begin
CLK_ADC = CLK_US524288;
end else if(TIME_BASE == 6'd20) begin
CLK_ADC = CLK_US1048576;
end else if(TIME_BASE == 6'd21) begin
CLK_ADC = CLK_US2097152;
end else if(TIME_BASE == 6'd22) begin
CLK_ADC = CLK_US4194304;
end else if(TIME_BASE == 6'd23) begin
CLK_ADC = CLK_US8388608;
*//*
end else begin
CLK_ADC = 1'b0;
end
end
//------------------------------------------------------------------//
// ADC DATA READING //
//------------------------------------------------------------------//
always @ (negedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
DATA_OUT <= 8'b0;
end else begin
DATA_OUT <= ADC_DATA;
end
end
 
//assign DATA_OUT = ADC_DATA;
*/
endmodule
 
 
 
/tags/A1/AdcDriver/d_DCM.xaw
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.2e
$9ax4>7<8=1;"=7>;0685+5302;=+eM?813867=58;199?5<2695*6(7;`=0M_MGWHX23>GU_SUDBAWPC@Q@EAOIOVIGG<64ASUY[JHKQVIGGD@PEYVFVKGK9k1J^ZTPOONZ[AEJWZ]BXNFFNF]@HN773HX\VRAALX]G@WYD88:0M_YU_NLO]ZBCZVI:=<5NRVX\KKJ^WMNYSNBD179BVR\XGGFRSIJ]_GNJTCJHX8?0M_YU_NLO]Z@EWLR_I_@NL008EWQ]WFDGURGASU]MQHc<I[]QSB@CY^RNGA703HX\VRAALX]QAFIIN@MT\D@\149BVR\XGGFRS_K\EU]OKBOD911J^ZTPOONZ[SGKAMUOJ^QBOEGb?DUTGJU\EYF>3:CT^ZEKCK_M=RLCKOCNA43<I^PTOAEMUG3\MZUNOGKFI<;4AVX\GIME]O;TCR]FGOCNAc=F_SUH@FLZFU[SA4b<I^PTOAEBUY]J5f=F_SUM@BY[YQG;?DQ]WYKYXi5NW[]P]KRO\FEG86L@TI68FP@@:01H6QA|c]ZjhlhTMxbzh||\@f`@woqm{VoSIMB.yct0>EKC9<0OAE>81a8GIM609U=8@FU6:AOO717=2IGG?V7;BNH6]7?8<1H@F7?5:AOOAPd3JF@H[QKIWKGA0=DDBLI96MCKGZ;?FJLNQ;3<n5LLJD[5=6XJ\L:>6MCKGZ2<5YE]OMTEC][9:AOOC^XJ\Lm7NBDFY]AQCAXAGY_o6MCKGZ\@LPNLLo0OAEIX^OVHQOWDP?0OAEFN038GIMNFVNBZDJJ_C[\6g=DDBCESDLZFF33?FJLAGUBNXHH_HLPPc=DDBCESDLZFF]LQQ><KEABBRGAc:AOOLHXXLXBCIk4CMIJJZUUKV^R\H?=;BNHKPRXXAKXIR]GIGV:?FJLW?>FDWm4CMI\B@CCJHI@j6MCK^MVPUSSW]S[I45LOLOJPQCC?2IYILZJD29GGH><LJGTEC][d:FFWNCPWHNAY^Z>2:FEWZ@UMX_NBNWPMNFF57=CDEUM^H_ZEOAZ[HICM<1O_^KA149GQQ_XKPIGIRM@RSG@PLII?2NTZLBZE99F\QCUFHFj7KOLTNPZ[O@23OKG_H74FC]PKPTDM01MECQZNHVPe>@H]]U^BDZ\a:DLQQYQIE_N>6HW1:K6?LDRNN;:7GG[TDP\MKVR\V^R\Hm4JHVWAWYWC;Z@o6DFTUGQ[SGK]L<0@BOKEEa8HJEFD[E^XD@H6:NLGNCCi2FDOFKK_NWW7>JH_k1GCZ]DEVNJJ@5<EAPi7@QNNE]G[VRU?2GTZLBZE69MAQQHZB>0BB@J1:M;?J@CL[I[Io5_H@QF[VNNN]30\D@GAR@VWd=WAGCXKCJJD99SMKQNL]B37]\FMU[SA46<X[E[S]GAIRC@PLPB=2ZXOAE9;QQGKKC33YYNB55_SHL@@@E43[Y_:6\\T^KMf>UNOLR_I_@NL79PMKAKMj1XXL\[UQ]TELR13Z^JXX]>2:QZWQCJWZSEOE\@NNWP7>RHX=1_^XKi;TQFHJEFD[E^XD@Hf:WPAIIP[BO\@D@JS99VW@YE]OM37X]J_FPJ@0=QAL]:n6VNW^TFVVOHF8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1S_Y84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96U?:1_6?^6=?T>0myu3:f`ig=qm{ybcc.>.168rmbkm2rh?~<?0,6ep731uIJ{?:5O@y07>C<?28qX89477;::>456n;>j6?m934ym<6<63g2?6?5+838;4>{T<:03;766:012b72f2;i2oo5\5`8;3?>>289:j?:m:9353>U3;32<6575123e61d=08ih7^;n:959<<<6;8l98o4746;8W15=0>0357?<1g07f?>30?1X9l477;::>456n;>i6n6>a:Q77?>02131=>?i25`9g=be3m2<6=4>:0yP01<??3226<=>f36b>7e1;<1}X:k50;395??|[=>14:479;305c43i38h:>;4b6:94?6=:33p(o478:&2b?>f3-8;65l4$339<4=#?o0j7o:9:1827?6=8r.=n7::;%a96f=#l3=?7)k5249'b?423-;;6?84$03960=#9;0=:6*>3;57?!732:1/=8494:&23?2a3-;36?<4$53921=#:m0=7)=::99'73<>3-9369h4$2f93>"4m3>o7)=i:768 11=?>1/8n47;%6;>0c<,=319h5+4c84=>"3m3?0(8>5659'14<182.>>78?;%70>5=#=>0==6*92;;8 31=92.<97:i;%5`>2b<,8<18k5+43860>"21380(8654:k03?6=,?k1485+6b84=>"6l3?=76g=e;29 3g=0<1/:4489:9j34<72-<j65;4$7a93<=<a?l1<7*9a;:6?!0>2>307d9?:18'2d<?=2.=5796;:k5`?6=,?k1485+6884=>=n<h0;6)8n:978 3?=?01/:n489:9l60<72-<j65;4;n0a>5<#>h03965`2783>!0f21?07b<7:18'2d<?=21d>n4?:%4b>=3<3f826=4+6`8;1>=h:h0;6)8n:978?j4a290/:l475:&5g?1>3-;n6=5+1e86b>"6k3>;76a<0;29 3g=0<1/:n489:&2a?6<,8n19k5+1b813>=h??0;6)8n:948 3>=?010c8m50;&5e?>23-<h6:74;n4f>5<#>h03965rb2c94?4=83:p(;l5579j10<72-<j65;4$7a93<=#9k08o65`6483>!0f21?0(;m5789'5f<4121v>750;0x910=;>16?l495:&2=?5e3ty<>7>51z?72?163-=868;4}r10>5<6s4>=6?h4$6c94>"613>;7)9m:19~w67=83;p1985319'3d<73-;26?94$6`94>{t=m0;6<u24786g>"0;3<>7p}<c;295~;4i3?>7)9<:478yv27290;w)9<:778yv40290;w)9<:778yv5e290;w)9<:778yxh5=3:1=vsa2783>4}zf;=1<7?t}o0;>5<6std957>51zm6d<728qvb?l50;3xyk4d290:wp`=d;295~{zutJKOv=8:0227=7dntJKNv>r@ARxyEF
/tags/A1/spartanIII.alternascope.mcs
0,0 → 1,8188
:020000040000FA
:10000000FFFFFFFF5599AA660C000180000000E089
:100010000C8006800000002C0C8004800200FCA7ED
:100020000C800380808202C90C0003800000000065
:100030000C000180000000900C0004800000000013
:100040000C000180000000800C0002000A00FE117C
:1000500000000000000000000000000000000000A0
:100060000000000000000000000000000000000090
:100070000000000000000000000000000000000080
:100080000000000000000000000000000000000070
:100090000000000000000000000000000000000060
:1000A0000000000000000000000000000000000050
:1000B000000000000000520000000000005200009C
:1000C0000000000000000000000000000000000030
:1000D0000000000000000000000000000000000020
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000000000
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:1001300000000000000000000000000000000000BF
:1001400000000000000000000000000000000000AF
:10015000000000000000000000000000000000009F
:10016000000000000000000000000000000000008F
:10017000000000000000000000000000000000007F
:10018000000000000000000000005A000000000015
:10019000005200000000000000000000000000000D
:1001A000000000000000000000000000000000004F
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D000000000000000000000000000000000001F
:1001E000000000000000000000000000000000000F
:1001F0000000000000000000004040478800002090
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000000DE
:1002200000000000000000000000000000000000CE
:1002300000000000000000000000000000000000BE
:1002400000000000000000000000000000000000AE
:10025000000000000000000000000000000000009E
:10026000000000000000000000000000000000008E
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B000000000000000000000000000000000003E
:1002C000000000000C00000106000000000000001B
:1002D000000000000000050C86A005312818000071
:1002E0000000053128180000000000000000050C87
:1002F00086A0050028180000000000000000050C82
:1003000000A005002818050C00A0053128180000E1
:10031000000005002818050C00A0050028180000A2
:10032000000000000000050C00A0050028180000D7
:100330000000053128180000000005312818050CC0
:1003400000A00500281800000000000000000000C8
:10035000000005002818050C00A005002818050C51
:1003600086A005002818050C00A00500281800002C
:10037000000000000000050C00A005002818000087
:10038000000000000000050C00A000000000050CAB
:1003900086A00531281800000000000000000000C1
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000000000000000000000002D
:1003D000000000000000000000000000000000001D
:1003E000000000000000000000000000000000000D
:1003F00000000000000000000000000000000000FD
:1004000000000000000000000000000000000000EC
:1004100000000000000000000000000000000000DC
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:100470001800000000000000000000000000000064
:10048000000000810000000000000080000000006B
:1004900000000000000000000000008108000000D3
:1004A00000000000000000000000108108000000B3
:1004B0000000108100000000000000800800000023
:1004C0000000108108000000000000000000000093
:1004D0000000108108000000000000800000000003
:1004E00000000080000000000000108108000000F3
:1004F0000000000000000000000000800800000074
:1005000000001081080000000000008108000000C9
:100510000000108108000000000000000000000042
:100520000000108108000000000000000000000032
:100530000000100100000000000000810000000029
:1005400000000000000000000000000000000000AB
:10055000000000000000008100000000000000809A
:10056000000000000000000000000000000000810A
:1005700000000000000000000000000000000081FA
:10058000000000000000008100000000000000806A
:1005900000000000000000810000000000000000DA
:1005A000000000000000008100000000000000804A
:1005B000000000000000008000000000000000813A
:1005C00000000000000000000000000000000080AB
:1005D0000000000000000081000000000000008119
:1005E000000000000000008100000000000000008A
:1005F000000000000000008100000000000000007A
:100600000000000000000001000000000000008168
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000000000000000000000000000000AA
:10065000000000000000000000000000000000009A
:10066000000000000000000000000000000000008A
:10067000000000000000000000000000000000007A
:10068000000000000000000000000000000000006A
:10069000000000000000000000000000000000005A
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D000000000000000000000000000000000001A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000000000000000000000000E9
:1007100000000000000000000000000000000000D9
:1007200000000000000000000000000000000000C9
:1007300000000000000000000000000000000000B9
:1007400000000000000000000000000000000000A9
:100750000000000000000000000000000000000099
:100760000000000000000000000000000000000089
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:100790000000000000000000000000000000000059
:1007A0000000000000000000000000000000000049
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E0000000000000000000000000000000000009
:1007F00000000000000000000000000000000000F9
:1008000000000000000000000000000000000000E8
:1008100000000000000000000000000000000000D8
:1008200000000000000000000000000000000000C8
:1008300000000000000000000000000000000000B8
:1008400000000000000000000000000000000000A8
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0000000000000000000000000000000000038
:1008C0000000000000000000000000000000000028
:1008D0000000000000000000000000000000000018
:1008E0000000000000000000000000000000000008
:1008F00000000000000000000000000000000000F8
:1009000000000000000000000000000000000000E7
:1009100000000000000000000000000000000000D7
:1009200000000000000000000000000000000000C7
:1009300000000000000000000000000000000000B7
:1009400000000000000000000000000000000000A7
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:100990000000000000000000000000000000000057
:1009A0000000000000000000000000000000000047
:1009B0000000000000000000000000000000000037
:1009C0000000000000000000000000000000000027
:1009D0000000000000000000000000000000000017
:1009E0000000000000000000000000000000000007
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000000000000000000000000086
:100A70000000000000000000000000000000000076
:100A80000000000000000000000000000000000066
:100A90000000000000000000000000000000000056
:100AA0000000000000000000000000000000000046
:100AB0000000000000000000000000000000000036
:100AC0000000000000000000000000000000000026
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000006
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B2000280000000000000010000000000000008D
:100B300000000000000000000000000000000000B5
:100B400000000000000000000000000000000000A5
:100B50007000000000000000000000000000000025
:100B60000000000000020000000000000000000083
:100B70000000000000000000600000000000000015
:100B800070000000000000000000000000020000F3
:100B90000000000000000000000000000000000055
:100BA0000000000000000000000000000002000043
:100BB0000000000000000000000000000000000035
:100BC0000000000000020000000000000000000023
:100BD0000000000000000000A00000000001000074
:100BE0000000000000000000000000000000000005
:100BF0000000000000000000A00000001000000045
:100C000000000000000000000000000000000000E4
:100C100000000000000000000000000000000000D4
:100C2000A002000010000000000000000000000012
:100C30000000000000000000200000000000000094
:100C40000000000000000000200200001000000072
:100C50000000000010000000000000000000000084
:100C60002000000000000000000000000000000064
:100C70000000000000000000C000000000000000B4
:100C80002000000000000000200200000000000022
:100C90000000000000000000200000000000000034
:100CA0000000000000000000C00200000000000082
:100CB000A000000000000000000000000000000094
:100CC000000000000000000000000000080000001C
:100CD0000000000000000000000000000000000014
:100CE000800000000800000000000000000000007C
:100CF000800000000000000000000000080000006C
:100D000000000000000000000000000000000000E3
:100D10000000000000000000800000000000000053
:100D200000000000000000000000000000000000C3
:100D300000000000000000000000000000000000B3
:100D4000000000000000000000000000080000009B
:100D50000000000000000000800000000000000013
:100D60000000000000000000000000000000000083
:100D70000000000000000000000000000000000073
:100D800080000000000000000000000000000000E3
:100D90000000000000000000000000001000000043
:100DA000C000000010000000000000000000000073
:100DB0000000000000000000000000000000000033
:100DC0000000000000000000000000001000000013
:100DD00000000000100000000000000010000000F3
:100DE0000000000000000000000000000000000003
:100DF00000000000000000000000000000000000F3
:100E000000000000000000000000000000000000E2
:100E100000000000100000000000000000000000C2
:100E200000000000100000000000000000000000B2
:100E300000000000000000000000000010000000A2
:100E400000000000000000000000000000000000A2
:100E50000000000000000000B000000000000000E2
:100E60000000000000000000000000000000000082
:100E700050000000000100005000000000000000D1
:100E80000000000000000000600000000002000000
:100E900000000000000000006000000000000000F2
:100EA00050000000000000005000000000000000A2
:100EB00060000000000000000000000000000000D2
:100EC000700000000000000058000000000000005A
:100ED00058000000000000001800000000000000A2
:100EE0000000000000000000700000000000000092
:100EF0006800000000000000500000000002000038
:100F000010000000000000000000000000000000D1
:100F10007000000000000000000000000000000061
:100F200000000000000000001000000000000000B1
:100F300000000000000000000000000000000000B1
:100F40000000000000000000880000000000000019
:100F50000000000000000000200000000000000071
:100F60000000800000000000000000000000000001
:100F700000002000000000000000000000008000D1
:100F80000000200001000000000000000000000040
:100F90000000200000000000000001000000000030
:100FA00000000000200080000000000000000000A1
:100FB0000000000080002000000000000000000091
:100FC0000000000000008000200000000020000061
:100FD0000000000000000000000080008000800091
:100FE00000000000000000000000000020000000E1
:100FF00000000000000008000000000800000100E0
:1010000000080000000000000000000000000000D8
:1010100000000000000000000000000008000000C8
:1010200000000000000000000000001000000000B0
:101030000000000000000000001090000000000010
:101040000000000000000000001000000000000090
:101050000000B000000000000000000000000000E0
:101060000000000000000000000000000000000080
:1010700090000000000000000000000000000000E0
:101080000000000000000000000000000000000060
:101090000000000000000000000000000000000050
:1010A0000000000000000000000000000000000040
:1010B0000000000000000000000000000000000030
:1010C0000000000000000000000008000000000810
:1010D00000000000000C0000000000000000000004
:1010E0000000000000000000000000000000000000
:1010F0003000000000000000000000000000008040
:10110000300000000000000000000000008000002F
:10111000000000000000000000003000008000001F
:101120000000000000001000000030000C00000073
:10113000000000000000000000003000000000007F
:101140000000080000000000000000001000300057
:1011500000000000000000000000000030001050FF
:1011600000000000006000000000000000003000EF
:10117000100000000030000000000000000000002F
:1011800000001000300030000000000000000000EF
:1011900000000000100000000000000000500000EF
:1011A0000000000000000C00000000000000000033
:1011B000000000000000000000000000000000002F
:1011C0000000000000040000000000000004000017
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000400000000000000000000EB
:1012000000000000000000000000000000000000DE
:1012100000000000000000000000000000040000CA
:1012200000000000000000000000000000000000BE
:1012300000000000000000000000000000000000AE
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000400006A
:10128000000000000000000000000000000000005E
:101290000000000000000000040100000000000049
:1012A000000100000000000000000000000000003D
:1012B000040000000000000000000000000000002A
:1012C000000000000000000000010000000000001D
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F00000010000000000000000000000000000ED
:1013000000000000000000000000000000000000DD
:1013100000000000000000000000000000000000CD
:1013200004000000000000000000000000000000B9
:1013300000000000000000000000000000000000AD
:10134000000000000000000000000000000000009D
:101350000401000000000000000000000000000088
:101360000000000000000000000000000400000079
:10137000000000000000000000000000000000006D
:101380000000000004000000000000000000000059
:10139000000000000000000000000000000000004D
:1013A000000000000000000000000000000000003D
:1013B000000000000000000000000000000000002D
:1013C0000000000000000000000004000000000019
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000000000040000000000000000000000E9
:1014000000000000000000000000000000000000DC
:1014100000000000000000000000000000000000CC
:1014200000000000040000000000000000000000B8
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000554555510000000000003C
:10147000000000000000000000000000000000006C
:10148000000000000000000000000000000000005C
:10149000000000000000554555153535FFFF0000E0
:1014A00000001D1DFFFF3F30FFFF00000000000097
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E00000000000000000000000000000000000FC
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:1015100000000000000000000000000000000000CB
:1015200000000000000000000000000000000000BB
:101530000000000000000000000000000C0000009F
:10154000000000000000000000000000000000009B
:10155000000000000000000000000000000000008B
:101560000000000000000000000000000C0000006F
:101570000C000000000000000C0000000C00000047
:10158000000000000000000000000000000000005B
:10159000000000000000000000000000000000004B
:1015A000000000000000000000000000000000003B
:1015B000000000000000000000000000000000002B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000000000000000000FB
:1015F00000000000000000000000000000000000EB
:1016000000000000000000000000000000000000DA
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:1016300000000000000000000000000000000000AA
:10164000000000000000000000000000000000009A
:10165000000000000000000000000000000000008A
:10166000000000000000000000000000000000007A
:10167000000000000000000000000000000000006A
:10168000000000000000000000000000000000005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E00000000000000000000000000000000000FA
:1016F00000000000000000000000000000000000EA
:1017000000000000000000000000000000000000D9
:10171000000000000000FD00F700000000000000D5
:10172000000000000000000000000FFFFFFFFFFFAF
:10173000FFFF0000000000000000000000000000AB
:101740000000000000000000000000000000000099
:101750000000000000000000000000000000000089
:101760000000000000000000000000000000000079
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B0000000000000000000000000000000000029
:1017C0000000000000000000000000000000000019
:1017D0000000000000000000000000000000000009
:1017E00000000000000000000000000000000000F9
:1017F00000000000000000000000000000000000E9
:1018000000000000000000000000000000000000D8
:1018100000000000000000000000000000000000C8
:1018200000000000000000000000000000000000B8
:1018300000000000000000000000000000000000A8
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000000000000000000000000000000078
:101870000000000000000000000000000000000068
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C0000000000000000000000000000000000018
:1018D0000000000000000000000000000000000008
:1018E00000000000000000000000000000000000F8
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000000000000000000D7
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000000000000000000000A7
:101940000000000000000000000000000000000097
:10195000000000000000000000000000A0000000E7
:101960000000000000000000000000000000000077
:101970000000000000000000000000000000000067
:1019800000000000000000000000000020800000B7
:101990000000000000C00000004000000000000047
:1019A0000000000000000000000000000000000037
:1019B000C000000000000000000000000000000067
:1019C0000000000000000000000000000000000017
:1019D0000000000000000000000000000000000007
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000000000000000D6
:101A100000000000000000000000000000000000C6
:101A200000000000000000000000000000000000B6
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A600000040000000000000040000000400000F2
:101A70000000000000000000000000000000000066
:101A80000000000001000000000000000000000055
:101A90000000000000000000000000000000000046
:101AA0000000000000000000000000000000000036
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000000000F6
:101AF00000000000000000000000000000000000E6
:101B0000000000002801000000300000000000007C
:101B100000000000000000000000000000000000C5
:101B200000000000000000000000000000000000B5
:101B3000000000001801000000C80C0000000000B8
:101B40000400000500000000000400400000000048
:101B50000001000000000000000000000000000084
:101B60000000000000000000000000000000000075
:101B70000000000000000000000000000000000065
:101B80000000000000000000000000000000000055
:101B90000000000000000000000000000000000045
:101BA0000000000000000000000000000000000035
:101BB0000000000000000000000000000000000025
:101BC0000000000000000000000000000000000015
:101BD00000000000000000002000000000B4000031
:101BE00000000000000000000000000000000000F5
:101BF00000000000000000000000000000000000E5
:101C000000000000000000008000000000401C00F8
:101C1000000080C800000010000000400400000028
:101C200008000000C00000000000000000000000EC
:101C300000000000000000000000000000000000A4
:101C40000000000000000000000000000000000094
:101C50000000000000000000000000000000000084
:101C60000000000000000000000000000000000074
:101C70000000000000000000000000000000000064
:101C80000000000000000000000000000000000054
:101C90000000000000000000000000000000000044
:101CA0000000000000000000000000000000000034
:101CB0000010000000000000000000000000000014
:101CC0000000000000000000000000000000000014
:101CD0000000000000000000000000008002000082
:101CE0000000910900004001000000000000000019
:101CF00000000000000000000000000000000000E4
:101D000000000000000000000000000000000000D3
:101D100000000000000000000000000000000000C3
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D60000000000000000000000000000000000073
:101D70000000000000000000000000000000000063
:101D80006000000000600000000000000000000093
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB000800000000000060000000001000000108C
:101DC00000000000004000000000000000000000D3
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E000000000000000000000000000000000000D2
:101E100000000000000000000000000000000000C2
:101E200000000000000000000000000000000000B2
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E500000000000680100000064000000000000B5
:101E60000000000000000000000000000000000072
:101E70000000000000000000000000000000000062
:101E800000000000100000000088060300000008A9
:101E900000000007680000000004000000000000CF
:101EA0000000000000000000000000000000000032
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000000002
:101EE00000000000000000000000000000000000F2
:101EF00000000000000000000000000000000000E2
:101F000000000000000000000000000000000000D1
:101F100000001000000000000000100000000000A1
:101F20000000080010000000000000000000000099
:101F30000000100000000000000008000000000089
:101F40000000100010000000000010000000000061
:101F5000000080009000110100001000000000004F
:101F6000000000000000020000000000000000006F
:101F70000000000000000000000000000000000061
:101F8000000200000000000000000000000000004F
:101F90000000000000000000000000000000000041
:101FA0000000000000000000000000000000000031
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD00000000C0000090000000090000009000053
:101FE00000000000000030000000000000001000B1
:101FF0000000000000000C000000000000004C0089
:1020000000000000000930000000000000090C0082
:102010000000000000003000300000000000100050
:102020000000000000000000000010000C00100084
:1020300000000000100000000000401010104000E0
:102040000010000000000000000000000000000080
:102050000000A0000000000000000000000C0000D4
:10206000000000000000000000000500000000006B
:102070000000000000000000000000000000000060
:102080000000000000000000000000000000000050
:102090000000000000000000000000000000000040
:1020A000000000000000010000000000000000002F
:1020B0000000000000000000000000000000000020
:1020C0000000000000000000000000000000000010
:1020D00000000100000000000000000000000000FF
:1020E00000000000000000000000000000000000F0
:1020F00000000000000050000050300030000808D0
:1021000001000000060000068000060000000CD060
:1021100080E00060008000060000000000000E006B
:102120000060000006000000000C0000000000003D
:10213000000100000000000000000000000000009E
:10214000000000000000000000000000000000008F
:10215000000000000000000000000000000000007F
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000000000000000000000003F
:1021A000000000000000000000000000000000002F
:1021B000000000000000000000000000000000001F
:1021C000000000000000000000008000000000008F
:1021D00000000000000000000000000000000000FF
:1021E00000000000000000000000000000000000EF
:1021F00000000000000000000000000000000000DF
:1022000000000000000000000000000000000000CE
:1022100000000000000000000000000000000000BE
:1022200000000000000000000000000000000000AE
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:10226000000000000000000000000000000000006E
:10227000000000000000000000000000000000005E
:10228000000000000000000000000000000000004E
:10229000000000000000000000000000000010002E
:1022A000000000000000000000000000000000002E
:1022B000000000000080000000000000000000009E
:1022C000008000000000000000000000000000008E
:1022D00000000000000000000000000000000000FE
:1022E00000000000000000000000000000000000EE
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:10233000000000000000000000000000000000009D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000000000000000000006D
:10237000000000000000000000000000000000005D
:10238000000000000000000000000000000000004D
:10239000000000000000000000000000000000003D
:1023A000000000000000000000000000000000002D
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000000000000000000000009C
:102440000000000000004747FFFF00000000DDDD46
:10245000CFCF3333FF005555FF00FF0033330F0F4D
:1024600055553333FF00FF000F0F3333FF00555531
:1024700033330F0F00FFDD11FFFF4747FFFF000061
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A000000000000000000000000000000000002C
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:102510000000000000000000000000000C000000AF
:10252000000090241E0048806CA94F806CB94F8039
:102530006CB94F806CB94F806CB94F806CB94F80CB
:102540006CB94F806CB94F806CB000000C0000007B
:102550000C0000000000000000000000000000006F
:10256000000000000000000000000000000000006B
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F0000000000000000000000090241200902461
:102600001200902412009024120090241200000066
:102610000000902412009024120090241200000068
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000009A
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:102670000000FFFFFFFF000000000000000000005E
:10268000000000000000000000000000000000004A
:102690000000FFFFFFFF000000000000000000003E
:1026A000000000000000000000000000000000002A
:1026B000000000000000000000000000000000001A
:1026C00000005353FFFFF303FFFF55FFFFFFFFF031
:1026D000F5F5CFCFF3F3F5F5F3F3CFCFF3F3FFF049
:1026E000F5F5DD11FFFFFFCCDDDDFAFAF5F5CFCF13
:1026F000F3F30F0B0F073535FFFF0000000000005C
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:1027900000000000000000000000000000007010B9
:1027A00000040050804051000A040000040173003E
:1027B0000A040000240130000004000040017300FE
:1027C0000A040000000000000000000000000000FB
:1027D00000000000000000000000000000000000F9
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000000000000000000098
:102840000000000000000000000000000000000088
:102850000000000000000000000000000000000078
:102860000000000000000000000000000000000068
:1028700000004028080000000891510008000000F6
:102880002C01510008000000440140000800000035
:1028900000015100080000002C01000000000000B1
:1028A0000000000000000000000000000000000028
:1028B0000000000000000000000000000000000018
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E00000000000000000000000000000000000E8
:1028F00000000000C0000000000000000000000018
:1029000000000000000000000000000000000003C4
:10291000C0000000C0000000000000000000000037
:1029200000000000000000000000000000000000A7
:102930000000000000000000000000000000000097
:1029400000C040000000000003008047C82201D3FF
:10295000C4800300D30180D300C08000C8C0000041
:1029600080008000C800008000C0CBC8C1230000E8
:1029700000000000C0000000000000000000000097
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C0000000000000000000010000000000000006
:1029D00000000000000000000000000000000000F7
:1029E0000000008001000000010000000000000065
:1029F00000000000000000000000000000000000D7
:102A000000000000000000000000000000000000C6
:102A100000000000004001000000000020008020B5
:102A20000000202020024000800180020020800061
:102A30000002000080008000000000020020400032
:102A4000C0000000000000000100000000000000C5
:102A50000000000000000000000000000000000076
:102A60000000000000000000000000000000000066
:102A70000000000000000000000000000000000056
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000000036
:102AA0000000000000000000000000000000000026
:102AB0000000000000000000000000000000000016
:102AC0000000000000000000000000000000000006
:102AD00000000000000000000000000000000000F6
:102AE0000000000000000082010000000000080556
:102AF00000000080A20000000000800820100000FC
:102B000000008016C0010C00000000000000000062
:102B100000008096C3010805100000210882000013
:102B200000000000000000000000000000000000A5
:102B30000000000000000000000000000000000095
:102B40000000000000000000000000000000000085
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000000000000000000000000000000000055
:102B80000000000000000000000000000000000045
:102B90000000000000000000000000000000000035
:102BA0000000000000000000000000000000000025
:102BB00000000000000000000000000000000041D4
:102BC00024020100080000C0A070000000000007FF
:102BD0002008000008000007C07000000800000086
:102BE0000070000000000006E00008001000000077
:102BF00000000000000000000000000000000000D5
:102C000000000000000000000000000000000000C4
:102C100000000000000000000000000000000000B4
:102C200000000000000000000000000000000000A4
:102C30000000000000000000000000000000000094
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000000000074
:102C60000000000000000000000000000000000064
:102C70000000000000000000000000000000000054
:102C8000000000000000000000000000000000C480
:102C90000000C00000000004000000A0380800048C
:102CA000000000022800001800000000900840000A
:102CB00000000000100800048200208180004A010A
:102CC0000000000000000000000000000000000004
:102CD00000000000000000000000000000000000F4
:102CE00000000000000000000000000000000000E4
:102CF00000000000000000000000000000000000D4
:102D000000000000000000000000000000000000C3
:102D100000000000000000000000000000000000B3
:102D200000000000000000000000000000000000A3
:102D30000000000000000000000000000000000093
:102D40000000000000000000000000000000000083
:102D50000000000000000000000000000000000073
:102D60000000100000000000000000040000000649
:102D7000000030800300000000722100000000000D
:102D80000000014F070000860500000004001C063B
:102D90000000080008000003E00000000000000040
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000000013
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000000000000000000000000000000000C2
:102E100000000000000000000000000000000000B2
:102E200000000000000000000000000000000000A2
:102E30000000000000000ECC010000000000400077
:102E40000000002040380C20000000C001040600F3
:102E500000000000403806400100002040380040DB
:102E600000000024003828800900801A08010000B2
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC00020220000000000000102000100020000BA
:102ED000000A0000000000001000000000000000D8
:102EE0002020000000000000010200000100000896
:102EF00000000000000000000000000000000000D2
:102F000000000000000000000010000000000008A9
:102F100000000040100000100400000490000000B9
:102F20000840402420000000040001400040000050
:102F30000008000000200040400000004020000089
:102F40000800000000000000000000000000200059
:102F50000000000000000000000000000000000071
:102F60000000000000000000000000000000000061
:102F70000000000000080000000800000000000041
:102F80000000000000000000000000000000000041
:102F90000000000000000A00000000000000000027
:102FA00000000000000800200000000000000000F9
:102FB000000000000000090000000000000A0000FE
:102FC0000000000C000000000000000008000000ED
:102FD00000000000000000000000000000200000D1
:102FE00000000000000000002000001070000070D1
:102FF0002000000008000000005000500000000009
:1030000060000D0C400800000000000000000000FF
:10301000000E0000000000000000000000000000A2
:103020000000000A00000000000009000E0000007F
:103030000000000000000000000000000000000090
:103040000000000505000000000C0000000C00005E
:103050000000000000000000080000000000000068
:103060000060000000000000002C0000005000067E
:10307000085C000C060C00000E0C008000000040F4
:1030800000005000000000010020000000000000CF
:10309000080C00000C000000000000000000000010
:1030A000010000000000000000000000000000001F
:1030B00000000000000000000000002000000000F0
:1030C0000000000030000000002030300000000050
:1030D0000000082000100001000000000010001097
:1030E0003000000020100000000000000000000080
:1030F00000000000000010000000000000000000C0
:1031000000000000000000000000000000000000BF
:1031100000000000000000000000000000000000AF
:10312000000000000000000000000000000000009F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:10315000000000000000100000000000000000005F
:10316000000000000000000000000000000000005F
:10317000000000000000000000000000000000004F
:1031800000000080000000000000000000000000BF
:10319000000000000010000000000000000000001F
:1031A000000000000000000000000000000000001F
:1031B00000000000000000000000002000000000EF
:1031C00000000000000000000000000000000000FF
:1031D00000000000000000000000000000000000EF
:1031E00000000000000000000000000000000000DF
:1031F000000000000000000000000000000000804F
:1032000000000000000000000000000000000000BE
:1032100000000000000000000000000000000000AE
:10322000000000000000000000004000000000005E
:10323000000000000000000000000000000000008E
:10324000000000000000000000000000000000007E
:10325000000000000000002000000000000000202E
:1032600000000000000000000090000000000000CE
:10327000000000000000000000000000000000004E
:10328000000000000000000000000000000020809E
:10329000200000000000000000000000000000000E
:1032A000000000000000000000000000000000001E
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:1033000000000000000000000000000000000000BD
:1033100000000000000000000000000000000000AD
:10332000000000000000000000000000000000009D
:10333000000000200000000000000010002000003D
:10334000000000000000000000000000000000007D
:10335000000000000000000000000000000000006D
:10336000000020002000C00000000000000000005D
:10337000000000000000000000000000000000004D
:10338000000000000000000000000000000000003D
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C00000000000000000000000000000000000FD
:1033D0000000FAFAAFAF555533330F0F555533335D
:1033E000FF003333FF000F0FCCCC0C0CFFFF55FF59
:1033F000FDFE0000000000000000000000000D0FB6
:103400000F070000000000000000000000000000A6
:1034100000000F33FFFF3F0CFFFFFEFFA0ECA0EC0E
:10342000FF33FEFFC0EAFFFFC0EA0000000000001B
:10343000000000000000000000000000000000008C
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000000000000000000000000000000000005C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A00000000000000090241E0048806CA94F809E
:1034B0006CB94F806CB94F806CB94F806CB0000014
:1034C0000C0090241E00000000000000000000001E
:1034D000000000000C0000000000000000000000E0
:1034E00000000000000000000C0000000C000000C4
:1034F0000C0000000C0000000C0000000C0000009C
:1035000000000000000000000000000000000000BB
:1035100000000000000000000000000000000000AB
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000201100000000000000000000003A
:10356000000000000000000000000000000000005B
:103570000000000000000000000090241200000085
:1035800000009024120090241200000000000000AF
:1035900000000020120000000000000000000000F9
:1035A000000000000000000000000000000000001B
:1035B000000000000000000000000000000000000B
:1035C0000000902412009024120090241200900415
:1035D00010000000000000000000000000000000DB
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:103620000000000000000006400000000000000054
:10363000000000000000000000000000000000008A
:103640000000000000000000000000000000FFAAD1
:1036500055FFFFFFFFFEFFCC55FF0FFF33FFFFFFBE
:103660000100BFFF3233FDFCFF93FFFF0990000014
:10367000000000000000000000000000000000004A
:103680000000000000000000000000000000535394
:10369000FFFF5F50FFFFFF0FFF0F3F3F5F5FFF0F19
:1036A0003F3F5F5F3030000000000000000000007E
:1036B000000000000000000000000000000000000A
:1036C00000000000000000000000000000000000FA
:1036D00000000000000000000000000000000000EA
:1036E00000000000000000000000000000000000DA
:1036F0000000000000000000000000000000E000EA
:103700000040000000000000000000000000000079
:1037100000000000000000000000000000000000A9
:10372000000002300A000050201073000A0400005C
:103730004000000000000000000000000A0020001F
:103740002C06000000000000000000000000000047
:103750000000000000000000000000000000000069
:1037600000000000000000000000510014010000F3
:103770002401510014010000440100000000000079
:103780000000000000000000000000000000000039
:103790000000000000000000000000000000000029
:1037A0000000000000000000000000000000000019
:1037B0000000000000000000000000000000000009
:1037C0000000000000000000000000800000000079
:1037D0000000000000C00000000000000000000029
:1037E00000000000000000000000000000000000D9
:1037F00000000000000011280000000004805100BB
:1038000008000000840100000000000000008100AA
:10381000000000100406000000000000000000008E
:103820000000000000000000000000000000000098
:103830000000000000000000000000000000510037
:10384000200000004401510020000000840100001D
:103850000000000000000000000000000000000068
:103860000000000000000000000000000000000058
:103870000000000000000000000000000000000048
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A00000000000002200000200000000000000F4
:1038B0000000000000000000000000000000000008
:1038C0000000000000000000000000C000C7C000B1
:1038D000460000C80080C4C9C30300040000000003
:1038E000C000000000C30300C00000000000000092
:1038F00000000000000000000000000000000000C8
:1039000000000000000000000000000000000000B7
:10391000000000C00093C1C0C90203E300CB8100D6
:10392000C0000000000000000000000000000000D7
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000000400000043
:103980000000000000000000000000000000000037
:103990000000000000000000000000000000002007
:1039A0000004800004000000000200018401000007
:1039B000002000010100024000A0050010000000EE
:1039C00000000000000000000000000000000000F7
:1039D00000000000000000000000000000000000E7
:1039E00000000000000000200002A00240804020F3
:1039F0000001A000800000000000000000000000A6
:103A000000000000000000000000000000000000B6
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A60000000000000000000000000000000000056
:103A70000000A00200000000E070008001000000D3
:103A80000001204001000004F06000000000000E72
:103A9000E0100000000000000000000050000000E6
:103AA00000400000000000000000000000000000D6
:103AB000000000800300000000002000500080563D
:103AC000E075000000018096C34000000000000087
:103AD00000000000000000000000000000000000E6
:103AE00000000000000000000000000000000000D6
:103AF00000000000000000000000000000000000C6
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B20000000000000000000000000000000000095
:103B30000000000000000000000000000000000085
:103B400000000000000008020000000000082CC077
:103B500005000000E07006000B004000450030004A
:103B60001700000401080000000000000000000031
:103B7000C00200000048000000000000000000003B
:103B80000000000000000000000000C124401800F8
:103B9000000000C6C1105005C00000C6E1080000CA
:103BA0000000000000000000000000000000000015
:103BB0000000000000000000000000000000000005
:103BC00000000000000000000000000000000000F5
:103BD00000000000000000000000000000000000E5
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C10000000000000000000000040294C000001EE
:103C2000200040180000000100080000060000000D
:103C30004A02200808038022200000000000000043
:103C40000000000090020000000C000000000000D6
:103C500000000000000000000000000000008014D0
:103C60000C0C001C420000206224200C000200A06A
:103C700088000000000000000000000000000000BC
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC00000000000000000000000000000000000F4
:103CD00000000000000000000000000000000000E4
:103CE00000000000000000000000000000000007CD
:103CF000000000030000100004000000000000802D
:103D000014000C00E00210008300000100300000ED
:103D1000000000000000000080000000000800001B
:103D20000000000000000000000000000000101073
:103D30001000004300300005100000800108000D55
:103D400003000090A1080000000000000000000037
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000000000003
:103DC0000000000000008004D0000C00000000048F
:103DD000003820807000011E4200020400008007AD
:103DE000D0B800000000000000000000100000003B
:103DF00000000000000000000000000000000000C3
:103E000000000E0350000000000040007000008021
:103E1000A9100020000000800941000000000000FF
:103E20000000000000000000000000000000000092
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000020000032
:103E70000000200000000000000808000000080802
:103E800000002000800000008800080000000801F9
:103E9000000000000000801140000000000101004F
:103EA0003800000000100A100C8000000A0800040E
:103EB00002000000000000000020000000000000E0
:103EC00000000000000000000000000000000000F2
:103ED00000000000008040008000000000000000A2
:103EE00000000000844000000002024000020008C0
:103EF00000000002000001000000000000000000BF
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000008000000000000000000011
:103F30000008000000080000010000000000000070
:103F4000080000000000000000000000000C0C0051
:103F5000000E0C0800000004000000040C00080E15
:103F600009000800090000000D000010000000001A
:103F7000000000002C000000092004004CB00000EC
:103F8000000C000000000000000000000000000025
:103F90000000000000000000000000080000007A9F
:103FA000700000000000000000000C000000020093
:103FB0000000A900500000509050000000000000D8
:103FC0007000000C0000007000500910000000009C
:103FD00000000000000000000000000000000000E1
:103FE00000000000000000000000000000000000D1
:103FF00000000000000000000000000000000000C1
:1040000000000000000C000000080000000000009C
:10401000000000000808000000000000006006002A
:1040200000000000000000000000306130000001CE
:10403000300000000000000D0000000000001A0821
:1040400000000000500C080000000000000000000C
:104050000830000100000000000000000000000027
:10406000003000000000000000000000000000011F
:10407000000000000000000000000A000030010005
:1040800030000050005000000000000038200A00FE
:1040900000040430000C50000000000C00000C80F4
:1040A0000000000000000000000000000000000010
:1040B0000000000000000000000000000000000000
:1040C00000000000000000000000000000002000D0
:1040D00000000000000000000000000000000000E0
:1040E0000C0000000000000000880000000000003C
:1040F0000030000040000000000000000000000050
:1041000000000000000000000000000000000000AF
:10411000000000000000000000000000000000009F
:10412000000000000000010000000000000000008E
:10413000000000000000000000000000000000007F
:10414000000004000000000000000000000000006B
:104150000010000004000000000000800C000000BF
:10416000000000000000000000000000000000004F
:1041700000004000000000000000000000000000FF
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000000000C8000037
:1041C000000000000080000000000000000000006F
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000000000000000CF
:1041F000000000000000000000000C0000000000B3
:1042000000000000000000000000000000000000AE
:10421000000000002000020000000000000000007C
:1042200000000000009000000100002000000020BD
:10423000020000000000000000000000000000007C
:10424000000000000000100000000000000000005E
:10425000000000000000000000000000000000005E
:10426000000000000000000000000000000000004E
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000000000000000000000FE
:1042C00000000000000000000000000000000000EE
:1042D00000002000000000000000000000000000BE
:1042E000000000200000000020000000000000008E
:1042F000000000000000000000200000000000207E
:1043000000000000000000000000000000000000AD
:10431000000000000000000000000000000000009D
:10432000000002000000000000000000000000008B
:10433000000000000000000000000000000000007D
:10434000000000000000000000000000000000006D
:10435000000000000000000000000000000000005D
:10436000000000000000000000000000000000004D
:10437000000000000000000000000000000000003D
:10438000000000000000000000005500FFFFFFFFDC
:1043900033330F0FFF003333FF00FF0055550F0F6E
:1043A000FF00333300FFFFFFF66FFF775F9F0000D2
:1043B00000000000000000000000FFFFFFFC000004
:1043C0000000000000000000000023331333000051
:1043D000000000000000000000003F0CFFFFFF7F16
:1043E000FF7FFFDFCC0CFEFFC0EAEF007F00FF0F76
:1043F000FF330001A0EC00000000000000000000FE
:1044000000000000000000000000000000000000AC
:10441000000000000000000000000000000000009C
:10442000000000000000000000000000000080000C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:1044500000000000000000000000000000009004C8
:104460000C0000800C284F806CB94F806CB94F80D5
:104470006CB94F806CB94F806CB000000C0000200C
:104480001E0000000000000000000000000000000E
:104490000C00000000000000000000000000000010
:1044A0000C00000000000000000000000000000000
:1044B0000C0000000C0000000C0000000C000000CC
:1044C0000C0090241E0000000C0000000000000002
:1044D00000000000000000000000000000000000DC
:1044E00000000000000000000000000000000000CC
:1044F00000000000000000000000000000000000BC
:1045000000008000000000000000400100000000EA
:10451000008804000000000000000000000000000F
:10452000000000000000000000000000000000008B
:10453000000000000000902412009024120090243B
:104540001200902412009024120090241200900473
:10455000000000000000000000000000000000005B
:10456000000000000000000000000000000000004B
:10457000000000000000000000000000000000003B
:104580000000000000000000000090241200000065
:10459000000090241200000000009024120000008F
:1045A000000000000000000000000000000000000B
:1045B00000000000000000000000000000000000FB
:1045C00000000000000000000000000000000000EB
:1045D00000000000000000000000000000001000CB
:1045E0000000000000026000000000000000000069
:1045F00000000000000000000000000000000000BB
:104600000000000000000000000055001111FFAA8A
:104610005500AFAF11110055330003031111DDDD5B
:10462000FFCCB7B70004EFDFFF3F00000000000041
:104630000000F7F7DFDF00000000000000000000CE
:10464000000000000000000000000000000000006A
:1046500000000000000000000000FFFFA0ECFF0FC2
:104660003F3F55511555FF0FFF0FFFFFC0EAFF55A4
:10467000777700000000000000000000000000004C
:10468000000000000000000000000000000000002A
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000001000000000000000000710010000D2
:1046C00000000000000000000000000000000000EA
:1046D000000000000000000900002000002073001E
:1046E0000A040000200032000A040000400010000C
:1046F0000A040000CC0100000A0020002C06000083
:1047000000000000000000000000000000000000A9
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000100079
:104730001001000040011000100100000000702076
:104740001001002000100000000000000000000028
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:1047800000000000000000810000000000000000A8
:104790000001000000000000000000000000000018
:1047A0000000000000000000000080000000001079
:1047B0000002510008000000440151000800000000
:1047C00084015100080000008401810000000010F5
:1047D00004060000000000000000000000000000CF
:1047E00000000000000000000000000000000000C9
:1047F00000000000000000000000000000000000B9
:104800000000400000000000000140000000000027
:104810000801402800000004408100000000000062
:104820000000000000000000000000000000000088
:104830000000000000000000000000000000000078
:104840000000000000000000000000000000000068
:104850000000000000000000000050000000000008
:1048600000008000010000000000000000000000C7
:104870000000000000000000000000000000000038
:1048800000000003C000000003808023DB2301C878
:1048900000C0C401D313C80000C08000C0C800001D
:1048A00008C02000C0080000000000000000080050
:1048B00000000000030000000000000000000000F5
:1048C00000000000000000000000000000000000E8
:1048D000000000000000C88000000013A00102805A
:1048E00080C80000000000800A40C800E0130000FB
:1048F00000000000000000000000000000000000B8
:1049000000000000000000000000000000000000A7
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:1049300000000000000080004000000000000000B7
:104940000000000000000000000000000000000067
:104950000000000000000008100008044002800071
:1049600000002000202000018000000020208000A6
:1049700080000040000200008001000000000000F4
:104980000000000000000000020000000000000025
:104990000000000000000000000000000000000017
:1049A0000000000000000000000000020004000001
:1049B0000001020288000000000000020420000044
:1049C00000000000000000000000000000000000E7
:1049D00000000000000000000000000000000000D7
:1049E00000000000000000000000000000000000C7
:1049F00000000000000000000000000000000000B7
:104A00000000C000000000000000000000000000E6
:104A10000000000000000000000000000000000096
:104A20000000000000000000500000000000060030
:104A300000000000010000200000800000000020B5
:104A40000000800000000090D100000AF271000018
:104A50000000000000000000000000000000000056
:104A60000000000000000000940000000030000082
:104A70000000000000000000000000000004200210
:104A800050000080120A0C20600080584144010050
:104A90000000008A03000000000000000000000089
:104AA0000000000000000000000000000000000006
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000002E4
:104AD0000000000000000000009000000000000046
:104AE00000000000000000000000000000000000C6
:104AF00000000000000000000000000000000000B6
:104B0000000010000800000000000000620100C763
:104B10000100000002000007001000000A00000F62
:104B2000E26C0000000000000000000000008008AF
:104B30000080000000000000000000008000000075
:104B40000030000000000000000000000000000035
:104B50000000020D0000008007006D84710100015B
:104B6000C140020000004000007000000000000092
:104B70000000000000000000000000000000000035
:104B80000000000000000000000000000000000025
:104B90000000000000000000000000000000000015
:104BA00000000010000000000000000000180000DD
:104BB00000000000000000000000000000000000F5
:104BC00000000000000000000000000000000000E5
:104BD000400000000000000084004081140000003C
:104BE0000100002400008008000020010018B030FF
:104BF000200180010004000000000000000081008E
:104C00002000000400000000000000000000000080
:104C10000000000000420000000000000000000052
:104C20008000000000002004410200120040000C3F
:104C3000010000202A300008C10300D0141A00002F
:104C40000000000000000000000000000000000064
:104C50000000000000000000000000000000000054
:104C60000000000000000000000000000000000044
:104C7000000000000000000800000000000000002C
:104C8000008800000000000000000000000000009C
:104C90000000000000000000000000000000000014
:104CA00000000000000000000000020000000006FC
:104CB000C000200002004006007020200A001C00F6
:104CC000C00000842C0000060070000000000000FE
:104CD00000000600C00040060000000000000000C8
:104CE00000000000F00100000000000000000000D3
:104CF0000000000000000000003006A8800200183C
:104D000000182D04700100C001002E80E700008013
:104D1000C1300000000000000000000000000000A2
:104D20000000000000000000000000000000000083
:104D30000000000000000000000000000000000073
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D7000000000000000000000000000000401002E
:104D800000000006C0380010000000C60105024007
:104D9000000000C601710840000000EE013A00006A
:104DA00000000000000006000000000600480000AF
:104DB00000000000000000007000000000880000FB
:104DC0000000000000000000700000000004000C63
:104DD0006000008E03904C0060008090070400008B
:104DE00000000087C18000000000000000000000FB
:104DF00000000000000000000000000000000000B3
:104E000000000000000000000000000000000000A2
:104E1000000000000000000000000000000000048E
:104E20000000000000000000000000002000000062
:104E300019010000800000000000080820000000A8
:104E4000480000000000000000000800080000000A
:104E5000200040002008004800000C00080000402E
:104E60000820080020800000480004000080404026
:104E700000400000000000000000000000000000F2
:104E800000020000200000004000040000000000BC
:104E900000000000000808000800000020000008D2
:104EA000080840420000000200080410210400002D
:104EB0001020000000000000004000001000000072
:104EC00000000000000000000000000000001000D2
:104ED0000000000000000000000000800000000052
:104EE0001000000000000000000000000020000092
:104EF000000000000000000000000000088000002A
:104F00000000000038090000000000000000080850
:104F1000090000000800B000000A000009000C00B1
:104F20000C000D000000040000080C00090E040035
:104F30000000000E000004000D000D0E0000000037
:104F40000900000000000000000000000000000058
:104F50000000000000000000000000000000000051
:104F6000090000000000000000080C000800080014
:104F700000050008080C0000B00C000E000800003E
:104F800000002009000C00400D004000000000005F
:104F900030000000200000100000100000000000A1
:104FA00000003000000000000000000000000000D1
:104FB00000000000300000000000000000000000C1
:104FC00000000000000040000000000000000000A1
:104FD0000800000020000000080800503000000019
:104FE0000000000030000000100000000000000081
:104FF000000000000000000000602100200001000F
:1050000000000800000080000030000000300000B8
:1050100010000800003011200020000000000000F7
:105020000000000000000000000800000000000078
:1050300010000C0000000000000000000000000054
:105040000000010020700050000010100001000C52
:10505000000004003408800000110A000000000075
:1050600000200000000000008000008000008000A0
:105070000000000000000000000000000000000030
:1050800000000020000000000000000000060000FA
:105090000000000000100000000000000000000000
:1050A00000000000080000000000000000300000C8
:1050B00040000000000000000000000000000000B0
:1050C00000000000000000000100000000000C00D3
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000000000000000000C0
:1050F00000000000000000000000000000000000B0
:10510000000000000000000000300000001000005F
:10511000000000000010000000000000000000007F
:10512000000000000000000000000004000000007B
:10513000000000000000000000000000000C000063
:10514000000000000000000000000000000000005F
:10515000000000000000000000000000000000004F
:10516000000000000000000000000000000000003F
:10517000000000000000000000000000000000002F
:10518000008000000000000000000000000000009F
:10519000000000000000000000000000040000000B
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000000000EF
:1051C000000000000000000000000080000000045B
:1051D00000000000000000000000000100808000CE
:1051E000009000000000000000800000000080002F
:1051F0000000000000000400000000800400000126
:1052000000000000000000000000000C0000000092
:10521000000100000000000000000000000000008D
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:10524000000000000000000000000000000000005E
:10525000000000000000000000000040000000000E
:10526000000000000000000000000000000000003E
:105270000000000000000800000000000000000026
:10528000000000000000000000000000000000001E
:1052900020000000000000000000000000000000EE
:1052A00000000004000000000000000000000000FA
:1052B00000000000002000000000000000000000CE
:1052C00000000000000000000000040000000000DA
:1052D0000400040000000000000000000000820440
:1052E00000000000000000000000000000000000BE
:1052F00000000000000000000000000000000000AE
:10530000000000000000000000000000000000009D
:10531000000000000080000000000000000000000D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:105340000000FFFFFF003333FF003333FF00333330
:10535000FF000F0F5555333300FF00000000000021
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:1053900000000000000000000000FBBF2822FFEE1C
:1053A000FDF700000000278DFFFF00000000000057
:1053B00000000000000000000000000000000000ED
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000001BC
:1053F000000000000060000000000000000000004D
:10540000000000000000000000000000000000009C
:1054100000000000000000800C284F806CB94F8015
:105420006CB94F806CB94F806CB94F806CB0000084
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:1054600000000000000000000000000000009004A8
:105470001C0090241E000000000000000C00000032
:10548000000000000000000000000000000000001C
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B00000000000000000000000000000000000EC
:1054C000000000010000000000E0000000000000FB
:1054D000201100000000000000000000000000009B
:1054E00000000000000000000000902412000000F6
:1054F000000000000000902412009024120090246C
:105500001200000000000000000000000000000089
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:10554000000000001000000000000000000000004B
:10555000000000000000000000000000000000004B
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000064000000000000000000000B5
:1055B0000000000000000000000000000000FF3FAD
:1055C0007F7FFFFFFF7FFFFFFF7FFF3F7F7FFFAFFB
:1055D000FF5F7F7F7F7FFFFFFFFF00000000000075
:1055E00000000000000000000000000000000000BB
:1055F00000000000000000000000000000000000AB
:105600000000000000000000000000000000FFFF9C
:10561000FFFF00000000FEFF0220FEFF0505000066
:10562000000000000000000000000000000000007A
:10563000000000000000000000000000000000006A
:10564000000000000000000000000000000000005A
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:1056700000400000000000070000000000000000E3
:10568000000000000000000000000000000000001A
:1056900000000000020000000800000001040000FB
:1056A00080000000030400008800000000000000EB
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E00000000000000000000000021904008028F3
:1056F0000CE60000000000000000000000000000B8
:105700000000000000000000000000000000000099
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:105730000000000000000000000000000000000069
:1057400000000080000000000000000000220000B7
:105750000000000000000000000000000000000049
:105760000000000000000100100000008400400064
:105770000000000040014100100000002C0100006A
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B0000000000000000000000000000000912830
:1057C00020000004249200000000000000000000FF
:1057D00000000000000000000000000000000000C9
:1057E00000000000000000000000000000000000B9
:1057F00000000000000000000000000000000000A9
:105800000000000000000000000000000000000098
:10581000000000000000C0000000000000000000C8
:105820000000000000000000000000000000000078
:105830000000000000000000000000000000C700A1
:10584000C300000008008000DB0013C8C180C4232F
:10585000C3130000C00000000000000000000000B2
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000000C00000000058
:10589000000000C000404403E000000000000000E1
:1058A00000000000000000000000000000000000F8
:1058B00000000000000000000000000000000000E8
:1058C00000000000000000000000000000000000D8
:1058D00000000000000000000000000000000000C8
:1058E00000000000000000000000100000000000A8
:1058F00000000000020000000000000000000000A6
:105900000000000000000000000000000000000097
:1059100000000400C000000000088000000000003B
:10592000C0020000C00000000100000000000000F4
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000000000004007
:10596000000000000000002002200008008000006D
:105970000000000000000000000000000000000027
:105980000000000000000000000000000000000017
:105990000000000000000000000000000000000007
:1059A00000000000000000000000000000000000F7
:1059B0000000000000000000000000000000800067
:1059C00000000000000000000000000000000000D7
:1059D00000000000000000000000000000000000C7
:1059E0000000002000000029A00000000000000EC0
:1059F000300202000000000620000000000000004D
:105A00000000000000000000000000000000000096
:105A10000000000000000000000000000000000086
:105A20000000000000000000000000000000000076
:105A30000000000000000000000000400000008F97
:105A4000070500002000000000000000000000002A
:105A50000000000000000000000000000000000046
:105A60000000000000000000000000000000000036
:105A70000000000000000000000000000000000026
:105A80000000000000000000000000000000000016
:105A900000013000000000000000000000000000D5
:105AA00000000000000000000000000000000000F6
:105AB00000000000000000800000000100700600EF
:105AC00008000000257023000000800E28720000EE
:105AD00000000000000000000000000000000000C6
:105AE00000000000000000000000000000000000B6
:105AF00000000000000000000000000000000000A6
:105B00000000000000000000000000000000900302
:105B100078000047000000000002000000100000B4
:105B20000000000000000000000000000000000075
:105B30000000000000000000000000000000000065
:105B40000000000000000000000000000000000055
:105B50000000000000000000000000000000000045
:105B600000000000A0004000000000000000000055
:105B70000000000000000000000000000000000025
:105B800000000000000000000000400000000001D4
:105B9000320810000A00000212080018000000017C
:105BA00000080000000000000000000000000000ED
:105BB00000000000000000000000000000000000E5
:105BC00000000000000000000000000000000000D5
:105BD00000000000000000000000000000000000C5
:105BE0000000101002018081C0340000000000009D
:105BF000000800000000000000000000000000009D
:105C00000000000000000000000000000000000094
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000000000000000044
:105C600003000000000002800100000000000000AE
:105C70000C003C06000000000000000000000000D6
:105C80000000000000000000000000000000000014
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB0000000000000002C0760010086C1300000D9
:105CC0000002000000600000000000000000000072
:105CD00000000000000000000000000000000000C4
:105CE00000000000000000000000000000000000B4
:105CF00000000000000000000000000000000000A4
:105D0000000000000000000000000000A0021000E1
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D3000000011100000000402380180010000077B
:105D4000423800C001000024103A000000000000AA
:105D50000000000000000000000000000000000043
:105D60000000000000000000000000000000000033
:105D70000000000000000000000000000000000023
:105D8000000000000000000000005E0F000000E6C0
:105D90000902000060000000000400000000000094
:105DA00000000000000000000000000000000000F3
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000080000000000000000000000AB
:105DF0000408080000000800000800000000080077
:105E00000800880008000000020082000400000072
:105E100020020000900040002040000000400000F0
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E400000000000000000000000000000800000D2
:105E50000001000000000041000000000040881226
:105E600002000040004004000000000200000008A2
:105E70000000000000000000000000000000000022
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA00000000000000000000008000000000000EA
:105EB00000000400000000010800000000000000D5
:105EC00000000000000C0C0000000C0000080000A6
:105ED00000000C00BC000C00080000000E000000D8
:105EE0000000000000000000000000000910004059
:105EF0000000090009000000000E00000000000082
:105F00000000000000000000000000000000000091
:105F10000000000000000000000000000000000081
:105F20000000000000000100000C0C05051000003E
:105F30000000B80A000000000000795400000000D2
:105F400000000008000C0800000000000000000035
:105F50000000000000000000000000000000000041
:105F60000000000000000000000000000000000031
:105F7000000000000000000000000000000C000015
:105F80000000000E00000000000000000000000003
:105F900000000000000000000C00000000000000F5
:105FA0000E000000000000000000300000000000B3
:105FB0000000340000000000000400003000100069
:105FC00000A0000000200000000000000000000011
:105FD00000000000000000000000000000000000C1
:105FE00000000000000000000000000000000000B1
:105FF0000000000000000000000C00000001011C77
:106000000080000000103E04040000000020040195
:106010000000000C00000000000101000000000072
:1060200000000000000000000000008000000000F0
:106030000000000000000000000000000000000060
:106040000000000000000000000000000000005000
:10605000000000000000000000000C000000000034
:1060600000000000000000000000000040000000F0
:106070000010000000000000000000000000000010
:1060800000000000010000020010000000000002FB
:1060900000000400000000020000000000000000FA
:1060A0000000801000000000000000000000000060
:1060B00000000000000000000030000000000000B0
:1060C00000000000000000000000000000000000D0
:1060D00000000000001000000000000000000000B0
:1060E00000000000000000000000000000000000B0
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:10612000000000000000000000000000000000006F
:10613000000000000000000000000000000000005F
:10614000000000000040000000000000000000000F
:1061500000000000000000000400000000400000FB
:10616000000000000000000000000000001000001F
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A000000000000000000000800000000000006F
:1061B00000000000000000000000010000000000DE
:1061C000000000000000000000000000003000009F
:1061D00000000000000000000000000000000000BF
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000000000009F
:10620000000000200000000000000000000000006E
:1062100000000000000000000000000000000080FE
:10622000000000000000000000000000000000006E
:106230000000000000000000000008000000000056
:106240000020000008000000000000100000000016
:10625000000000000000000000000000000000003E
:10626000001000000000000000000000000000001E
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000000000000000000000000040BE
:1062A000402000000000000000000000000000008E
:1062B00000000000000000000000000000000000DE
:1062C00000000000000000000000000000000000CE
:1062D000000000000000002000000000000000009E
:1062E00000000000000000000000000000000000AE
:1062F0000000000000000000000000000000FFFFA0
:10630000FF7F7F7FFAFA00000000FF3FFF3F0000A1
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000000000000000000000005D
:10634000000000000000FFFFFF0033330F0F555522
:10635000FF003333A5A5FF000F0F3333996669693A
:10636000999955AA33CC00000000000000000000FD
:10637000000000000000000000000000000000001D
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B00000000000000000000000000000000000DD
:1063C00000000000000000000000000000000000CD
:1063D000000000000C0090040C000000000090245D
:1063E0001E0000000000000000000000000000008F
:1063F000000000000000000000000000000000009D
:10640000000000000000000000000000000000008C
:106410000000000000000000000000806CA94F8018
:106420006CB94F806CB94F806CB94F806CB94F809C
:106430004CB94C804CB94D804CB0000000000000BD
:10644000000000000000000000000000000000004C
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:106480000000000000000000008804000000000080
:1064900000000000000000000000000000000000FC
:1064A00000000000000000000000000000000000EC
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D00000000000000000000000000000000000BC
:1064E000000000000000000000000000000000802C
:1064F00000284F8040B84D8060B94C8040B000000B
:10650000000000000000000000000000000000008B
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:1065500000000000000000000000000000026000D9
:10656000000000000000000000000000000000002B
:10657000000000000000000000000000000000001B
:10658000000000000000000000000000000000000B
:1065900000000000000000000000000000000000FB
:1065A00000000000000000000000000000000000EB
:1065B00000000000000000000000000000000000DB
:1065C0000000EAFAFF0FBBBB696955AA0F0F999942
:1065D0009999000000000000000000000000000089
:1065E00000000000000000000000000000000000AB
:1065F000000000000000000000000000000000009B
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:106630000000000710010000000000000000000042
:10664000000000000000000000000000000000004A
:1066500000002080000C00000000208000040000EA
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:10668000000000000000000000000000000000000A
:1066900000000000000000000000000000000000FA
:1066A00000000000000000000000000000000000EA
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:106700000000000000000000000100000000000088
:106710000000000000000000000000000000000079
:106720000000800000000000000280000000000067
:106730000006000000000000000000000000000053
:106740000000000000000000000000000000000049
:106750000000000000000000000000000000000039
:106760000000000000000000000000000000000029
:106770000000000000000000000000000000000019
:106780000000000000000000000000000000000009
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000001000000B8
:1067E00000000000000000000000000000000000A9
:1067F000000000000000000001C0000000C0030015
:1068000000000000C0C00000000000000000000008
:106810000000000000000000000000000000000078
:106820000000000000000000000000000000000068
:106830000000000000000000000000000000000058
:1068400000006000000000000000000000000000E8
:106850000000000000000000000000000000000038
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B0004000000000000000000000000000000098
:1068C0000000000000000000000000082010000090
:1068D000001008000200010010100000000000007D
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000000000000000000000000000087
:106910000000000000000000000000000000000077
:106920000000000000000000000000000000000067
:106930000000000000000000000000000000000057
:106940000000000000000000000000000000000047
:106950000000000000000000000000000000000037
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000000000000000007
:1069900000000000000000000000000000000000F7
:1069A000080100800200000000000000004000001C
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D00000000000000000000000000000000000B7
:1069E00000000000000028000000000000800200FD
:1069F000002C000E0080000004000000000880034E
:106A00001000000002400000000000000000000034
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000000000000000000000000000000046
:106A500000900000000000000000000000000000A6
:106A60000000000000000000000000000000000026
:106A700000000005000000000230000000000000DF
:106A800000480000000000000000000000000000BE
:106A900000000000000000000000000000000000F6
:106AA00000000000000000000000000000000000E6
:106AB00000000000000000000000080000000000CE
:106AC000007081008001400000700000C0000000E4
:106AD00000700004000200D004000000000000006C
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B2000000000000018000000000000000000004D
:106B30000000000000000000000000000000000055
:106B400000000000000000100000004000400000B5
:106B5000200000000008000000000000000000000D
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B80000000000000000000000000000000040001
:106B9000000080062000000002000000000000004D
:106BA000000000000040000900000000002000007C
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD00000000000000000000000000000000000B5
:106BE00000000000000000000000000000000000A5
:106BF000000000000000000000880000000000000D
:106C00000000000000000000000000000000000084
:106C100000000000000000000000000480000000F0
:106C20000000000060020000000000000000000002
:106C30000000000000000000000000000000000054
:106C40000000000000000000000000000000000044
:106C50000000000000000000000000000000000034
:106C6000000010A0E1000016D0010000C7010014D0
:106C70005000000D00000000018000000000100026
:106C8000001C0000000000000000000000000000E8
:106C900000000000000000000000000000000000F4
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000000000D4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE0000000000000000000000000000000400064
:106CF0001801008003000000200000000088000050
:106D00000000000000000000000000000000000083
:106D10000000000000000000000000000000000073
:106D20000000000000000000000000000000000063
:106D30000000000000000C8006000080C30000403E
:106D40000000808013000000000000000410000418
:106D500000004000003000000000000000000000C3
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D80000000000000000000000000000000000003
:106D900000000000000000000000000000000000F3
:106DA000080000000000000004040A0000080800B9
:106DB00000000000080800000000080000000000BB
:106DC0000000080002000000800000010010000820
:106DD00000000000000000000000000000000010A3
:106DE00000000000000000000000000000000000A3
:106DF0000010000000000000000000000000000083
:106E000080000000000000000000000000480008B2
:106E100080000000000000000000004200000002AE
:106E20000000000000020000000000000000000060
:106E3000000000000000000000000000000800004A
:106E40000000000000000000000000000000000042
:106E500000000000000000000000000000004000F2
:106E60000000000000000000000000000000000022
:106E700001000000088000000000000000000C007D
:106E800000080800000000000C08000000000C00D2
:106E900008000000000004400E0000000000000098
:106EA0000030000000000000000000500000000062
:106EB000A000003000000000000000000000000002
:106EC0000000000000300000000000000000000092
:106ED00000000000000000100000000000000000A2
:106EE000000C06000000020D0010B000000E027041
:106EF000000002000000000A00000000000E000078
:106F00000000000000000000000000000000000081
:106F10000008000000000000000000000000000069
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F4000000000000D000000000000000000000034
:106F5000080808000000000000000A00000000000F
:106F60000000000001000000000000000C00000014
:106F700010000008000000000000000000000000F9
:106F80000000000000000000000000000000000001
:106F900000000000000000000000000000000000F1
:106FA00000000000000000003050008000000000E1
:106FB0000000000000100000305000500080007001
:106FC0000000003C0050000C00500000000C0000CD
:106FD00000000000000000000000000000000000B1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000001000000000000000000070
:1070100000000000000000000C000000000800005C
:107020000000000000000000400000000000000020
:107030000000000000000000000000000000200030
:107040000000000000000000000000000000000040
:107050000000000000000000000000000000000030
:107060000000000000000000000000000000000020
:107070000000000000000000000000000000000010
:1070800000000000080000000000000000000000F8
:1070900000000000000000000000000000000000F0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000000000000000000D0
:1070C00000000000000000000000000000000000C0
:1070D00000000000000000000000000000000000B0
:1070E00000000000000000000000000000000000A0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:1071100000008000000000000000000000000000EF
:10712000000000000000000000100000000000004F
:10713000000000000000000000000000000000004F
:10714000000000000000000000000000000000003F
:107150000000000000000000010000000400010029
:10716000000000000000010001000000000000001D
:10717000800001000000010001000000000000008C
:1071800000000000000000000000000000000000FF
:1071900000000000000000000000000000000000EF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000000000000000000000000000000000009F
:1071F0000000000008000000000000000020000067
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:10722000000000000000000000000000000000045A
:107230000400000000000004000000000000000442
:10724000000000000000000000000000000000003E
:1072500000000000000000000000008002000000AC
:10726000000000000000000000000000000000001E
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:1072900000000000000000000000000000000000EE
:1072A0000000FFFFFFFF3353F3F0FFFFFFFFF78006
:1072B000551D55150F0D00000000000000000000D6
:1072C00000000000000000000000000000000000BE
:1072D00000000000000000000000000000000000AE
:1072E000000000000000000000000000000000009E
:1072F000000000000000000000000000000000008E
:1073000000000000000000000000FFF70A0A010072
:10731000FF07A7E72D499965999699669999C3C37F
:1073200033CC00000000000000000000000000005E
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:1073600000000000000000010000000000600000BC
:1073700000000000000080240E0090040C00802417
:107380000E0090241E0000000C0000000000000011
:1073900000000000000000000000000000000000ED
:1073A00000000000000000000000000000000000DD
:1073B00000000000000000000000000000000000CD
:1073C00000000000000000000000000000000000BD
:1073D00000000000000000000000000000000000AD
:1073E0000C0000800C284F806CB94F804CB94C8049
:1073F0004CB84C804CB000000000000000000000C1
:10740000000000000000000000000000000000007C
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000001000000004B
:1074400000E000000088040020110000000080041B
:10745000000080240200802402000000000090242C
:10746000120000000000000000000000000000000A
:10747000000000000000000000000000000000000C
:1074800000000000000000000000000000000000FC
:1074900000000000000000000000000000000000EC
:1074A00000000000000000000000000000000000DC
:1074B00000000000000000000000000000000000CC
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:10750000000000000000000000000000000000007B
:107510000000000000A00000000260000640000023
:107520000000FFFFFFFFFFFFFFFFFFFFFFFF000067
:107530000000FBF1FFF5000000000000000000006B
:10754000000000000000000000000000000000003B
:10755000000000000000000000000000000000002B
:10756000000000000000000000000000000000001B
:10757000000000000000000000000000000000000B
:1075800000000000000000000000000000000000FB
:107590000000875A3F37FFFFFFFF6329D3DB00005E
:1075A00000000000000000000000000000000000DB
:1075B00000000000000000000000000000000000CB
:1075C00000000000000000000000000000000000BB
:1075D00000000000000000000000000000000000AB
:1075E0000000000000000000004000000000000754
:1075F00010007020200420A0C0CF7320220420A2FD
:10760000ACD744000104000080010000000000002D
:10761000000000000000000000000000000000006A
:10762000000000000000000000000000000000005A
:10763000000000000000000000000000000000004A
:10764000000000000000000000000000000000003A
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C000000000000023C02800000002008BD12829
:1076D0004000000044874000000000000801000056
:1076E000000000000000000000000000000000009A
:1076F000000000000000000000000000000000008A
:107700000000000000000000000000000000000079
:107710000000000000000000000000000000000069
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:107780000000000000000000000000000000C00039
:107790000000000000010000800000C046C0CB00D7
:1077A000C80000C701C70313C3221313800081C997
:1077B000C980000000000000000000000000000080
:1077C00000000000000000000000000000000000B9
:1077D00000000000000000000000000000000000A9
:1077E0000000000000000000000000000000000099
:1077F0000000000000000000000000000000000089
:10780000000000000000A000000000054000000093
:107810000000200040000400C0C000000000000084
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840000000000000000000000000000000000038
:107850000000000000000000000000000000000028
:1078600000001000008000000001000082000010F5
:1078700004102000000000204010050088000000D7
:107880008800C0014002000000000000000000006D
:1078900000000000000000000000000000000000E8
:1078A00000000000000000000000000000000000D8
:1078B00000000000000000000000000000000000C8
:1078C00000000000000000000000000000000000B8
:1078D0000000000000000000000000000800102070
:1078E000010000010000000801000000014000004C
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000000000077
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000008000007000000000000057
:10794000000000005000100000300000500A0C0041
:107950000010008401000080E3000000000000002F
:107960000000000000000000000000000000000017
:107970000000000000000000000000000000000007
:1079800000000000000000000000000000000000F7
:1079900000000000000000000000000000000000E7
:1079A0000000000000000000000000056000000072
:1079B000007000836000000021100080000000C003
:1079C000430800000000000000000000000000006C
:1079D00000000000000000000000000000000000A7
:1079E0000000000000000000000000000000000097
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000230000000000044
:107A100000000000000000000002010000F0000073
:107A200000780000004000CB050000E0E10000000D
:107A30000000000000000000000000000000000046
:107A40000000000000000000000000000000000036
:107A50000000000000000000000000000000000026
:107A60000000000000000000000000000000000016
:107A700000000000000000000000000000004012B4
:107A8000F0000000057040B308020010251040A46B
:107A9000080000C0491200000000000000000000C3
:107AA00000000000000000000000000000000000D6
:107AB00000000000000000000000000000000000C6
:107AC00000000000000000000000000000000000B6
:107AD0000000000000000000000000000000400066
:107AE00000400000000000000000000080020000D4
:107AF000000C0000C00108000028000C02000090EB
:107B0000280000000000000000000000000000004D
:107B10000000000000000000000000000000000065
:107B20000000000000000000000000000000000055
:107B30000000000000000000000000000000000045
:107B40000000000000000000000000000000000035
:107B5000000000021000000000520042000100007E
:107B600068C30001000000200008000000000000C1
:107B70000000000000000000000000000000000005
:107B800000000000000000000000000000000000F5
:107B900000000000000000000000000000000000E5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC000E040020000080000C015010000B00008FD
:107BD00000000040C00000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF0000000000000000000000000000000000085
:107C00000000000000000000000000000000000074
:107C10000000000000000000000000000000000064
:107C20000000000000000008D00100400010000427
:107C3000830000D0446000A009000CC0A80200002E
:107C40000000000000000000000000000000000034
:107C50000000000000000000000000000000000024
:107C60000000000000000000000000000000000014
:107C70000000000000000000000000000000000004
:107C800000000000C0001000000000000000000024
:107C900000000000701008000000000000501000FC
:107CA00000F00043000000C0D1020000000000000E
:107CB00000000000000000000000000000000000C4
:107CC00000000000000000000000000000000000B4
:107CD00000000000000000000000000000000000A4
:107CE0000000000000000000000000000000000094
:107CF000000000000000000000000005500000002F
:107D00000444806419010080426100801900010070
:107D1000A4080000000000000000000000000000B7
:107D20000000000000000000000000000000000053
:107D30000000000000000000000000000000000043
:107D40000000000000000000000000000000000033
:107D50000000000000000000000100000000000121
:107D600000000000040000421009080000008C021E
:107D70001001200A14400C000800090028020C0021
:107D80000A000000000000000000000000000000E9
:107D900000000000000000000000000000000000E3
:107DA00000000000000000000000000000000000D3
:107DB00000000000000000000000000000000000C3
:107DC0000000000000008000000000000000000033
:107DD0004008400800000040080800408000000003
:107DE0000000000001000000000000000000000092
:107DF0000000000000000000000000000000000083
:107E00000000000000000000000000000000000072
:107E10000000808000000000000000000000000062
:107E2000000020200000000000000102000000010E
:107E3000080000000000000000000000200808000A
:107E400000000C002010000800000C000810084082
:107E50000000B80000B0000009B000000000000001
:107E600000000D0000000E0C0000000000000000EB
:107E70000000000000000000000000000000000002
:107E800000000000000000000000000000000000F2
:107E9000000000000000000000000C0000090000CD
:107EA00000009000000400000000000000044000FA
:107EB0000000000000000D5000000000000C000059
:107EC00000000000000000000000000000000000B2
:107ED00000000000000000000000000000000000A2
:107EE0000000000000000000000000000000000092
:107EF00000000000000E0000000000000000000074
:107F000000000000000000080E0000500000000407
:107F10000E0C00000000340400DC200000200400EF
:107F200000800800000C04000C00000000000000AD
:107F30000000000000000000000000010000000040
:107F40000000000000000000000000000000000031
:107F50000000000000000000000000000000000021
:107F60000000000000000000000000000000110000
:107F70000000000000000050100000000000003071
:107F80000000003010000000000000000C000000A5
:107F900000010000000000000000000000000000E0
:107FA00000000000000000000000000000000000D1
:107FB0000000000000000000000020200000000081
:107FC00000700000000000000000303000000000E1
:107FD0000000080800000000000000000000000091
:107FE000000004004000000000000000000000024B
:107FF000000020000000400000000000040000001D
:108000000000000000000000000000000000000070
:108010000000000000000000000000000000000060
:108020000000000000000000000000000000000050
:108030000000000000000000000000000000000040
:108040000000000000000000000000000020000010
:108050000000000000000000000000000000803070
:108060000000000000000000000000000000000010
:108070000000000000000000000000000000000000
:1080800000000000000000000000000000000000F0
:1080900000000000000000000000000000000000E0
:1080A00000000000000000000000000000000000D0
:1080B00000000000000000000000000000000000C0
:1080C0000000000000008000000020000000000010
:1080D000020000000000000000000000000000009E
:1080E0000000000000100000000000000000000080
:1080F0000000000000000000000000000000000080
:10810000000000000000000000000000000000006F
:10811000000000000000000000000000000000005F
:1081200000840000000000000000000000000000CB
:1081300000003040000000000000000000000000CF
:10814000000000000000000000000200000000002D
:10815000000000000000000000000000000000001F
:10816000000000000000000000000000000000000F
:1081700000000000000000000000002000000000DF
:1081800000000000000000000000080000000000E7
:1081900000000000000000000000000008000010C7
:1081A00000000000000000000000000000000000CF
:1081B000000000000000000000200000000000009F
:1081C00000000000000000000000000000000000AF
:1081D000000000000000000000000000000000009F
:1081E000000000000000000000000000000000008F
:1081F000000000000004000000000000000000007B
:10820000000000000000000000000000000000006E
:10821000000000000000000043000000000000001B
:10822000000000000000000000000000000000004E
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000200E
:108250000000000000000000000000000000553594
:108260005535331B55350F477F40B313551DFFFF61
:10827000FFFF0000000000000000FFFFFFFF000004
:1082800000000000000000000000000000000000EE
:1082900000000000000000000000000000000000DE
:1082A00000000000000000000000000000000000CE
:1082B00000000000000000000000000000000000BE
:1082C0000000000000005FFFFF0A555D3333555585
:1082D0003333FF20557533730F4F5575FFAF0000D3
:1082E000000000000000000000000000000000008E
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:1083100000000000000000000000000000008008D5
:10832000000000010000000000000000000000004C
:10833000000090241E0090241E0090241E00902413
:108340001E0000008C0200000000000000008024DD
:108350000E0000000000000000000000000000000F
:10836000000000000000000000000000000000000D
:1083700000000000000000000000000000000000FD
:1083800000000000000000000000000000000000ED
:108390000000000000000000000000A01E28DFA474
:1083A0007EB9DFA47EB9DFA47EB9DFA47EB9DF0485
:1083B0006C900000000000000000000000000000C1
:1083C00000000000000000000000000000000000AD
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F00000008000000000010000400100000000BB
:10840000008804000000008000284F8060B94F8081
:1084100060B94F8060B94F8060B94F8060B0000094
:10842000000000000000000000000000000000004C
:10843000000000000000000000000000000000003C
:10844000000000000000000000000000000000002C
:10845000000000000000000000000000000000001C
:10846000000000000000000000000000000000000C
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000000EC
:1084900000000000000000000000000000000000DC
:1084A00000000000000000000000000000000000CC
:1084B00000000000000000000000000000000000BC
:1084C00000000000000000000000000000000000AC
:1084D00000000000000260000000FFFFFF00FF003E
:1084E00055555555FF0055550F0F55550F0F0F0F8B
:1084F000CCCC0000000000000000000000000000E4
:10850000000000000000000000000000000000006B
:10851000000000000000000000000000000000005B
:10852000000000000000000000000000000000004B
:108530000000000000000000000000807050FFFFFD
:1085400000AA0C00F0FF0100FEFFFFFFFF0FFF7FFE
:10855000BFFF0100373320200E070000000000009D
:10856000000000000000000000000000000000000B
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:108590000000FFFFFFFF00000000000000000000DF
:1085A00000000000000000000000000710010000B3
:1085B000000020C000CE0000000020C000D6000057
:1085C000000000000000000000002080000C0000FF
:1085D000000000000000000000000000000000009B
:1085E000000000000000000000000000000000008B
:1085F000000000000000000000000000000000007B
:10860000000000000000000000000000000000006A
:1086100000000000000000000000802800D60000DC
:108620000000802800E6000000008028004C0000C8
:10863000000000000000000000000000000000003A
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:10867000000000000000008000000000000000007A
:108680000001802000000008008A8020000000080F
:1086900000860000000000000000800000000000D4
:1086A00000020000000000000000000000000000C8
:1086B00000000000000000000000000000000000BA
:1086C00000000000000000000000000000000000AA
:1086D000000000000000000000000000000000009A
:1086E00000000000000000000000802000000004E6
:1086F000008680200000000400928020000000041A
:10870000008A0000000000000000000000000000DF
:108710000000000000000000000000000000000059
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:1087400000000000000000000000500000000000D9
:10875000000000008000080000004407C02200E084
:108760000000C007022210400000000000000000CE
:10877000C000000000000000000000000000000039
:1087800000000000000000000000000000000000E9
:1087900000000000000000000000000000000000D9
:1087A00000000000000000000000000000000000C9
:1087B000000000000000000000000000000044E293
:1087C00003C30400470044C7C20747C0C34303E2D2
:1087D000C347E00744000000000000000000000064
:1087E0000000000000000000000000000000000089
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000003000000000000000000000055
:108820000000000000000000800000400040000048
:108830001000001000401000050000410000000082
:108840000000000010000200000000000000000016
:108850000000000000000000000000000000000018
:108860000000000000000000000000000000000008
:1088700000000000000000000000000000000000F8
:1088800000000000000000000000000000000000E8
:10889000000000100890028008C0040414400890F2
:1088A0003028201018200000000000000000000008
:1088B00000000000000000000000000000000000B8
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000020000000000000086
:1088F0000000E00000000000000000000000010592
:108900001000000006780005780000804374000025
:108910000000000400000000000000000000000053
:108920000000000000000000000000000000000047
:108930000000000000000000000000000000000037
:108940000000000000000000000000000000000027
:1089500000000000000000000000000000000E0009
:10896000000000140000008501008010A00100C07C
:1089700091000094F3710E030000808EF2800000DD
:1089800000000000000000000000000000000000E7
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C0000000000000000000009000000000000017
:1089D000000046126002000001640003600000E035
:1089E00003C0000000000000C002000000002000E2
:1089F0000000000000000000000000000000000077
:108A00000000000000000000000000000000000066
:108A10000000000000000000000000000000000056
:108A20000000000000000000000000000000000046
:108A30000000208000000001000010850000000000
:108A40004000060020000000C078A18B650100D026
:108A5000C140000000000000000000000000000015
:108A60000000000000000000000000000000000006
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A90000000000000000000C0028000001800007C
:108AA0000000000000000000310000D0000410347D
:108AB000900000402090000008100002000000001C
:108AC00000000100000000000000000000000000A5
:108AD0000000000000000000000000000000000096
:108AE0000000000000000000000000000000000086
:108AF0000000000000000000000000000000000076
:108B000000000000000004000400C0011000101468
:108B10004500000024601004170000804008202059
:108B20004000202648000000000000000000000077
:108B30000000000000000000000000000000000035
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000002000000000000000003
:108B70000088000000000000000040148000000099
:108B80000008001360010086E17000000300000788
:108B90000000000000000C000000000000000000C9
:108BA00000000000000000000000000000000000C5
:108BB00000000000000000000000000000000000B5
:108BC00000000000000000000000000000000000A5
:108BD000000000000000000000001E000000000374
:108BE000000080A40300008001310000E0000000CC
:108BF000C0389C40F0011C96D5B400000000000075
:108C00000000000000000000000000000000000064
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C4000A000500000000000000000000000000430
:108C5000F0000000D000000260000020063100009B
:108C60000000800000000000000000000000000084
:108C700000000000000000000000000000000000F4
:108C800000000000000000000000000000000000E4
:108C900000000000000000000000000000000000D4
:108CA00000000000000000000000000000000F00B5
:108CB0000000000800005E47920000C0E98000202C
:108CC000F4000000E0B200A771000096E13000005F
:108CD0000000000000000000000000000000000094
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D100000000000000240000000004020000000B1
:108D2000242408810004800008000000020C2008B0
:108D3000002020000010000004008100000004005A
:108D4000000001040100000000000000000000001D
:108D50000000000000000000000000000000000013
:108D60000000000100000000000000000000000002
:108D700000000000000000000000000800080000E3
:108D8000000000000009000000024819104A0051CC
:108D900039204414500020041C1200100100002847
:108DA00008020000000001000000000000000000B8
:108DB00000000000000000000000000000000000B3
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000000093
:108DE00000000000000000000000C000088000003B
:108DF000000000000000040D00000002000000BEA2
:108E00000C04096070000D6090000000007070009C
:108E10000000000000000000000000000000000052
:108E20000000000000000000000000000000000042
:108E30000000000000000000000000000000000032
:108E40000000000000000000000000000000080812
:108E5000000C000000000800000400000000040CEA
:108E6000200400120040600D204060620020600677
:108E700000009040000A00000020000000000000F8
:108E800000000000000000000000000000000000E2
:108E900000000000000000000000000000000000D2
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000001000A2
:108EC00000000030200000002828003C00001000B6
:108ED000000000000500100000301000000000003D
:108EE0000000380070000C000000080808000000B6
:108EF0000000000000000000000000000000000072
:108F000000000000000000000000000C0000000055
:108F100000000080000000000000000000000000D1
:108F2000000001000000000000000B005A080000D3
:108F30005000200C0E040A0C08202400000020041D
:108F4000000C00000C0000300008000000800C0045
:108F50000000000000000000000000000000000110
:108F60000000000000000000000000000000000001
:108F700000000000000000000000000000000000F1
:108F800000000000000000000000000000000000E1
:108F900000001000000800000000000000000000B9
:108FA00000000002000004000000000000000000BB
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000000000000000000000000091
:108FE0000000000000000100000000000000000080
:108FF0000000000000000000000000000000000071
:109000000000000000000000000000000000000060
:10901000000000000000000000000004000000004C
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000000000000000000000000
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000000000000000000000D0
:1090A00000100000000000000000000000000000B0
:1090B00000000000000000000000000000000000B0
:1090C00000000000000000000000000000000000A0
:1090D0000000000000000000000000000000000090
:1090E000000000000000000000000000000000017F
:1090F0000000000000000000000000000000000070
:10910000003000000000000000000000000000002F
:10911000000000000000000000000000000000004F
:10912000000000000000000000000000000000003F
:10913000000000000000002000000000000000000F
:109140000000000000000000000000000000080017
:109150000000000400000000080000000000000003
:1091600000000000000000000000000000000000FF
:1091700000000000002000000000000000000000CF
:1091800000000000000000000000000000000000DF
:1091900000000000000000000000000000000000CF
:1091A00000000000000000000000000000000000BF
:1091B000000000000000000000000000000000208F
:1091C000040000000000000000000000000000009B
:1091D00000000040422000000000000000000000ED
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000020000000003E
:1092100000000000000000000000FFFFFFFFA5A508
:10922000BE7DDE7BBE7DBE7DF66FCFCFFFFFFFFF35
:10923000F0F0CC33666666665A5A3C3CAA556666C0
:109240006666000000000000000000000000000052
:109250000000000000000000000000000000FFFF10
:10926000FFFF000000000000000000000000000000
:1092700000000000000000000000000000000C00E2
:109280008800FEFF77F70100FF4FFFF50080AAFF7F
:10929000F66FBE7DBE7DBE7DDE7B0000000000005F
:1092A00000000000000000000000000000000000BE
:1092B00000000000000000000000000000000000AE
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000060000000000000000080247A
:1092F0000E004F806CB94F806CB94F806CB19004F8
:109300000C0000806CA94C804CB84D804CB94C804E
:109310004CB94C804CB00000000000000000000080
:10932000000000000000000000000000000000003D
:10933000000080040C00000000000000000000009D
:10934000000000000000000000000000000000001D
:10935000000000000C0000000C0000000C000000E9
:109360000C004F806CB94F806CB94F806CB100001D
:1093700000000000000000000000000000000000ED
:1093800000000000000000000000000000000000DD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B000000000000000000000E0000000000000CD
:1093C000201100A01228DFA472B9DFA472B9DFA4B3
:1093D00072B9DFA472B9DF247290000000000000AF
:1093E0000000802402008024020000000000000031
:1093F000000000000000000000000000000000006D
:10940000000000000000000000000000000000005C
:10941000000000000000000000000000000000004C
:10942000000000000000000000000000000000003C
:1094300000000000000000000000902412009024B2
:10944000120000000000000000000000000000000A
:10945000000000000000000000000000000000000C
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000008008A00000B4
:10949000000000000640FFFF3333FF000F0F33339F
:1094A000FF0055550F0F5555FF000F0FAAAA0000DA
:1094B000000000000000FFFFFFFFFFFFFFFF0000B4
:1094C000000000000000000000000000000000009C
:1094D0000000000000000000000000000000FFFF8E
:1094E000FFFF00000000000000000000000000007E
:1094F0000000000000000000000000000000FFDF8E
:1095000099334EEE03000010FEFF0100FFFE31F123
:109510000DCD0DCD0DCD00000000000000000000BD
:10952000000000000000000000000000000000003B
:10953000000000000000000000000000000000002B
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:10956000004000000000000700005110030400400C
:10957000CCC1100003040000AC0132000304004021
:109580008CC1000000000000000000000304000087
:10959000A800000000000000000000000000000023
:1095A000000000000000000000000009000080082A
:1095B000000A0000000000000000000000000000A1
:1095C000000000000000000000000000000000009B
:1095D000000000000000000000000000000000008B
:1095E0000000220004030000C8000000000000008A
:1095F000000000000000000000000000000000006B
:10960000000000000000000000000000000000005A
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:1096300000000000000000000000000000221028D0
:109640001000000284891000100000004401102066
:10965000100000082C9100000000000000004100F4
:1096600010000000440100000000000000000000A5
:10967000000000000000000000000000000080006A
:1096800000000000000200000000000000000000D8
:1096900000000000000000000000000000000000CA
:1096A00000000000000000000000000000000000BA
:1096B000000000000000470080000000840100005E
:1096C000000000000000000000000000000000009A
:1096D000000000000000000000000000000000008A
:1096E000000000000000000000000000000000007A
:1096F000000000000000000000000000000000006A
:109700000000000000000000000000000000000059
:109710000000E0C802C78040C90000C1C8C0800086
:10972000CB2300C1CB40C80793030000000000001A
:1097300000000000C0130000C40100000000000091
:109740000000000000000000000000000000000019
:109750000000000000000000030000000000000006
:10976000C000000000000000000000000000000039
:1097700000000000000000000100000000200000C8
:10978000A000000980000000400000C8C0C18303A1
:10979000D3010000000000000000000000000000F5
:1097A00000000000000000000000000000000000B9
:1097B00000000000000000000000000000000000A9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000080000009
:1097E000000000000300000004208020020000218F
:1097F000000280000400002104100000800100002D
:1098000000000000000000008000000000010000D7
:109810000000000000000000000000000000000048
:109820000000000000000000000000000800000030
:109830000000000001000000000000000000000027
:1098400000000000000000000000000020000004F4
:10985000000000000000088001020000010000007C
:109860008021840180010000000000000000000051
:1098700000000000000000000000000000000000E8
:1098800000000000000000000000000000000000D8
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B00000700000000000000000000060000A00CE
:1098C000E070800B78000080E3140000002C0000A2
:1098D00000000000600100800A000000603300000A
:1098E0000800000000000000000000000000000070
:1098F0000000000000000000000000000000000068
:109900000000000000000000000000000000000057
:109910000000000000000000000000000001000046
:10992000003052A5D1000020F23196432101800E73
:10993000A21106421500004AE0700000000000007D
:109940000000000000000000000000000000000017
:109950000000000000000000000000000000000007
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000800056000006000000000FA
:1099A00000000000E5004002900000400060000B55
:1099B00020880040000000000000000000000000BF
:1099C0000000000000000000000000000000000097
:1099D0000000100000000000000000000000000077
:1099E0000000000000000000000000000000000077
:1099F0008000000000740C04000000E120640C549E
:109A0000800200092001062DCB02C0462C18000060
:109A10000000000000000000000000000000000046
:109A20000000000000000000000000000000000036
:109A30000000000000000000000000000000000026
:109A40000000000000000000000000000000000016
:109A500000000000000000000040000000000000C6
:109A600000002000E101000020001008200100801B
:109A70002024100800000000080000000000000082
:109A80000140000840000000000A00000000000043
:109A900000000000000000000000000000000000C6
:109AA00000000000000002000000000000000000B4
:109AB00000000000000000000000000000000000A6
:109AC000000000000000000000A0830C010140C164
:109AD000049222080C02400041924A290000000032
:109AE000084000000000000000000000000000002E
:109AF0000000000000000000000000000000000066
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B300000000000000000006000020000081002A9
:109B400060000000C0C00000C00100000000000074
:109B5000C00100C0000000000090001000000000E4
:109B600000000000000000000000000000000000F5
:109B700000000000000000000000000000000000E5
:109B800000000000000000000000000000000000D5
:109B90000000000000000000000000000008420477
:109BA0002B000000E510160DC800400145602EC0D6
:109BB0002702000001480000000000000000000033
:109BC0000000000000000000000000000000000095
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C000000000000000000000000000000001C0038
:109C100000308000900000C005720000000080004D
:109C2000D0000000000000000400000400880000D4
:109C30000200000000000000000000000000000022
:109C40000000000000000000000000000000000014
:109C50000000000000000000000000000000000004
:109C60000000000000000000000000008000000074
:109C7000001002A30801000000300685000000C4A7
:109C8000C14206C5210100E1197600000000000074
:109C900000000000000000000000000000000000C4
:109CA00000000000000000000000000000000000B4
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD000000000000800000000800000208000005C
:109CE00020000008080008000800002000402400B0
:109CF0000800200008408000080444000400000020
:109D0000000000000040000000000000000200020F
:109D10000000000000000020000200000000000021
:109D20000000000000000000200200000000000011
:109D30000000000000000000000021000000000002
:109D400000408100000002400202020004000240C4
:109D500080108040840000040000210200400400C4
:109D600020000100000200000100000000000000CF
:109D700000000100000000000000000000000000E2
:109D800000000000000000000000000000000000D3
:109D900000000000000000000000000000000000C3
:109DA00000000000000400000800000000000000A7
:109DB0000009000600000800000004B00000000DCB
:109DC000000D6DB000000D4200605000000000006A
:109DD00000000000000900000000001000009000DA
:109DE00000000000000080000000000000000000F3
:109DF0000000000000000000000000000000000063
:109E00000000000000000000000000000000000052
:109E1000000000003000000000000000000D000005
:109E20000000006E0000005A7000000000000C00EE
:109E300000700000000070500000000000000000F2
:109E40000000000000000000000000000000000012
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E700000000000000000000000000000000000E2
:109E8000003000002030000000000B000000000047
:109E90000000001000201C00000010000020300016
:109EA00000503C000000000000000000002000D036
:109EB00000000000000C000C00000000000000305A
:109EC000000800000000000000000000000000008A
:109ED000000800000000000000000000000000007A
:109EE0000E001C0000000000803018000000003050
:109EF0000400040000000C3030003030300000005E
:109F0000000029000020040000000800000C0000F0
:109F1000080000000000000000000C00000000002D
:109F20000000000000000000000000000000000031
:109F30000000000000000000000000000000000021
:109F4000000000000000000000000000000C000005
:109F500000000000000000000000040000000000FD
:109F600000000000000000020000000000000000EF
:109F700000000000000000000000000000000000E1
:109F800000000000000000000010000000000000C1
:109F900000000000000000000000000000000000C1
:109FA00000000000000000000000000000000000B1
:109FB00000000000000000000000000000000000A1
:109FC0000000000000000000000000000000000091
:109FD0000000000000000000000000000000000081
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A020000000000000000000000000000000000030
:10A03000000000000000000000000000000000041C
:10A040000000000000000000000000000000000010
:10A050000000000000000000000000000090000070
:10A0600000000000000000000000000000000000F0
:10A0700000000000000000000000000000000000E0
:10A0800000000000000010000000000000000000C0
:10A0900000000000000000000000000400000000BC
:10A0A00000000000000000000000000000000000B0
:10A0B0000000000000000000000000000030000070
:10A0C0000000000000000000000000000000000090
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A100000000080000000000000000000000000047
:10A110000800000400000000000000000000000033
:10A12000000000000000000000000000000000002F
:10A1300000200000000000000000000000000000FF
:10A14000000000000000000000000000000000000F
:10A1500000000000000000000000200000000000DF
:10A1600000000000000000000000000000000004EB
:10A1700000000000040000000000000000000000DB
:10A18000000000000000000000000000000000408F
:10A19000002000000000000000000000000000009F
:10A1A00000000000000000000000000000000000AF
:10A1B000000000000000000000000000000000009F
:10A1C000000000000000000000000000000000008F
:10A1D000000000000000000000000000000000007F
:10A1E000000000000000000000000000000000006F
:10A1F0000000FFFFFFFFFFFF00000000F0F000FF86
:10A20000AAAACCCC00FFAAAAF0F0AAAAFF000000DC
:10A210000000FFFF0F0FFF00555533330F0F33338F
:10A220000F0F0F0FAAAA01010F07030080FFFF5FA6
:10A230000FCF0A00FEFFEEFF7FFF5F1FFFBF001082
:10A24000FEFFFFFFFCF533CC55AA33CC55AA696954
:10A250006969696969696969696969696969000040
:10A2600000000000000000000000000000000000EE
:10A2700000000000000000000000000000000000DE
:10A2800000000000000000000000000000000000CE
:10A29000000000000000800000000001000000003D
:10A2A00000000000000000000000000000000000AE
:10A2B000000000000000000000000000000000009E
:10A2C00000000000000080240E0000800C28488060
:10A2D0006CA84E806CB84E806CB84E806CB84E80C6
:10A2E0006CB10000000000806CA94F806CB94F80F9
:10A2F0006CB94F806CB94F806CB000000C0000004E
:10A300000C0048000C2000000C0048000C2000004D
:10A310000C0000000C0000000C0048804CA94C8090
:10A320004CB94C804CB84C804CB84C804CB94C80EB
:10A330004CB0000000000000000000000000000021
:10A34000000000000000000000000000000000000D
:10A3500000000000000000000000000000000000FD
:10A36000000000000000000000008000000000016C
:10A370000000400100000000000000000000800418
:10A3800000000000000000000000000000000000CD
:10A390000000008060A94C8040B94C8040B94D80DD
:10A3A00040B84D8040B1000000004F8060B94F8040
:10A3B00060B94F8060B148000020000000008004B8
:10A3C0000000008060A94F8060B94F8060B100003C
:10A3D000000000000000000000000000000000007D
:10A3E000000000000000000000000000000010203D
:10A3F00012009024120090241200008060A94D8069
:10A4000040B94C8040B84D8040B84D8040B100000C
:10A41000000000000000000000000000000000003C
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A440000000000000001080080000000000000074
:10A450000000FFFFFFFF0000000000000000000000
:10A46000000000000000FFFFCCCC6666AA553C3C13
:10A4700066665A5A66666666AA5500000000CCCC2D
:10A48000F66FBE7DBE7DF66FF66FBB1BBBBABFF627
:10A49000FFDFFFFFFFFFFFBBF66FDE7BDE7BF66FAC
:10A4A0007DBE7FFF3F00FFFFFFFFFC7C7333550045
:10A4B000FEFFFFFDFFFDFF33FF33FF57DCCC010143
:10A4C0005F5DFFCA51F13BFB3BFB51F151F1CFEF17
:10A4D000FEFF5A5A5A5ACC33CC3366663C3C666609
:10A4E00066660000000000000000000000000000A0
:10A4F000000000000000000000000000000000005C
:10A50000000000000000000000000000000000004B
:10A51000000000000000000000001000000000002B
:10A5200000000000000000000A0000000C00000015
:10A53000000000000000000000000000000000001B
:10A5400000002080000C000000000000000000005F
:10A5500000000000000000000000000000000000FB
:10A5600000000000000000000400000004000000E3
:10A5700000000000000010001001000000000000BA
:10A5800000000000000000000000000000000000CB
:10A590000000000000007300040300008C010200B2
:10A5A000040200000800000000000000000000009D
:10A5B000000000000000000000000000000000009B
:10A5C000000000000000000000000000000000008B
:10A5D000000000000000000000000000000000007B
:10A5E000000000000000000000000000000000016A
:10A5F000000000000000000000000140000000001A
:10A6000084000000000000000000000000000000C6
:10A6100000008000000000000002000000000000B8
:10A62000000000000000000000000000000000002A
:10A6300000000000000000000000110020000000E9
:10A640008400000000000000000040000000000046
:10A6500040010000000000000000000000000000B9
:10A660000000000000000000000051008000000019
:10A670002C01110000000000840000000000000018
:10A6800000000000000000000000000000000000CA
:10A6900000000000000000000000000000000000BA
:10A6A00000000000000000000000000000000000AA
:10A6B000000000000000000000000000000000009A
:10A6C000000050000000000000000000000000003A
:10A6D0000000030000000000000000000000000077
:10A6E000000048000000000000000000C000000062
:10A6F0000000000001000000000084400005000090
:10A7000000050000400000000000000502000000FD
:10A7100000004880C300000000000000000000C8E6
:10A720000000C842000000050010010408000008F5
:10A7300000200000C005004000080000000000C02C
:10A740001323D7C983E35A485280D2E3C7C02000FD
:10A750004000A00000000000000000000000000019
:10A7600000000000000000000000000000000000E9
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A0000000000000004000000000000000000069
:10A7B0000000000000000000000000000040000059
:10A7C0001000000000000004200000000000004015
:10A7D000080000100000000001000000000200005E
:10A7E0000800000000000004C00800000004000091
:10A7F00000000000000400C0000800200004200049
:10A8000000000000000400110100004000004000B2
:10A810000000000200100001C002000101048002DB
:10A820000420000001000000000000000000000003
:10A830000000000000000000000000000000000018
:10A840000000000000000000000000000000000008
:10A8500000000000000000000000000000000000F8
:10A8600000000000000000000000E0000000000008
:10A8700000000000000000000000000000000000D8
:10A8800000000000000000000000000000000100C7
:10A890000000000000000000000000200000002078
:10A8A00000000000C080062560000009A0010240F1
:10A8B0000002000AA000004001000000408020A02B
:10A8C00000018004E00140030000004402300664FF
:10A8D00000010001F110822000008096010818029A
:10A8E00000000080F10040400100000AA000406329
:10A8F000130100A94140806308010001020000002B
:10A900000000000000000000000000000000000047
:10A910000000000000000000000000000000000037
:10A920000000000000000000000000000000000027
:10A930000000000000000004000000000002000011
:10A940000000000000000000000000000000000007
:10A9500000000000000000000000000000000000F7
:10A960000000080000000C0000000080050000004E
:10A970000000000403000000004008100002000175
:10A98000C80023200A384003A80240240B0000001E
:10A9900000400680200040C6EC001C0480004007F8
:10A9A00005F0208000000001000028038001000362
:10A9B00001402020200000F4C10A0602030040C329
:10A9C000AC721002E3020081E56400052A008016E3
:10A9D000013000000000000800000000000000003E
:10A9E0000000000000000000000000000000000067
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000000000000046
:10AA10002000800000000000000000000000000096
:10AA20000000000000000000000000000000000026
:10AA300008000000000000000800020418000140A7
:10AA4000000240000400001002000000C0000E00E0
:10AA5000010080001010000020004009400000406C
:10AA600000020010600004000900200040001806E9
:10AA70001002000C02080D04410280814612408140
:10AA8000400200A4000D01111002C0424400500019
:10AA90000701000942181843800240006D200001A0
:10AAA0000000002500422000020000041000000009
:10AAB0000000000000000000000000000000000096
:10AAC0000000000000000000000000000000000086
:10AAD0000000000000000000000000000000000C6A
:10AAE0000000000000000000000000000000000066
:10AAF00000000000003C000000000000000000001A
:10AB000000000080010000000000108009002C01FE
:10AB10000000080080000001E0000000000000408C
:10AB200004800CC500000003C0001E40C7000006E2
:10AB3000A0000000802C00802100074500021C07B7
:10AB4000A00206021000000600608287000002C119
:10AB5000C1340342020000D6044046B4890000809C
:10AB6000E5802600040000844140060460000008DF
:10AB70002000808DD0012C0600000320020000067A
:10AB8000D0000000000000000000000000000000F5
:10AB900000000000000000000000000000000000B5
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000400050000000000005
:10ABC0000000000000000000000000000000000085
:10ABD00000000000000000000000000000000080F5
:10ABE00001000007C00000800000001A1000006093
:10ABF00000000000A010A0600100801E4042014043
:10AC000001000006A00100600100000020016E00AC
:10AC1000600080014000A60570480000010C482734
:10AC200018008C06A640AEA02800168701601C42C2
:10AC30000000009E23301200000080044A45A62434
:10AC40006000000EE00000A55200000000000E8031
:10AC500000000000000000000000000000000000F4
:10AC600000000000000000000000000000000000E4
:10AC700000000000000000000000000000000000D4
:10AC8000000000000000000400000000000240007E
:10AC90000000000120000000200000002000000053
:10ACA0000000000010000000200000000000000074
:10ACB00000808008900000404008000000408000B4
:10ACC000000000200020400080400002000200023E
:10ACD000014280200000801000200102404200005C
:10ACE000402010222000800080000242800040228C
:10ACF00000400020804281404040040000420100AA
:10AD00000000000400040002000040000000804039
:10AD10008C00094820002144820004023000000811
:10AD200000020000800001000000000000000000A0
:10AD300000000000000020000000000000000000F3
:10AD400000000000008020000000008000000000E3
:10AD500000000000002020000000000000000000B3
:10AD60000000C0000800000000000000000000001B
:10AD70000000000000000C0019000000000070003E
:10AD8000000D000000000008311D0C00000C09003F
:10AD90000010B0000000000000002D000070000056
:10ADA0000000000000000000000000100000000093
:10ADB0000000000000001000000000500000000033
:10ADC000000000000000905000040000000000009F
:10ADD000000009590000000C080000B000A00006A7
:10ADE000000D8005500008080C2002000000000043
:10ADF000305A00004070B0000000000090000000D9
:10AE00000000700090000000000000000000000042
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE300000000000000010000000000800000000FA
:10AE400020000000200000000000010000000000C1
:10AE500030000000000000000030105000D0011051
:10AE60003000000000A030000000003000308050B2
:10AE70003010005C000C000C081C3030005030001A
:10AE8000001008082000000020200A1800003000F0
:10AE90003000002C30000014001000301029281061
:10AEA0000000040000280C000000000D0104000850
:10AEB000000030500000100030500830218028146D
:10AEC0001050040C005000000008000020000C008E
:10AED0000000000000000000000000000000100062
:10AEE0000000000000000000000000000020300012
:10AEF00000000020000000000000000000303000D2
:10AF00000000000000000000000010000808000021
:10AF1000000000000200000000000000000000002F
:10AF20000000000000000000000000000000000021
:10AF30000000000000000000000000000000000011
:10AF400000004000001000000000000000000000B1
:10AF500000000000000000000000400000000000B1
:10AF600000000000000000000000000000000000E1
:10AF70008000000000000000000000000000000051
:10AF800000000000000000000000000000000000C1
:10AF90000010000000000030000000000000000071
:10AFA0000000000000010000000000400000000060
:10AFB0000000000000000000000000000000000091
:10AFC0000000000000000000000100000000000080
:10AFD0000000000000000000200000000000000051
:10AFE000000000000000000004000000000000005D
:10AFF0000000000000000000000000000000000051
:10B00000000000000000000000000200000000003E
:10B010000000000000002000009000000000000080
:10B020000000000000000000008000000000200080
:10B030000000000000000000000000800000000090
:10B040000000000000000000000000000000000000
:10B0500000000000000004000000000000000000EC
:10B060000000000000400008000000000000000098
:10B07000000000000000000000340000000000108C
:10B0800000000000000000000000000000000000C0
:10B0900000000000080000000000000000000000A8
:10B0A0000000000000000000000080000000002000
:10B0B0000000000000000000000000000000000090
:10B0C0000000000000000000000000000000000080
:10B0D0000000000000000000000000000000000070
:10B0E0000000000000000000000000000020000040
:10B0F0000000000000000000000000000000000050
:10B10000000000000000000000000000000000201F
:10B11000000000000000000000000000000000002F
:10B120000000000000000000040004000000000017
:10B1300000000000000000000000000400000010FB
:10B14000000000000000000000000080002000005F
:10B1500000000000000000000000000000000000EF
:10B1600000000000000000000400000000000000DB
:10B1700000000000000000000000000000000000CF
:10B18000100000200000000000000000000000008F
:10B1900000000000000000000000000000000000AF
:10B1A00000000000000000000000000000006666D3
:10B1B0003C3C6666AA5566663C3CAA55AA5566663E
:10B1C000CC33FFFFFFFFF00FDE7BDE7BBE7DDE7B3F
:10B1D00000FFF5F50F00AAAA00FFAAAACCCCCCCCA0
:10B1E00000FF00FFF0F0CCCCFF00FFFFFFFFFFCC23
:10B1F0003300FFFBFF5FFFFFFFFFFFFFAFFF01001B
:10B200007F553FFF7FFFFFFFDFFFFF0055550F0F0B
:10B210000F0FC3C39999A5A5A5A5A5A5999933CC49
:10B22000C3C3000000000000000000000000000098
:10B23000000000000000000000000000000000000E
:10B2400000000000000000000000000000000000FE
:10B2500000000000000010010000000000000000DD
:10B2600000000000000000000000000000000000DE
:10B2700000000000000000000000000000000000CE
:10B2800000004D804CB84C804CB94D804CB84D807E
:10B290004CB94D804CB080240E004F806CB94F806B
:10B2A0006CB94F806CB110201E0048806CA84E8095
:10B2B0006CB84E806CB84E806CB84E806CB100009B
:10B2C0000C0010001C0048000C2000000C000000C6
:10B2D0000C0000000C0000000C0000000C00488076
:10B2E0006CA94F806CB94C804CB84D804CB94D80E6
:10B2F0004CB84C004C900000000000000000000022
:10B30000000000000000000000000000000000003D
:10B31000000000000000000000000000000000002D
:10B32000000000000000000000000001000000001C
:10B33000000000000000000000000000000000000D
:10B3400000000000000000000000000000000000FD
:10B35000000000000000488060A84E8060B84E8069
:10B3600060B84E8060B84E8060B1800400004F80AD
:10B3700060B94F8060B94F8060B1900410009004B4
:10B380000000900410008004000000000000900401
:10B390001000000000000000000000000000800419
:10B3A00000000000000000000000102012008004D7
:10B3B000000090240200008060A84F8060B84F8099
:10B3C00060B100000000000000000000000000006C
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B4000000000080080000000000000000000000B4
:10B41000000000000000000000000000000000002C
:10B4200000000000000000000000CCCCF0F0AAAA50
:10B43000AAAAAAAAF0F000FF00FFF0F03333FFFF42
:10B44000FFFFFAFADE7BDE7BDE7BF66FDE7BFFCC76
:10B45000DDDDFF3F050FFFCCDDDDFFFFFFFFFF550B
:10B46000FEFFFFF0F5F5FFFF0100FFFFFFFF7F008C
:10B470007FFFFFFFFFFF0000000000000000D5D0AD
:10B48000D5DFFFFFFFFF55A5FFFFFFFFFCFC555574
:10B49000FCFCFF5FFF7FFFFFFFFF000000000000DC
:10B4A000000000000000000000000000000000009C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000000000000000005C
:10B4F000000000000000000000000000000000004C
:10B50000000000000000000000000000000000003B
:10B51000000001200A0000500CC0000000000000E4
:10B5200000007224040400208CC1100014010000EB
:10B53000C8003000100100008000E03510018028B4
:10B5400080648001000480080023000000000000E7
:10B550000000120004030000440100000A00000083
:10B5600000000000000000000000000000000000DB
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B5900000000000000000000000000000000000AB
:10B5A000000000000000000000000080000000001B
:10B5B000000000000000000000000000000000008B
:10B5C000000000000000000000000000000000007B
:10B5D000000000000000000000000000000000006B
:10B5E000000000000000032100000000848800002B
:10B5F0000000000000005120280000042C915100A0
:10B600002000000084014000000000004001E02212
:10B61000000000040893C0100800000008030000A8
:10B6200000000000000051008004000084010100BF
:10B6300000000000240000000000000000000000E6
:10B6400000000000000000000000000000000000FA
:10B6500000000000000000000000000000000000EA
:10B6600000000000000000000000000000000000DA
:10B670000000000000000000000000000000C0000A
:10B6800000000000000000000000000000000000BA
:10B6900000000000000000000000000000000000AA
:10B6A0000000000000000001000000000000000099
:10B6B0000000000000000000C000C7E2C7C382C74E
:10B6C00003E10000000000000000E34357C7C3C3CC
:10B6D000E34700C340C0C713C3088009DBC981A08A
:10B6E000DBCAE3D80380C3C4C0000000C0C8100098
:10B6F0000813000000000000000000000023C00349
:10B70000C4C812124B48C4C5C304000000000000A6
:10B710000000010200000000C00000000000000066
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B7400000000000000000000000000000000000F9
:10B7500000001000000000000000000000000000D9
:10B7600000000000000000000000000000000000D9
:10B770000000000000000000000000800000000049
:10B780000000000000000000000000200100042074
:10B790002003050214040000000000000000042142
:10B7A000002005214020088201020400C000800121
:10B7B0000001C002008020000904181012C000001F
:10B7C0001000000000000000000000000010000059
:10B7D000000080010000000004000002840000005E
:10B7E0000000000000002080200000000100000098
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000000000000000028
:10B820000000000000008000000000000000000098
:10B830000000000000000000000000000000000008
:10B840000000000000000000000000006000000098
:10B850004080000010000000C08006027000000060
:10B8600000E1000000020C0020428685A000800557
:10B87000A00006A000000000F0000005000000008D
:10B880000100060000000004E00000000000080BBA
:10B890000060A8040000002B080040009000000099
:10B8A000004006000001008001700600000000203A
:10B8B000E00000006000008441018003000000807F
:10B8C0000160000000000000000000000000000017
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000000058
:10B8F0000000000000000000000200000000000046
:10B900000000000000000000000000000000000037
:10B910000000000000000000000000000000000027
:10B92000100000000878C0051000000005705C02DF
:10B9300000000000407000806030020028003E954A
:10B940000D0000040D101090010000002000000008
:10B95000000000000070160008000000F0710000F8
:10B960000000000000E408050000080100000004D9
:10B970006001000000645600E00000E00108000DD6
:10B9800000280001000040052B0300C0E4008000F7
:10B990000000000000300000000000000000000077
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000000002000000057
:10B9D0000000000000000000000000000000000067
:10B9E0000000000000000000000000000000000057
:10B9F00000000040000000000000000000004000C7
:10BA00008002100440000000000300001C0200003F
:10BA100010202008000000D10C88202841000040A0
:10BA200018004001000000810008100000004002E2
:10BA300010081000002008000010240C0000100462
:10BA40000000002000000000022030000002C002C0
:10BA50000100400000420010800000020402008249
:10BA60009400000200000080006000000000000060
:10BA700000000000000000000000000000000000C6
:10BA800000000000000000000000000000000000B6
:10BA900000000000000000000000000000000000A6
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC0000000000000000000E00000000000000096
:10BAD000E0000016A002000002000090010000003B
:10BAE000E2120000D01812800300004308801C03FB
:10BAF00008000008000000000040000000000804EA
:10BB0000000040E0010000000000000800005203B7
:10BB1000004040D6050000026002000000681C18CA
:10BB2000E080009001000000D400004400002000EC
:10BB3000E200000740000000E0010C0000340000BB
:10BB400000000000000000000000000000000000F5
:10BB500000000000000000000000000000000000E5
:10BB600000000000000000000000000000000000D5
:10BB700000000000000010000000000000000000B5
:10BB800000000000000000000000000000000000B5
:10BB90000000000000000000000000400500000060
:10BBA0000000000004000098030000100000008066
:10BBB0000B10000060020E0000C08E64E3008008DD
:10BBC00000700CC30100000E213811000030000489
:10BBD0000038600000000006403820000000000E21
:10BBE00000F0020200281E86030000006001000031
:10BBF00000900C0200380096096800007000800078
:10BC00000000001428008000000000040000010073
:10BC10000000000000000000000000000000000024
:10BC20000000000000000000000000000000000014
:10BC30000000000000000000000000000000000004
:10BC40000000000000000000000070000000000084
:10BC500000000000000000000010000000000000D4
:10BC600000000000000080000000000080000000D4
:10BC700000000000004200000000000020008000E2
:10BC8000102880001010801200400000000000000A
:10BC9000300810081410000202081048305000004C
:10BCA0003210002210304140200020205420040097
:10BCB0002050402A105044020004010201420108B1
:10BCC000000000000082044020088840080048006E
:10BCD00000102040280040002000000081000000EB
:10BCE0000000040020000000000000000000000030
:10BCF0000000000000000000000000000000000044
:10BD000080000000000000000000000000000000B3
:10BD100000002000000000000000000000002001E2
:10BD200000000000000D00000000080000000000FE
:10BD30000000000000000000000000B00000000053
:10BD400000000A0001000009000000000C00B00023
:10BD5000000D000020040009002890080A09BC0911
:10BD6000000D005920043C0C002D0C709004300094
:10BD700030203E0020100DAE0C10095009000000CC
:10BD8000000A6D0609000000002A00000D00000EE8
:10BD90000D0E05080000000000000000000408006F
:10BDA000000004005000799E700079000C0A000029
:10BDB000007000009000000049000000000000003A
:10BDC000090000000000000000000000000000006A
:10BDD0000000000000000000000000000000000063
:10BDE0000000000000000000000000000000000053
:10BDF00000006000080000000000000000000100DA
:10BE00000000000000000000000000000000300002
:10BE1000000000003050000000500000001C000036
:10BE2000010000003000300000303E00000110052D
:10BE300000100100000000000000010000000F04DD
:10BE4000004000000020F0000400000C0F2028201B
:10BE500000002000003004002030303C0020340876
:10BE600000040C0C0C2C040000000A000038081020
:10BE70002050300000002000000020300000300082
:10BE8000010000001C00000000000C001000000079
:10BE900000000000000000000000000000000000A2
:10BEA0000000000000000000200000000000000072
:10BEB0000000000000000000000010000000000072
:10BEC0000000000000000000000000000000000072
:10BED0000000000000000000000000000000000062
:10BEE0000000000000000000000000000000000052
:10BEF0000000000000000000000000000000000042
:10BF000000002000000040000020000000000000B1
:10BF100000002000000000000000B04020000000F1
:10BF20000000000000000000000000000000000011
:10BF300000100020000000000C00000000000000C5
:10BF40000000000000000000000000018000000070
:10BF500000000000000000000000000000000000E1
:10BF600000000000000000000000000000000000D1
:10BF700000000000000000000000000000000000C1
:10BF800000000000000000000000000000000000B1
:10BF90000000000000000000000000800000000021
:10BFA0000000000000000000000000000000000091
:10BFB0000000000000000000000000000000000081
:10BFC00000000080000000000000000000000000F1
:10BFD000000000000000A000000020000080000021
:10BFE0000000000000008000000000000020700041
:10BFF0000000000000000000000000000000000041
:10C00000000000000090008000000000020000809E
:10C010000000000000000000002000000000000CF4
:10C0200030000000000000000000000000000000E0
:10C030000000000000000000000000000000000000
:10C0400000020000000000000000000000000000EE
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000000000D0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C0900000000000000000000000000000000000A0
:10C0A0000000000000000000000020000000000070
:10C0B0000000000000000000000000000000000080
:10C0C0000020000010000000000000000000000040
:10C0D0000000000000000000002000000800000038
:10C0E000040000000000000000000000002000002C
:10C0F0000000000000000000000000000000000040
:10C1000000008201000000000000000000000000AC
:10C11000000000000000000000000000000000001F
:10C12000000000000000000000000000000000000F
:10C1300000000000000000000000000000000000FF
:10C14000000080000000000000000000000000006F
:10C1500000000000000000000000000000000000DF
:10C1600000000000000000000000FFFFFFFFF3A040
:10C17000F3A03333FF00FF005555FF00FF00555576
:10C180000F0F3333AAAA00000000FFFFFFFF0000DB
:10C19000000000000000CFFF3F37EFFF7F77020075
:10C1A00050107777FF55FF33FF77FEFF770777074C
:10C1B000FEFF0300FF3F0000000000000000FFFF43
:10C1C000FFFFFFFFFFFF030055A933C9FFFF010079
:10C1D00055A5FFFFFFFF0000000000000000000069
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C2000000000000000000000000000000008008A6
:10C210000000000000000000080000000000000016
:10C22000000000000000000000000000000000000E
:10C23000000000000000000000000000000080245A
:10C240000E0010001C0048806CA94F806CB94F8014
:10C250006CB94F806CB94F006C90000000008024D6
:10C260000E00000000000000000000000C000000B4
:10C270000C0000000C0090241E0090241E00000002
:10C280000C0000000C0000000C000000000000008A
:10C29000000080040C0080240E0000201E0090048A
:10C2A0000C0000201E0080240E0000000000000092
:10C2B000000000000000000000000000000000007E
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000080000000000000004001000000008D
:10C2F000000000000000000000000000000000003E
:10C30000000000000000000000000000000000002D
:10C31000000000000000000000004D8040B84C808C
:10C3200040B84D8040B94C8040B84D8040B10000CD
:10C3300000000000000000000000000000000000FD
:10C340000000000000000000000090241200902473
:10C3500012000000000000000000000000000000CB
:10C360000000000000000000000000000000802429
:10C37000020080240200902412000020120000001D
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B00000000000000000000000000000000080FD
:10C3C0000800000000000000000000000000000065
:10C3D000000000000000000000000000000000005D
:10C3E00000000000000000000000FFFFFFFF5A5A9D
:10C3F00066663C3CCC3366665A5A3C3CCC33666637
:10C400005A5A000000000000000000000000000078
:10C410000000BDBDFFDF5F5FFF7FAAA8FF15FF33F0
:10C42000FF33FF0F3F3FFF0F0700F7F3F7F5F7EF7D
:10C43000CFFF00000000000000000000000000002E
:10C440000000FFFFFFFFFFFFFFFF57A83399030323
:10C4500093990000000000000000000000000000B0
:10C4600000000000000000000000000000000000CC
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B0000000000000008009000020000020023081
:10C4C0000A004020201000000000000000000000D2
:10C4D00000000000000080090000200000060000AD
:10C4E0000000000000000000000000000000300814
:10C4F0001001800880040130040000200840000082
:10C500000000000000000000000000000000802883
:10C510000000C020008A5100040320500020800841
:10C520000A048050201000000000000000000000FD
:10C5300000000000000000000000000000000000FB
:10C5400000000000000000000000000000000000EB
:10C5500000000000000000000000000000000000DB
:10C5600000000000000000000000000000000000CB
:10C5700000000000000000000000000000000000BB
:10C58000000000000000000000008000000000101B
:10C5900000021128000000000480000000000000DC
:10C5A00000000000000000000000800000000010FB
:10C5B0000006000000000000000000000000000075
:10C5C0000000C00000000000400711282000000407
:10C5D00024900000000000000000000000000000A7
:10C5E0000000802800002081008AD12081040010F2
:10C5F0002C93C120080020014487000000000000A7
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000500000000000AA
:10C6400000000000000000000000000000000000EA
:10C6500000000000000000000000000000000000DA
:10C660000000C0000003E04301C044E2E2E3000038
:10C6700000000000A000000000000000A00000007A
:10C68000C000C00000000000000000000000000822
:10C69000000000050000004001801303CB0300C030
:10C6A00003C04420C00000000000010000000000A2
:10C6B0000000000000000000030000C30000C4836D
:10C6C000C2D341238353C723C1C000C3C680000027
:10C6D000000000000000000000000000000000005A
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C700000000000000000000000000000000000029
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000009
:10C7300000000000004010800008002104040002F6
:10C7400004020000001000000000000000100000C3
:10C750000000010010401000010000000000000077
:10C7600000000000000400000400004040020180BE
:10C7700008800020400400008000080040002000E5
:10C780000000000000000000000000002000011870
:10C790000200000A84204100882008405010010354
:10C7A0000402000000000000000000000000000083
:10C7B0000000000000000000000000000000000079
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000C00000000000000000000000000089
:10C7F0000000000000000000000000000000000039
:10C800000000000000000000000040000000800068
:10C81000000000000200810000000000C000000DC8
:10C8200000000000A0000000000040000000000028
:10C8300000000000000001102000004AA240160B7A
:10C84000000000840A00000000010004F1718CA2C5
:10C8500001000098C101000000000000000000007D
:10C8600000000E000000000000680080030040008F
:10C8700000000000F00000000000000000000000C8
:10C8800000000000000000000000000000000000A8
:10C890000000000000000000000000000000000098
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000000000000000000000078
:10C8C0000000000000000000000000000000000068
:10C8D00000000000000000000000000000000C004C
:10C8E0000000000000000050A41202050000000437
:10C8F00008000600600000040864000000000C004E
:10C90000000000000000000000000600D30180EBE2
:10C91000B07520401401800702701025200000C46B
:10C92000C17821120A0000862918000000000000CA
:10C930000000000000001C00000040020028000071
:10C9400001103C0400001C04410000000000000035
:10C9500000000000000000000000000000000000D7
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C990000000000000000000000000000000000097
:10C9A0000000000000000000000000000000000087
:10C9B0000000000000000003000C001006182040DA
:10C9C0000000800004042200100020080400000081
:10C9D000000000000000000000000000000020181F
:10C9E0008000405044210032020000420008201024
:10C9F000C10280E200098000080200A900080000CE
:10CA0000000000000000000000001000000000080E
:10CA1000C02C00A00008000C060090200A000000B6
:10CA20000000000000000000000000000000000006
:10CA300000000000000000000000000000000000F6
:10CA400000000000000000000000000000000000E6
:10CA500000000000000000000000000000000000D6
:10CA600000000000000000000000000000000000C6
:10CA700000000000000000000000000000000000B6
:10CA80000000000000000800000000020300004059
:10CA900048000100000000C04148410200001C00A5
:10CAA000400000000000280000000000000000001E
:10CAB00000001688070000C7E130010202000000F4
:10CAC00000000080000000C001308E4FF30000D64F
:10CAD000C448000000000000000000000000140036
:10CAE000000000000000008001003E8800001CC61D
:10CAF0000101000000000000000000000000000034
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB50000000000000000000000001000000801242
:10CB600000000000A170008000000000D400004020
:10CB700003000000D00000000000000000000000E2
:10CB8000000000000000060054000016C014261526
:10CB9000000000A10B38002000000000D5E00D4788
:10CBA0000100808E01150000000000000000000060
:10CBB0000000400000008007701000400880002046
:10CBC0000000C096C500000000000000000000004A
:10CBD0000000000000000000000000000000000055
:10CBE0000000000000000000000000000000000045
:10CBF0000000000000000000000000000000000D28
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC200000000000000000000000000100080008F3
:10CC3000000000510000000220400402000000003B
:10CC400001000852000080001010000100000008E0
:10CC50004800004020004000000008004004004060
:10CC6000200040202000002000204101201400006E
:10CC70000200010001240102000000008000000009
:10CC80000000400020080000800040002008000054
:10CC90000001040008000000080000000800000077
:10CCA0000000000000000000000000000000000084
:10CCB0000000000000000000000000000000000074
:10CCC0000000000000000000000000010000000063
:10CCD000000000000000080000000000000000004C
:10CCE0000000000000000000000000000000000044
:10CCF0000000000000000000000000000000000034
:10CD000000040000000D0010000000090860003958
:10CD100000000C000C5E08100C0890000020080EAB
:10CD20009C000910000C00707E00000000000C60E8
:10CD30000E00000006000D0509000D005000000067
:10CD40000000000000000D16000A000000000000B6
:10CD500050007000000008060004000A00000906E8
:10CD6000090400000000005660000D0200000000F1
:10CD700000000000000000000000000000000000B3
:10CD800000000000000000000000000000000000A3
:10CD90000000000000000000000000000000000093
:10CDA000000000000000000000000C000000000077
:10CDB000080000000000000000000000000000006B
:10CDC0000000000000000000000000000000000063
:10CDD00000000A0C0000000000000A0C0000000027
:10CDE000012004FC00000B000900001C01011000E0
:10CDF0000000010C01000080300100102000100034
:10CE0000000000001000003030002A302000000038
:10CE10000020380C00000000080008800C100C04F2
:10CE2000000000001000000E0000210020000000A3
:10CE300010000000000000000004040000000000DA
:10CE400000000000000000000000000000000000E2
:10CE500000000000000000000000000000000000D2
:10CE600000000000000000000000000000000000C2
:10CE700000000004000000000000000000000000AE
:10CE8000000000000800000000000000003000006A
:10CE90000000000000000000000000000000000092
:10CEA0000000000000000000000000000000000082
:10CEB0000000000000200000400000000000000012
:10CEC0004000000000000000000000000000000022
:10CED0000000000000000000004000000000000012
:10CEE0000000000000000000000000000010000032
:10CEF0000000000001000000000000000000000031
:10CF00000000000000000000000000000000010020
:10CF100000000000000040000000000000000000D1
:10CF20000000000000000000000000000000000001
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF50000000000000000080000000000000000051
:10CF60000080000000000000000000000000000041
:10CF700000000000000000000000000000000000B1
:10CF80000000200000000000000000000000000081
:10CF90000000000020000000000000000000000071
:10CFA0000000000000000000000000000000000081
:10CFB00000000000000000800001000000000000F0
:10CFC00000900000000000000400000000000000CD
:10CFD0000000000000000000000000000000000051
:10CFE00000000C0000000000000010000000000025
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000000000020
:10D010000000000000000000000000000000000010
:10D020000000000000000000000000000000000000
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000000000000000000E0
:10D0500000000000000020000000000000100000A0
:10D0600000000000000000000000000000000000C0
:10D0700000000000000000000000000000000000B0
:10D080000000000020000000000000002000000060
:10D090000000000000200000000000000000000070
:10D0A0000000000000000000000000001000000070
:10D0B00000000000000000000000000000008101EE
:10D0C0000000000000000000000000000000000060
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000000000000001F
:10D11000000000000000000000000000000000000F
:10D120000000B3B0B3B0FFFFFEFF6666AA556666A7
:10D13000CC335A5A66663C3C3C3CF5C0D5D0D5D081
:10D140008FDF00000000FFFFFFFFFFFFFFFFFFFF7B
:10D15000FFFFFFFFFFFFFFFFFFFF00000000A0F049
:10D160002000FFFFFFFFF007EFFF3313FFBF373350
:10D170007FFF000000000000000000000000000031
:10D18000000000000000000000000000000000009F
:10D19000000000000000000000000000000000008F
:10D1A000000000000000000000000000000000007F
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D0000000000008000000000000000000000047
:10D1E000000000000000000000000000000000003F
:10D1F00000000000000010001C0000806CA94D80A1
:10D200004CB94C804CB84D804CB84C804CB0102080
:10D210001E0090241E000000000080040C008024EA
:10D220000E0080040C0080240E0080240E000000FC
:10D23000000000000C0080240E0000000C00000024
:10D240000C0000000C0000000000000000000000C6
:10D2500000000000000000000000000000000000CE
:10D2600000000000000000000000000000000000BE
:10D2700000000000000000000000000000000000AE
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A0000000000000000000080000000000000076
:10D2B000000000000000000000000000000000006E
:10D2C00000000000000000000000902412009024E4
:10D2D0001200488040A94C8040B94C8040B94C8035
:10D2E00040B94C8040B94C8040B080040000000040
:10D2F000000000000000000000000000000000002E
:10D30000000000000000000000000000000000001D
:10D31000000000000000000000000000000000000D
:10D3200000000000000000000000000000000000FD
:10D3300000000000000000000000000000000000ED
:10D3400000000000000000000000000000000000DD
:10D3500000000000000000000000000000000000CD
:10D3600000000000000000000000000000000000BD
:10D3700000000000000000000000000000000000AD
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000011F389
:10D3A000BBF32FEF2FEF0FF0A5A5C3C3A5A599667B
:10D3B0009966996699669966996699669966FFFF76
:10D3C000FFFF00000000000000000000000000005F
:10D3D000000000000000000000000000000000004D
:10D3E000000000000000FFFF5F136A62FFFB000007
:10D3F000000000000000000000000000000000002D
:10D40000000000000000000000000000000000001C
:10D41000000000000000000000000000000000000C
:10D4200000000000000000000000000000000000FC
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000007300040340200000000000000000D2
:10D480000000000000000000000000190000C020A3
:10D4900000CE8108040280002C0680090000602074
:10D4A00000E680280000C020004C000000000000C2
:10D4B00000000020000040200040000000000000AC
:10D4C000000000000000000000000000000000005C
:10D4D000000000000000000000000000000000004C
:10D4E000000000000000000000000000000000003C
:10D4F000000000000000000000000000000000002C
:10D50000000000000000000000000000000000001B
:10D51000000000000000000000000000000000000B
:10D5200000000000000000000000000000000000FB
:10D5300000000000000000000000000000000000EB
:10D540000000000000005128800000002C91000025
:10D550000000000000000000000000000000802823
:10D5600000000001008A91000100200104068028CB
:10D57000000000900092802800002081008A0000B6
:10D580000000000000000028000000800090000063
:10D59000000000000000000000000000000000008B
:10D5A000000000000000000000000000000000007B
:10D5B000000000000000000000000000000000006B
:10D5C000000000000000000000000000000000005B
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D6100000000000000000000000004003EA934208
:10D62000C31300030000000000000020C000000041
:10D630000000E340C2404700E3000300C3C0000015
:10D64000000000220300460700070003000044001A
:10D65000E000000000800000000000000000440026
:10D66000000700400000200000080000000000004B
:10D6700000000000000000000000000000000000AA
:10D68000000000000000000000000000000000009A
:10D69000000000000000000000000000000000008A
:10D6A000000000000000000000000000000000007A
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000102A
:10D6F00004008018A000008004000000000000006A
:10D7000001000000000020A0142020802001400023
:10D7100018020000000000000800040000000008DB
:10D720000000008000000000000400000000000471
:10D730000000000000000040000000008000000029
:10D7400000000000000000000000000000000000D9
:10D7500000000000000000000000000000000000C9
:10D7600000000000000000000000000000000000B9
:10D7700000000000000000000000000000000000A9
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B0000000000000000000000000000000000069
:10D7C00000002E00040080104A700E026000002548
:10D7D00054622E820000000002300643712800408F
:10D7E00008880000000000000000000000005C004D
:10D7F0000000000000005C00000000006400000069
:10D800000060000020001C000040005418010016B9
:10D8100026F00000000000000000000000000000F2
:10D8200000000000000000000000000000000000F8
:10D8300000000000000000000000000000000000E8
:10D8400000000000000000000000000000000000D8
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000000E002000004000085017AB
:10D8A0001000004140100E85000000100070960826
:10D8B000330000000000000000001C000000000019
:10D8C0000000080000000000000000000000000050
:10D8D00080000000000000001000000000080C8024
:10D8E000E10000C0C26B000000000000000000006A
:10D8F0000000000000000000000000000000000028
:10D900000000000000000000000000000000000017
:10D910000000000000000000000000000000000007
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000000000000000000000C7
:10D9600000000000000000000000043C0F020080E6
:10D97000CA01000002000040104204118D00400066
:10D9800000423068BD1000001210000000000200CC
:10D990000000000000000800000000000000080077
:10D9A00000000000C0020000002400000003080086
:10D9B000000C1199A200000100000000000000000E
:10D9C0000000000000000000000000000000000057
:10D9D0000000000000000000000000000000000047
:10D9E0000000000000000000000000000000000037
:10D9F0000000000000000000000000000000000027
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA30000000000000000000000000000000108254
:10DA4000000000044100000000000000000036C09B
:10DA5000E1010006A0031287C00000C0256000009D
:10DA6000002C00000000000000000000000000008A
:10DA700000000C0000000000800000000008000012
:10DA800060020100003842A3610000002000000095
:10DA90000000000000000000000000000000000086
:10DAA0000000000000000000000000000000000076
:10DAB0000000000000000000000000000000000066
:10DAC0000000000000000000000000000000000056
:10DAD0000000000000000000000000000000000046
:10DAE0000000000000000000000000000000000036
:10DAF0000000000000000000000000000000000026
:10DB00000000000000000000000000000000000015
:10DB100000000F8200008014450100C00100009E3B
:10DB20000308068C71000016C0000087700000A773
:10DB3000226500000000000000000000000012004C
:10DB40000000000000001F00000000006000000056
:10DB50000010000060001400000000441000004AA3
:10DB6000DACA000000000000000000000000000011
:10DB700000000000000000000000000000000000A5
:10DB80000000000000000000000000000000000095
:10DB90000000000000000000000000000000000085
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC0000000000000000000000000000000000055
:10DBD0000000000000000000000000000000000045
:10DBE0000000200000004802000020442000440003
:10DBF0003050005000009001110000229000000001
:10DC000000000100100000101800000000000002D9
:10DC10000000000000000000004000400000040080
:10DC2000000400000080400200400000404000422C
:10DC30000204040002040010000800000000002894
:10DC4000000200000000000020100000000000089A
:10DC500000000000000000000000000000000000C4
:10DC600000000000000000000000000000000000B4
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000000000000000000094
:10DC90000000000000000000000000000000000084
:10DCA0000000000000000000000000000000000074
:10DCB0000000000008000000000004090000000946
:10DCC000000009002A3068390C0030002D400000A7
:10DCD0000D02000690100D420E6060002000000949
:10DCE00000500006000000000000000000000000DE
:10DCF000A0000000000E000000000AB00A7000043E
:10DD000058000908007E70B0540000100808000098
:10DD10000020500C00000005052C002E0000000023
:10DD20009000000809000000000000000000000052
:10DD300000000000000000000000000000000000E3
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000000000000000000008000000AB
:10DD70000000000000000000400000000000000063
:10DD8000400000000000000001001000000020041E
:10DD9000000020040000245000000B300F50300819
:10DDA0000C50003C300000000E800C0000000050C1
:10DDB000000000000000000C000000000000000057
:10DDC0000030000000000C0000000A0000303000AD
:10DDD00000300001112000010000080001080000CF
:10DDE0000100000000800010000800000E8100808B
:10DDF000200E0000000000000000000000000000F5
:10DE00000000000000000000000000000000000012
:10DE10000000000000000000000000000000000002
:10DE200000000000000000000000000000000000F2
:10DE300000000000000000000000000000000000E2
:10DE400000000000000000000030000000000000A2
:10DE500000000000000000000000000000000000C2
:10DE60000000000000000000400000000000000072
:10DE700000000000000000000000000000000000A2
:10DE80000000000020000000000000000000400032
:10DE90000000000000000000000000000000000082
:10DEA0000000000000000000002000000020000032
:10DEB0000000000000000000000010001000000042
:10DEC00000000080000000000000000000000000D2
:10DED0000000000000000000000000000000000042
:10DEE0000000000000000000000000000000000032
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF10000000000000000000000000000080000081
:10DF200000000000000000000000000000000000F1
:10DF300000000000000000000000000000000000E1
:10DF400000000000000000000000000000000000D1
:10DF50008000000000000000000000000000000041
:10DF60000000208000000000000000000000000011
:10DF70000000000000000000000000000090000011
:10DF80000080000000000000000000000000800091
:10DF90008000000000000000000000000000000001
:10DFA0000000000000000000000000000000000071
:10DFB0000000000000000000000000000000000061
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000000000000000000000000031
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000000000010
:10E010000000000000000000000000000000000000
:10E0200000000000000000000000000010000000E0
:10E0300000000000000000000000000000000000E0
:10E0400000000000000000000000000000000000D0
:10E0500000200000000000000000000000000000A0
:10E0600000000000000000000000000000000000B0
:10E0700000000000000000000000C00000000000E0
:10E080000000000000000000000000000000000090
:10E090000000000000000000000000000000000080
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000000000000000000060
:10E0C0000000000000000000000000000000000050
:10E0D0000000000000000000000000000000000040
:10E0E000000000000000333355553333FF00999989
:10E0F00055AAC3C355AAA5A59999999933CC0000EF
:10E10000000000000000FFFFFFFF00000000000013
:10E110000000000000000000000000000000FFFF01
:10E12000FFFF0000000000000000000000000000F1
:10E13000000000000000FFFF55553333FF00555528
:10E14000FF00333300FFFCFF000C320132330000CC
:10E1500000000000000000000000000000000000BF
:10E160000000000000000000000055FF0FFFFFFF4F
:10E17000FF00FF003333FF003333FF000F0F333353
:10E180000F0F0F0F00FF0000000000000000000054
:10E190000800000000000000000000000000000077
:10E1A000000000000000000000000000000000006F
:10E1B0000000000000000000000048806CA94F80B3
:10E1C0006CB94C804CB94C804CB94D804CB84D006A
:10E1D0004C90000000000000000080240E000000B1
:10E1E000000000000000000000000000000000002F
:10E1F000000080240E00000000000000000000006D
:10E200000000000000000000000000800C284F808B
:10E210006CB94F806CB94F806CB000000C001000DE
:10E220000C000000000000000000000000000000E2
:10E23000000000000000000000000000000090242A
:10E240001E0000800C284F806CB94F806CB94F8045
:10E250006CB94F806CB94F806CB0000000000000BA
:10E2600000000000000000000000000000000000AE
:10E27000000000000000000000000000000000009E
:10E2800000000000000000000000000000009004FA
:10E290000000008060A84F8060B84F8060B180248B
:10E2A00002009024020000000000000000000000B6
:10E2B00000008024020000000000000000000000B8
:10E2C000000000000000000000000000000000004E
:10E2D00000000000000000000000000000009004AA
:10E2E00000009024120000000000902412000000A2
:10E2F000000000000000000000000000000000001E
:10E3000000000000000000000000000000001000FD
:10E3100010000000000090241200D8A472A9DFA40D
:10E3200072B9DFA472B9DFA472B9DF247290000061
:10E3300000000000000000000000000000000000DD
:10E3400000000000000000000000000000000000CD
:10E3500000000000000000000000000000000000BD
:10E36000000055A9FFFFFFFFFEFE7F7FAAAAFF0066
:10E37000FF7FFFFFFFFF0FC3FFFF00000000000053
:10E38000000000000000FFFFFFFF00000000000091
:10E39000000000000000000000000000000000007D
:10E3A000000000000000000000000000000000006D
:10E3B0000000FFDF2222FFDFFFDF00000000FFDFA1
:10E3C000FFDFFFFFFFFF0000000000000000000073
:10E3D000000000000000000000000000000000003D
:10E3E0000000111105050000000033FF33FF333337
:10E3F00055550F0F3333FF0055550F0F3333FF00C3
:10E40000AAAA0000000000000000000000000000B8
:10E4100000000000000000000000000000000000FC
:10E4200000000000000000000000000000000000EC
:10E4300000000000000000000A00000020000000B2
:10E44000000000000000010004060000CC010000F4
:10E4500000000000000050200001402080C10000AA
:10E4600000000000000000000000000000000000AC
:10E4700000004020004000000000000000000000FC
:10E4800000000000000032001401000044011000F0
:10E4900010010000000000000000800800000000E3
:10E4A000000000000000000000000000000000006C
:10E4B00000000000000000000A0020000C26000000
:10E4C0008A010000C800000024010000A80000002C
:10E4D000240100008800000000000000000000800F
:10E4E000000000000000000000000000000000002C
:10E4F000000000000000000000000000000000001C
:10E50000000000000000000000000100000000000A
:10E51000040000000000000000005100090000009D
:10E5200084010000000000000000402880040080FA
:10E530000891000000000000000000000000000042
:10E540000000002800000080009000000000000093
:10E55000000000000000000000005100200000004A
:10E56000840140000000000008018000000000005D
:10E570000006000000000000000000000000000095
:10E5800000000000000000000000810000000010FA
:10E59000240241000000000084014100400000000E
:10E5A00044014100400000002C0100000000000078
:10E5B000000000000000000000000000000000005B
:10E5C000000000000000000000000000000000004B
:10E5D000000000000000000000000000000000003B
:10E5E0004812C012C3050000000000000000C9C3AB
:10E5F00003E3CB2304C90000000000000000E00793
:10E6000000804607C8C000000000000000000000B5
:10E610000000000000000000E000440000000000D6
:10E62000000000000000000000000000000000C02A
:10E6300010008303C900008000005313C90002C208
:10E64000C0030302C0000000000000000000000042
:10E65000000000000000000000000000000000C0FA
:10E6600003030003C70001030023CB03802300CD75
:10E6700002C0C000800000C800C0C20080000000CE
:10E68000000000000000000000000000000000008A
:10E69000000000000000000000000000000000007A
:10E6A000000000000000000000000000000000006A
:10E6B0000000000000008000C0000000000000001A
:10E6C0000000200340204000000100000000000086
:10E6D0000000000000020400000400000000000030
:10E6E000000000000000000000000000000000002A
:10E6F000000000000000000000000000000000001A
:10E70000000000020000C001400000020000010003
:10E71000400002C001080880100000000000000056
:10E7200000000000000000000000000000000000E9
:10E7300000000090080102084080200120004001F4
:10E740008000000002208000800000000020820085
:10E750008000000000000000000000000000000039
:10E7600000000000000000000000000000000000A9
:10E770000000000000000000000000000000000099
:10E78000000000000000120000000000E80040024D
:10E79000000080000400800D700000800231000045
:10E7A000000000000000000000381C000000000015
:10E7B0000000000000000000000000000000000059
:10E7C00000001C000000000000000000000000002D
:10E7D000000000000000181000008000A0000040B1
:10E7E00001000000E0010000500000000160000096
:10E7F0000000000000000000000000000000000019
:10E8000000000000000000005000000000000600B2
:10E8100000000000001000000000000001000000E7
:10E8200000280000000000000000000000000000C0
:10E8300000000000000000000000000000000000D8
:10E8400000000000000000000000000000000000C8
:10E8500000000000000000000000000D00000007A4
:10E86000003000146B010047006000000028000029
:10E8700002700000000000000000000000400000E6
:10E880000000000000000000000000000000000088
:10E890000000000000000000000000000000000078
:10E8A00000000000000000000000008008000007D9
:10E8B000A070000003000000E0100000C002001083
:10E8C00005600000000000000000000000000000E3
:10E8D00000000000000000000000000000004000F8
:10E8E0000000060000000000000800000000000713
:10E8F000000000000080000705000000000000008C
:10E900000000000000000000000000000000000007
:10E9100000000000000000000000000000000000F7
:10E9200000000000000000000000000000000800DF
:10E9300000000008905000000C000001325000025E
:10E9400041540000001A0000000000000000000018
:10E95000002008000000000000000000000000008F
:10E96000000000000000000000000800000000009F
:10E97000000000000000000000000000000008503F
:10E9800000000000880800440500000060500002FC
:10E990001000000000420000000000000000000025
:10E9A0000000000000000000000000000000000067
:10E9B00040000000001042000000000002002000A3
:10E9C00001008080000020000100800000010000A4
:10E9D0000000000000000000000000000000000037
:10E9E0000000000000000000000000000000000027
:10E9F0000000000000000000000000000000000017
:10EA00000000000004000004000001800300000773
:10EA10000000000008000C000000000000000000E2
:10EA200000000000000001000000000000000000E5
:10EA300000000000000000000000000000000000D6
:10EA400000000000000000000000000000000000C6
:10EA500000000880000000060802008000003C0062
:10EA600040000005C00000800130000000000000F0
:10EA70000000000000000000000000000000000096
:10EA800000001000800000040010120000000000D0
:10EA900000700000E00100C001340005100010000B
:10EAA0000000000000000000000000000000000066
:10EAB0000000000000000000000000000000000056
:10EAC0000000000000000000000000000000000046
:10EAD000000000000000080000008000403000003E
:10EAE0000800800402100045000001000000000042
:10EAF0000000000000000000000000000000000016
:10EB00000000000000000000000000000000000005
:10EB100000003400000000000000000000000000C1
:10EB200000000000000028A000008001003800A0C4
:10EB3000000000C041418005580100C009B8000034
:10EB400000000000000000000000000000000000C5
:10EB50000000000000000E000400801400140D40AE
:10EB600003000000D204000000000000D400800078
:10EB700070004000D06000000000000000000000B5
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000000000000075
:10EBA0000000000000000040000040000040004065
:10EBB00020000440000080000000401000000040E1
:10EBC00000401000000800000000000000120002D9
:10EBD00000000008000000000000000000400000ED
:10EBE00010000048000000000000000210000004B7
:10EBF000400000200002000041000002000022400E
:10EC000000000401000000020202000402000000F3
:10EC100001020000020000000000000000000000EF
:10EC200000000000000000000000000000000000E4
:10EC300020000000300002010100000081000000FF
:10EC40000000000040000200000000000000000082
:10EC500000000000000000000000000000000000B4
:10EC600000000000000000000000000000000000A4
:10EC70000000000000000000000000000000000D87
:10EC80000C00080200000C50500E00000900903EDD
:10EC90006E004050400A300D000400000D0E005080
:10ECA000000000000000010CA000000000000009AE
:10ECB0000000000000000A0C000000000E00000030
:10ECC0003009000A000000000000000000000009F8
:10ECD0000000600000000C00000000000C0010406C
:10ECE00060000040900000000000000000000000F4
:10ECF0000000000000000000000000000000000014
:10ED000000000000000000002000000000000000E3
:10ED100000604000000000000000000060000000F3
:10ED200009000E00000000000800000000000000C4
:10ED300000000000000000000000000000000000D3
:10ED40000000000000000000000000000000003093
:10ED500000003A000110010000000930000010001E
:10ED60000000200000000000002000000050000013
:10ED700000000000000C0000000000000000000087
:10ED80000000000000300000000000300000000023
:10ED90000000000C000000083000003000080000F7
:10EDA00018000A0C000020000000050C0000000004
:10EDB0000D04800C080000000C0800000800000092
:10EDC0000000000000000000000000000000000043
:10EDD0000000000000000000000000000000040827
:10EDE00008000000180000000000000010000400EF
:10EDF0000000000000000000000000800000000093
:10EE000000000000003000000000000000000000D2
:10EE100000000000000000000000000000000000F2
:10EE200040000000000000000000000000000000A2
:10EE300000000000000000000000000000000000D2
:10EE40000000000000000000000000004000000082
:10EE500000000000000000000000000000000000B2
:10EE60000000000000100000000000008000000012
:10EE70000000000000002000000000000000000072
:10EE8000000000000000000000000400000000007E
:10EE90000000000000000000000000000000000072
:10EEA000200000000000000420000000000002001C
:10EEB0000000400000000000000000000000000012
:10EEC0000000000000000000000000000000000042
:10EED00000000000000000000080000000000000B2
:10EEE0000000000000000000000000000000000022
:10EEF00000000000200000000000000000000000F2
:10EF00000000000000000000000000000000000001
:10EF100000000000000000000000000000000000F1
:10EF200000000000000000000000000000000000E1
:10EF30000000000000000000009000000000000041
:10EF40003000000000000000000080000000000011
:10EF500000000000000000000000000000000100B0
:10EF600000000000000000000000000000000000A1
:10EF70000000000000000000000000010000000090
:10EF8000000004000000200000000000000000005D
:10EF90000000000000000000003000000000000041
:10EFA0000000000000000000000000000000000061
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE0000000000000000000000000000000000021
:10EFF0000000000000000000000000000000000011
:10F0000000000000000000000000000000200000E0
:10F0100000000000000000000000000000000000F0
:10F0200000000000000000000000000000000000E0
:10F0300000000000000001010000000000000000CE
:10F0400000000000000000001000000000000000B0
:10F0500010000000000000000000000000000000A0
:10F060000000000000000000000000000020000080
:10F070000000000000000000000000000000000090
:10F080000000000000000000000000000000000080
:10F090000000000000000000000000000000000070
:10F0A0000000000000000000000087A57788FFFF37
:10F0B000FFFF030337C8FFFFFFFF00000000000051
:10F0C00000000FFFFF0F1000FEFF00000000000017
:10F0D0000000000000000000000000000000000030
:10F0E000000000000000000000000100373723226C
:10F0F000FFCCF1FF23FF1F0F0F0000000000F3FF04
:10F10000FFF7001000100033EFDD000000000000EA
:10F1100000000000000000000000CD005F1F45441B
:10F120007F0F7707ABBBFF55FFDFFFDFF3F3FFFF79
:10F13000FFFFFF3F1101FFFFFFFF3F5F05011111BF
:10F1400011110000000010810800000008000000FC
:10F1500000000000000000000000000000000000AF
:10F16000000000000000000000000000000000009F
:10F1700000000000000000000000000000009024DB
:10F180001E0080240E0000201E0080240E000000BF
:10F1900000000000000090041C0000000C000000B3
:10F1A000000000000000000000000000000000005F
:10F1B000000000000000000000000000000000202F
:10F1C0001E0000000C0000000C0000000C000000FD
:10F1D000000090241E0000000C0010201E00000003
:10F1E000000000000000000000000000000000001F
:10F1F0000C0000000C0000000C0000000C000020BF
:10F200001E0080040C0000000C0000000C00000038
:10F210000C0010001C000000000000810000000035
:10F2200000000000000000000000000000000000DE
:10F2300000000000000000000000000000000000CE
:10F2400000000000000000000000000000000000BE
:10F2500000008024020000201200000000000020B6
:10F26000120000000000000000000000000000008C
:10F27000000000000000000000000000000000008E
:10F28000000000000000000000000000000000007E
:10F2900000000000000000000000000000000080EE
:10F2A00060A94F8060B94D8040B94C8040B94D0095
:10F2B000409000000000000000000000000000007E
:10F2C000000000000000000000000000000000003E
:10F2D00000000000000010201200000000000000EC
:10F2E000000000000000000000000000000000001E
:10F2F000000000000000000000000000000000000E
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000000000ED
:10F32000000000000000FFFFFFFF110033C90000D4
:10F3300000000100959500000000000000000101A0
:10F34000FBFF0400FEFF00000000000000000000C2
:10F3500000000000000000000000000000000000AD
:10F3600000001110FBF0F100F3F2CDCF0101F2F338
:10F370003332A8AAFEFFFFFE3F2F55AA999933CC3E
:10F38000999955AAC3C300000000000000000000C6
:10F39000000000000000000000000000000001006C
:10F3A000FEFF3F150BFF3F1523FF3030CFCFFFEEA1
:10F3B000FFEEFFF77F75FFFFFFFF0000000000007A
:10F3C000000000000000000000000000000000003D
:10F3D000000000000000000000000000000000002D
:10F3E000000000000000000000000000000000001D
:10F3F00000000000000000000000810804068050AA
:10F400004008000800048050C0CF00000000000049
:10F41000000000000000402000C0000000000000CC
:10F4200000000000000000000000000000000000DC
:10F4300000000000000000000000000000000020AC
:10F44000000000000000000000000009000080082B
:10F450000020000000008008000000000000000004
:10F46000000000000000000000000000000000009C
:10F470000000000000000000000000000004205018
:10F48000C04C00000000200000040000000020002C
:10F4900000240000000000000000008000000000C8
:10F4A000000000000000000000000000000000005C
:10F4B000000000000000000000000000000000004C
:10F4C0000000000000000000000000000000D1204B
:10F4D00009002001848BC02008002001008B00005F
:10F4E00000000000000000280000008000900000E4
:10F4F000000000000000000000000000000000000C
:10F5000000000000000000000000000000000020DB
:10F510000000000400000000000000000000800067
:10F520000000000000028000000000000006000053
:10F5300000000000000000000000000000000000CB
:10F540000000000000000000000000000000C020DB
:10F5500008000010008B80000000001000068000F2
:10F560000000001000020000000000000000C000C9
:10F57000000000000000000000000000000000008B
:10F58000000000000000000000000000000000007B
:10F59000000000000000000000000000000000006B
:10F5A0000000C02201C000C7C043C0C083400001AA
:10F5B0000000000000000000000000D00000460332
:10F5C000E00000000000000000000000000000005B
:10F5D000000000000000000000000000000000002B
:10F5E000002000000000440008000100010012801B
:10F5F0000B00000000000300C3C0C0400000C300B7
:10F60000C00000000000000000000000000000003A
:10F610000000004000000000000000001410400343
:10F62000000053EA4153DB004683100318C0040274
:10F630000000C0C003C0000300C0000000000000C4
:10F6400000001000000000000000000000000000AA
:10F6500000000000000000000000000000000000AA
:10F66000000000000000000000000000000000009A
:10F67000000000000000104041200104802810100C
:10F6800088200101010000000000000000000004CB
:10F6900000020480000000000000000000000000E4
:10F6A000000000000000000000000000000000005A
:10F6B0000002000000000020000200000000200204
:10F6C0002000000402080000000003801810184009
:10F6D00012C0120010000000000000000000000036
:10F6E00000000000000000400000000000000804CE
:10F6F000000001800000010041200001040A008098
:10F7000000184080800001C009900180004800007E
:10F710000000000000008000000000000000000069
:10F7200000000000000000000000000000000000D9
:10F7300000000000000000000000000000000000C9
:10F7400000000000000000000000401B000200C09C
:10F75000F300000000000080F20000000000000044
:10F760000000200008000010E07100000000000010
:10F770000000000000000000000000000000000089
:10F780000000009001000000A001064C90000068FD
:10F7900012422C82900000890B0007400100002AD1
:10F7A00000700C43052C00004964000000000000BC
:10F7B00000000000000000000000000578000000CC
:10F7C000000017056000008AFB7207020000401469
:10F7D000C200060000000080370100027000000037
:10F7E00005600000000000000000000000000000B4
:10F7F0000000000000000000000000000000000009
:10F8000000000000000000000000000000000000F8
:10F810000000000000000000000000000000008365
:10F8200001101CE00100000000001CE0B00000001E
:10F83000000000000000002002000007206C000013
:10F8400000000000000000000000000000000000B8
:10F8500000000000000000800900000020003000CF
:10F860000800000000080E0700000050C000024021
:10F87000C000400100F48140200000000060000052
:10F880000000000000000000000000000000001068
:10F89000C0000000000806930100804B2080120089
:10F8A00000009400E90021E200000800410040024D
:10F8B000F001008001B000000000000040000000E6
:10F8C0000000000000000000000000000000000038
:10F8D0000000000000000000000000000000000028
:10F8E0000000000000000000000000000000000018
:10F8F000000000000B0410000000001001001000C8
:10F900004100000000000000000080C228018000CB
:10F9100022000000000000000000000000000000C5
:10F9200000000000000000000000000008000000CF
:10F93000200080108A0000220088C1142500804029
:10F94000380C04000801400000002818280000803E
:10F950002020000000000000000000000000000067
:10F9600000000012000100B0000800D26401009401
:10F970000680080C000000300900A0100000108074
:10F9800002000009000000800240000000000000AA
:10F99000080010000000000000000000000000004F
:10F9A0000000000000000000000000000000000057
:10F9B0000000000000000000000000000000000047
:10F9C000000000000000000000000C00050000988E
:10F9D0000C001C00C000000000000000000002023B
:10F9E00060000086A1680000000000000000000028
:10F9F00000000000000000000000000000000040C7
:10FA00000000000050002E8800000000000806F8EA
:10FA1000D300004140080C00F3010001E031060072
:10FA200022004080D13000000000000000000000F3
:10FA30000000000000000004800000C0023C02053D
:10FA4000230040C408082C4804000043000000883C
:10FA5000000000C0E50000080000000000000000F9
:10FA60001000000000001000000000000000000076
:10FA70000000000000000000000000000000000086
:10FA80000000000000000000000000000000000076
:10FA900000000000000000000000008C010001C018
:10FAA000D1010082000040C0E1020000000000001F
:10FAB0000000AC421800003ADA1500000000000017
:10FAC0000000000000000000000000000000000036
:10FAD0000000008000000000B0000C4C0000003668
:10FAE000381206C7510000A90A011D007000001657
:10FAF000C09982050000004605B800000000000023
:10FB00000000000000000000000040042C00008005
:10FB10000310535401000004A17002070000801478
:10FB20000000026C0200003ED10100040000000051
:10FB3000060000005000000000000000000000006F
:10FB400000000000000000000000000000000000B5
:10FB500000000000000000000000000000000000A5
:10FB6000400000000000000020080000000000002D
:10FB700000000000004108000000040000000840F0
:10FB80000008000000001008020000400000000013
:10FB90000000000000000000100000000000000055
:10FBA0004000000000000040408050400040044001
:10FBB00020002008200042088020401050224400ED
:10FBC0000024014202010000000C010012020000AA
:10FBD0000200000080000000000000000001100092
:10FBE0000000800000110000000010000010401014
:10FBF00002002220503202021840000210020010BF
:10FC00000000000000200000000000000000400193
:10FC100000000000000000000000000000000000E4
:10FC200000000000000000000000000000000000D4
:10FC30000000000E000000000000000008040000AA
:10FC40000000000609000D02000004000040000250
:10FC500000000C00000400000000300C0000000058
:10FC60000000000000000000000000003000000064
:10FC70000000000000000000000000000000300054
:10FC800000000000000000000000000C01005030E7
:10FC9000100099000900500070003076000C0D0033
:10FCA00032A0000000000000000000000000000082
:10FCB00000003000000000000030000000003000B4
:10FCC00000209010900D00000010006020500000F7
:10FCD00000400000000000000D6000500000000027
:10FCE000000000000800000000000000000000000C
:10FCF00000000000000000000000000000000040C4
:10FD000000000000000000001000000000000000E3
:10FD1000210000000000000000000000002800009A
:10FD200000000C00000000100000000000000000B7
:10FD300004000000000000000002000000000000BD
:10FD400000000000000A0000100000000000003069
:10FD5000103A103070200C0030001000000E3000FF
:10FD6000003010002038340000180834040C8000E3
:10FD700000000C000400000A08000000100A000047
:10FD800000000000000C000A00001000000C000041
:10FD90000000000000000A00040024003E200004CF
:10FDA0000000000C000C000000000000001000002B
:10FDB00000000000000000800000000000000000C3
:10FDC0000030000000000000000000000000000003
:10FDD0000000000000000000000000000000000023
:10FDE0000000000000000000000000000000000013
:10FDF0000000000000000000000000000000000003
:10FE000000000000000000000000000000000000F2
:10FE100000000000000000000030000004000000AE
:10FE200000100000000000000000000000000000C2
:10FE3000004000040000000000000000000000007E
:10FE40000000000040000000000002000000000070
:10FE500000000000000000000000000001000000A1
:10FE60000000000020040000004000040004000026
:10FE7000000002000000000000000004000000007C
:10FE80000000000000000000000040010000000031
:10FE900000000000008000000000000000000000E2
:10FEA0000000000000000000000000000000000052
:10FEB000000000000000000000000000000000083A
:10FEC0000000000000000000000000000000000032
:10FED0000000000000000000000000000000000022
:10FEE00000000000000000000000000000400000D2
:10FEF0000200000000900000000000000000000070
:10FF000000000000003000020000000000000000BF
:10FF100000100000000000000000000000000400CD
:10FF200000000000000000000000000000000001D0
:10FF3000040000000000000000000000002000019C
:10FF4000000000000000042000000000000000018C
:10FF50000000000000300000000000000000000071
:10FF60000000000000000000000000000000000091
:10FF70000000000000000000000000000000000081
:10FF80000000000000000000000000000000000071
:10FF9000000000040000000000000000000000005D
:10FFA0000000000000000000000000202000000011
:10FFB0000000000000000000000000000000000041
:10FFC0000000000000000000002000000000000011
:10FFD000000000000000000000000000040000001D
:10FFE00000000000042000000000000004000000E9
:10FFF00000000200000000000000000000000000FF
:020000040001F9
:1000000000000000000000000400002010080000B4
:100010000000C001000800000000002000000000F7
:1000200000000000000000000020000000000000B0
:10003000000080010000000000000000000000003F
:1000400000000000000000000000000000000000B0
:1000500000000000000000000000000000000000A0
:100060000000000000000000000000000000000090
:100070000000000000005F5F5F5F3333FF00FF00A0
:100080003333333300FF0000000000000000FF3F67
:10009000FF35FF3FFF53FF5FFF350000000000000A
:1000A0000000FF55570000000000000000000000A5
:1000B0000000000000000303CCFFFFFFFEEEFF275F
:1000C000CA007F75F757AC00DDF5FF5FF7575FFF9C
:1000D0007F7500000000AC005FFFAC004FEF5F13C6
:1000E000AFAE20238FDFE400B3F700B8E40080B0A8
:1000F000040E00D840E0F75707007F5D130080082A
:1001000000000000000000000800000000000000E7
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:1001300000000000000000000000000000000000BF
:100140000000000000000000000010201E00488099
:100150006CA94F806CB94F806CB0000000000000AB
:10016000000048000C2048000C2048000C20000033
:1001700000000000000000201E0000000000000041
:100180000000000000000000000000000C00000063
:100190000C0000000C0000000C0000000C004800E7
:1001A0000C2000000C000000000000000C0000000B
:1001B0000C0000000C0000000C0000000C0000000F
:1001C0000C0000000C0000000C0000000C000000FF
:1001D0000C00800000000000000040010000000052
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000000000000000000FF
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000020BE
:10022000120010000000D8A472A9DFA472B9DFA4E4
:1002300072B9DFA472B9DFA472B9DFA472B9DFA406
:1002400072B9DFA472B9DFA472B9DFA472B9DFA4F6
:1002500072B9DFA472B9DFA472B9DFA472B9DFA4E6
:1002600072B9DFA472B9DFA472B9DFA472B9DFA4D6
:1002700072B9DFA472B9DFA472B9DFA472B9DFA4C6
:1002800072B9DFA472B9DFA472B9DFA472B9DFA4B6
:1002900072B9DFA472B9DFA472B9DFA472B9DFA4A6
:1002A00072B9DF247290000000000000000000001E
:1002B000000000000000000000000000000000003E
:1002C000000000000000000000000000000000002E
:1002D000000000000000000000000000000000001E
:1002E000000000000000000000000000000000000E
:1002F00000001000FF55FF33FFFF0F0F3333FF00E7
:1003000055550F0F3333FF0055550F0F3333FF0093
:1003100055550F0F3333FF0055550F0F3333FF0083
:1003200055550F0F3333FF0055550F0F3333FF0073
:1003300055550F0F3333FF0055550F0F3333FF0063
:100340005555FF0033330F0F5555FF0033330F0F53
:100350005555FF0033335555FF00FF000F0F333362
:1003600055550F0F3333FF000F0F0F0F3333FF00BF
:1003700055550F0F3333FF00AAAA000000000000FC
:10038000000000000000000000000000000000006D
:10039000000000000000000000000000000000005D
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000103004034020ACD1000009
:1003D0000A0400008C0100000A040000C8000000AC
:1003E0000A040000A80000000A04000088000000C1
:1003F0000A042000042300000A0400002401000075
:100400000A040000440100000A040000000000008B
:100410000A040000200000000A0400004000000060
:100420000A040000CC0100000A040000AC01000036
:100430000A0400008C0100000A040000C80000004B
:100440000A040000A80000000A0400008800000060
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:10047000000000000000000000000000000000007C
:10048000000000000000000000000000000000006C
:1004900000000000000000000000512881040080DE
:1004A00044814100080000002C01410008000000C8
:1004B00084014100080000004401410008000000E0
:1004C0002C01C100080000102C03410008000000AE
:1004D00044014100080000008401410008000000C0
:1004E0002C01410008000000440141000800000008
:1004F0008401410008000000840141000800000060
:1005000044014100080000002C01410008000000E7
:10051000840141000800000044014100080000007F
:100520002C0100000000000000005000000000004E
:1005300000000000000000000000000000000000BB
:1005400000000000000000000000000000000000AB
:10055000000000000000000000000000000000009B
:10056000000000000000000000000000000000404B
:100570000380C4CFCBE200C802D3C000802300C8F0
:1005800000C0C0D3830000C800C0C001802300C8E1
:1005900003C0C001801300C800C0C013830300C89B
:1005A00000C0C000831300C800E3C013800040C82F
:1005B00000D3C023808100C813C5C0C0830400C01D
:1005C00000C0C803812300C040E3C8008103A0C06D
:1005D00001C0C0C8C9C2C4C000C8C40480C000C82B
:1005E00020C0C001A31303C820C0C005800200C8FA
:1005F00080C0C00080C800000000000000000000B3
:1006000000000000000000000000000000000000EA
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000020200400000220000002208000A2
:10065000800400000020800284000000002080014F
:100660008000000004208001800000000120800044
:100670008401000000208000840000000020800031
:1006800080000108102080008005000000208028E4
:10069000C000000200200001A000000201200000B4
:1006A000C01100024020800840A0000200000000AD
:1006B0008002000000208001400004000020800033
:1006C0008080000080208800800000000000000082
:1006D0000000C0000000000000000000000000005A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000000000000000000000000E9
:1007100000000000000000000000000000000000D9
:100720000000000001000000000000000000800048
:10073000000000800310800C000000000100000099
:100740001000000000180000000000000000000081
:1007500000000000000000000001008001300003E4
:1007600078010040020000056000000001604003C5
:10077000000000800100001C600100000000000279
:100780006000008E0200800D540000800B0000000D
:100790005000008005100005502C0080074C000020
:1007A0000000000000000000000000000000000049
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E00000000000000000000000B048000000070A
:1007F0000070000000000007006400000000000717
:1008000000000005000000870168000200000007EA
:1008100000000000F00000070000000000000007DA
:10082000000000000000000700000000200000970A
:1008300005000007E00000C7040C10026002008001
:10084000E100100400000000E0005083F000008090
:1008500001681007F000000001740004C0000007E8
:1008600003E4000DD00100470078800B7001000701
:100870000060000000000000000000000000000018
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0000000000000000000000000000000000830
:1008C000020080010008200001008080000020005C
:1008D0000100800000002028810381A00040202822
:1008E000010084D0000020001100800000002000E2
:1008F00001008000000020000100800000002000B6
:10090000010280000002203C81028050000420117E
:10091000100000E008022024000000C008002000B1
:100920000002002000400016820100A200342002D4
:10093000C10080108004202C0102800001082008E2
:100940000102809000000000000000000000000094
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:10099000000010040000000600000000000010C06D
:1009A00001080000000000000000000480001100A9
:1009B0000110000300000080010000006000000042
:1009C000001C00000000000000000000000000000B
:1009D00000000010C00000000000000360000000E4
:1009E00000B08008000008000148800300000040BB
:1009F0000000800BE0000000C118000820000090FB
:100A000001400008200000C001780005C00000D0AF
:100A100001100008000000400008800000000000F5
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000004E030000002400380000D9
:100A700000004000D470000000000000D00000041E
:100A800010001040D998000300001080D100000031
:100A900000010000D010000000000000D0000000A5
:100AA00000000000D000000300000040D1680002F8
:100AB00060000000D230000384000100D8B00007BD
:100AC00000000000DA0000038000004009920000EE
:100AD00060010080D9700007040000C001700005AB
:100AE00008000080D5B8000228000040D01400059E
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B200000000000000000000000000000000000C5
:100B30000000000000000000000080010000000034
:100B4000004000400800002020000000004000009D
:100B50000000042000000000004001000000000030
:100B6000000000800000000880000000200000203D
:100B700000000000200C2040200000000000204069
:100B80000020400084040020208000104010948049
:100B90001020220020002180104121000090202000
:100BA00001200002200032402020000021300240BD
:100BB0000000408000042000800001000028018027
:100BC00000800000000000000000000000000000A5
:100BD0000000000000000000000000000000000015
:100BE0000000000000000000000000000000000005
:100BF0000000000000000000000000000000080DE0
:100C00000000000000000850000000000000001676
:100C1000000000020000095070600000000E000E8D
:100C20000000000000000000000000000000000EB6
:100C300000000000000000000070000C0010000028
:100C400000000000000000000004000000000D0093
:100C50000000060000000000000000000000A030BE
:100C600000103000027040000000000030000076EC
:100C70000E100600A0A000009000300008007800D0
:100C80000108000500AA007A0E0D004040600D4EDC
:100C9000A018000500000005000E000000A00000E4
:100CA000080000000000000000000000000000003C
:100CB0000000000000000000000000000000000034
:100CC0000000000000000000000000000000000024
:100CD0000000010000000000000001000000000012
:100CE0000000108C00000000002000100000000038
:100CF0000000000000300000000004300000000090
:100D000000300C0000000000000000200000000087
:100D10001080000000000000000000000000200023
:100D20000000000000001000001020002804003027
:100D3000002000003A002C20002A300000002C2067
:100D4000003814000A20300004300000200A14008B
:100D50001110010028310400000030200004300090
:100D60002000040000B00C20002000000000000063
:100D700000000080000000000000000000100000E3
:100D80000000000000000000000000000000000063
:100D90000000000000000000000000000000000053
:100DA0000000000000000000000000010000001032
:100DB0000000000000000000000000000000000033
:100DC0000000000000000000000000000000000023
:100DD0004000000000200000000240000010000061
:100DE0000000000000200000001000104020000063
:100DF000000000000000000000004C000020003057
:100E00000000000040200001400040000028400099
:100E10000000000000200000400000000000000072
:100E200000000000000000000004000000000000BE
:100E300000000000000000000004000000000000AE
:100E40000000000000000080000000000000000022
:100E50000040000000000000000000000000000052
:100E60000000000000000000000000000000000082
:100E7000000000000000000000000000000000046E
:100E80000000000000000000000000000000000062
:100E90000000000000000000000000000000000052
:100EA000000000800000000000802000000C208076
:100EB00000900000000000800000000000400040A2
:100EC0000080000000000000000000000000200082
:100ED000008000002000000030800008000020801A
:100EE00000821000000000000080000000000000F0
:100EF00000000000000000000010000000000000E2
:100F000000000000000000000000000000100000D1
:100F10000030000000100000000000000000000091
:100F200000000000000000000000000000000000C1
:100F300000000000000000000000000000000000B1
:100F400000000000000000000000000000000000A1
:100F50000000000000000010000000000000000081
:100F6000000000000000000000000040020000003F
:100F70000000000000000000000000002000200031
:100F8000000000403220000000000040021000007D
:100F90000000000000000000000000000000000051
:100FA0000008080000000010200800000000820077
:100FB0000000000000000000000000000000000031
:100FC0000000000000000000000000000020000001
:100FD0000008000000000000000000000000000009
:100FE0000028000000200000002000000000000099
:100FF00000000000000000000000000000000000F1
:1010000000000000000000000000000000000000E0
:1010100000000000000000000000000000000000D0
:1010200000000000000000000000000000000000C0
:1010300000000000000000000000000000000000B0
:1010400000000000000000000000000000000000A0
:101050000000000000000000000000000000000090
:101060000000000000000000000000000000000080
:1010700000000000000000000000FFCCFFFFFFFFA9
:10108000FFFF000000000000000000000000000062
:101090000000000000000000000000000000010F40
:1010A0005F1F00000000010F3F1F00000000000054
:1010B000000000000000000000000000000010819F
:1010C0000800000000000000000000000000000018
:1010D0000000000000000000000000000000000010
:1010E0000000000000000000000000000000000000
:1010F00000000000000000000000000000000000F0
:1011000000000000000000000000000000000000DF
:1011100000000000000000000000000000000000CF
:1011200000000000000000000000000000000000BF
:1011300000000000000000000000000000000000AF
:10114000000000000000000000000000000000009F
:101150000C0000008C0200000000000000000000F5
:10116000000000000000000000000000000000007F
:10117000000000000C000000000000000C00000057
:10118000000000000000000000000000000000005F
:1011900000000081000000000000000000000000CE
:1011A000000000000000000000000000000000003F
:1011B000000000000000000000000000000000002F
:1011C000000000000000000000000000000000001F
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000000000000000000000000EF
:1012000000000000000000000000000000000000DE
:1012100000000000000000000000000000000000CE
:1012200000000000000000000000000000000000BE
:1012300000000000000000000000000000000000AE
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000000006E
:10128000000000000000000000000000000000005E
:10129000000000000000000000000000000000004E
:1012A000000000000000000000000000000000003E
:1012B000000000000000FFFFFFFF00000000000032
:1012C000000000000000000000000000000000001E
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F0000000000000007F5FA5A58FE5D5B50000C8
:1013000000000000000000000000000000000000DD
:1013100000000000000000000000000000000000CD
:101320000000000000000000000000000000FFFFBF
:10133000FFFF0000000000000000000000000000AF
:10134000000000000000000000000000000000009D
:10135000000000000000000000000000000000008D
:10136000000000000000000000000000000000007D
:10137000000000000000000000000000000000006D
:10138000000000000000000000000000000000005D
:10139000000000000000000000000000000000004D
:1013A000000000000000000000000000000000003D
:1013B000000000000000000000000000000000002D
:1013C000000000000000000000000000000000001D
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000000000000000000000000000000000ED
:1014000000000000000000000000000000000000DC
:1014100000000000000000000000000000000000CC
:1014200000000000000000000000000000000000BC
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000000000000000000000007C
:10147000000000000000000000000000000000006C
:10148000000000000000000000000000000000005C
:10149000000000000000000000000000000000004C
:1014A000000000000000000000000000000000003C
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E000000000000000C00000000000000000003C
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:1015100000000000000000000000000000000000CB
:1015200000000000000000000000000000000000BB
:10153000000000000000000000C0000000000000EB
:10154000000000000000000000000000000000009B
:10155000000000000000000000000000000000008B
:10156000000000000000000000000000000000007B
:101570000000000010000000C0000000000000009B
:10158000000000000000000000000000000000005B
:101590000000000000000005000000000000200026
:1015A0000000000000000000000000000000000338
:1015B000000000000000000000001000000000001B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000000000000000000FB
:1015F00000000000000000000000000000000000EB
:10160000000000000000000000000000004000009A
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:1016300000000000000000000000000000000000AA
:101640000000000000000005000100000100000093
:10165000000000000000000000000000000000008A
:101660000000000000000004000000000000000076
:10167000000000000000000000000000000000006A
:10168000000000800000000000000000000080005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E00000000000000000000000000000000000FA
:1016F00000000000000000000000000000000000EA
:1017000000000000000000000000000000000000D9
:101710000000000000000000000018A0010000060A
:1017200000010000000000000000000000000000B8
:101730000000000000000000000000006000000049
:101740000030000078000000007000000000000081
:101750000000000000000000000000000000000089
:101760000000000000000000000000000000000079
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B0000000000000000000000000000000000029
:1017C0000000000000000000000000000000000019
:1017D0000000000000000000000000000000000009
:1017E0000000000000000000000000000000008079
:1017F000010000C90500000000000000000000001A
:1018000000000000000000000000000000000000D8
:101810000002000000040000E000000000380000AA
:1018200000000000000000000000000000000000B8
:101830000000000040000000000000000000000068
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000000000000000000000000000000078
:101870000000000000000000000000000000000068
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C000000000800A00800480000000000000008A
:1018D0000000000000000000000000000000000008
:1018E00000000000000300000006000020010000CE
:1018F00000400000000000000000000000000000A8
:1019000000000000000000000800100000000000BF
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000000000000000000000A7
:101940000000000000000000000000000000000097
:101950000000000000000000000000000000000087
:101960000000000000000000000000000000000077
:101970000000000000000000000000000000000067
:101980000000000000000000000000000000000057
:101990000000000000001080010040015101000023
:1019A0000000000000000000000000000000000037
:1019B0000000000000000000E000000000480000FF
:1019C0008000000000600000000000000000000037
:1019D00000000000000000001000000000001000E7
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000000000000000D6
:101A100000000000000000000000000000000000C6
:101A200000000000000000000000000000000000B6
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A6000000000000000000000000C8001000008E1
:101A70004400000000000000000000000000000022
:101A800000000000000000000000000080000000D6
:101A9000004000008000000000E80000000000009E
:101AA00000000000000000000000000060000000D6
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000041000E2
:101AF00000000000000000000000000000001000D6
:101B00003000000000000000000000000000400065
:101B100000000000000000000000000000000001C4
:101B200000000000000000000000000080004000F5
:101B30003000000000000000200000000404010A42
:101B40002204000004040000200000000000000047
:101B50000000200000000000408000014000000064
:101B600000400000000000020020000000002000F3
:101B70000000000000000000003000000000000035
:101B80000100000000004001000000000000000013
:101B90000000000000000000000000000000000045
:101BA0000000000000000000000000000000000035
:101BB000000000000000000000000C000000000019
:101BC000000010090000000000000C0000000000F0
:101BD00000003009100000000000000000000000BC
:101BE0000000000000000D000000090000000000DF
:101BF00000000000000000000100000000000000E4
:101C000000000009100000000000090000000000B2
:101C10000000000C60060D000000000000100C1019
:101C200000000000000070000000000000000D0037
:101C300000000000090000400000000070000000EB
:101C400000B050000070000A000070000C0000009E
:101C500000000000A00E00000000000000000000D6
:101C60000000000000000000000000000000000074
:101C70000000000000000000000000000000000064
:101C80000000000000000000000000000000010053
:101C9000000000000008000000000000000001003B
:101CA0000000000000000000200000000000000014
:101CB0000000000000003000800000000000000074
:101CC000000000000000000800000000000000000C
:101CD00000000000100010002000000000000000C4
:101CE00020000000080C0C04200800500808000028
:101CF00030800180000000000000100000000000A3
:101D00001000000C30000000003000000000000057
:101D10000030000000001000000000000000000083
:101D200001300000000000000C0000000000000076
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D60000000000000000000000000000000000073
:101D70000000000000000000000000000000000063
:101D80000000000000000000000000000000000053
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB00000000000000000000000000020040000FF
:101DC00000000000000000000000000040000000D3
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E00000000400100000000000000000000000091
:101E100000000000000000000000000000000000C2
:101E200000000000000000000000000000000000B2
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E50000000000000000000000000000000000082
:101E60000000000000000000000000000000000072
:101E70000000000000000000000000000000000062
:101E80000000000000000000000000000000010051
:101E900080010000000000000000000000000000C1
:101EA0002000000000000000000000000000000012
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000000002
:101EE00000000000000000000000000000000000F2
:101EF00000000000000000000000000000000000E2
:101F000000000000000000000000000000000000D1
:101F100000000000000000000000000000000000C1
:101F200000000000000000000000000000000000B1
:101F300000000000000000000000000000000000A1
:101F40000000000000000000000000000000000091
:101F50000000000000000000000000000000000081
:101F60000000000000000000000000000000000071
:101F70000000000000000000000000000000000061
:101F80000000000000000000000000000000000051
:101F90000000000000000000000000000000000041
:101FA00000000000000000000000800100000000B0
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD0000000000000000000000000000000000001
:101FE00000000000000000000000000000000000F1
:101FF00000000000000000000000000000000000E1
:1020000000000000000000000000000000000000D0
:10201000000000000000FFFF0BBBFFFFFFFF1130BF
:1020200005048B8B010DFFFF33330F0F55553333F1
:102030000F0F555533CCA569C33CA5A599994340CD
:102040002F2F45558905000000000000000000000A
:102050000000000000000000000000000000000080
:102060000000000000000000000000000000000070
:1020700000000000000080080000000000000000D8
:102080000000000000000000000000000000000050
:102090000000000000000000000000000000000040
:1020A0000000000000000000000000000000000030
:1020B0000000000000000000000000000000000020
:1020C0000000000000000000000000000000000010
:1020D0000000000000000000000000000000000000
:1020E0000000000000000000000000000C00800460
:1020F0000C0000000C0000000C0000800C284F8039
:102100006CB94F806CB94F806CB94F804CB94D00A1
:102110004C9048000C2090241E000000000000009D
:1021200000000000000000000000000000000000AF
:10213000000000000000000000000000000000009F
:10214000000000000000000000008000000000000F
:10215000000040010000000000000000000000003E
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000000000000000000000003F
:1021A000000000000000000000000000000000002F
:1021B000000000000000000000000000000000001F
:1021C000000000000000D8A452A9DDA452B8DDA48C
:1021D00052B8DDA452B0008000284F8060B94F8013
:1021E00040B94C8040B09024120000000000000074
:1021F00000000000000000000000000000000000DF
:1022000000000000000000000000000000000000CE
:1022100000000000000000000000000000000000BE
:10222000000000000000008000000000000000002E
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:10226000000000000000000000000000000000006E
:10227000000000000000000000000000000000005E
:10228000000000000000000000000000000000004E
:1022900000000100FF7F0100FF7FCC336666AA5576
:1022A000CC33AA55CC33AA5533CCFFFF7F77D59DCD
:1022B000B3D9956A696933CC99998D043CF0FD0FC7
:1022C000AF0000000000000000000000000000005F
:1022D00000000000000000000000000000000000FE
:1022E00000000000000000000000FFFFFFFF0000F2
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:10233000000000000000000000000000000000009D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000900008000000E3200A4
:102370000A040000AC0110000A0400008C010000F7
:10238000000000000000000000000000000000004D
:10239000040280000824000000000000000000008B
:1023A000000000000000000000000000000000002D
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:1024300000000000000000000000800000002001FB
:10244000000251000800000044015100080008008B
:102450002C0100000000000000000000000000004F
:1024600000008100010020012402000000000000A3
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A00000005000000000000000000000000000DC
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:10251000C005C000080003D3C180C400C823030362
:10252000C9C00123C0130000000001000000000327
:1025300010000100000000C0C000C020C400000066
:10254000000000000000000000000000000000008B
:10255000000000000000000000000000000000007B
:10256000000000000000000000000003C3000000A5
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E000000000001020010800000402C0022000CA
:1025F0000000040140202000800004005000200062
:1026000000004080100020000000011010408800F1
:1026100000000000000000000000000000000000BA
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000801A
:1026400003000000000000000000C00000000000C7
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:10268000000000000000000000000000000000004A
:10269000000000000000000000000000000000003A
:1026A000000000000000000000000000000000002A
:1026B00000000000000006A000008014A10000C57A
:1026C0000100000001F0024000140000000000C002
:1026D0005100000824700882202C8096026000209F
:1026E0000000008EF37000000000000000000000F9
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000016870000100498
:10279000A00050A00B00000001180220CA00000099
:1027A00000000E800300000021804013E00200D6EC
:1027B00004001080010000C7E17800000000000064
:1027C0000000000000000000000000000000000009
:1027D00000000000000000000000000000000000F9
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000000000000000000098
:102840000000000000000000000000000000000088
:10285000000000000000000000000000000010B0B8
:102860000A0002403D000804480300200300620003
:1028700000010080000030004F0000026801048861
:10288000000140010202303CC30380B20C0800008A
:102890000000000000000000000000000000000038
:1028A0000000000000000000000000000000000028
:1028B0000000000000000000000000000000000216
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E00000000000000000000000000000000000E8
:1028F00000000000000000000000000000000000D8
:1029000000000000000000000000000000000000C7
:1029100000000000000000000000000000000000B7
:1029200000000000000000000000000000000000A7
:10293000000002870300800F00000C08800000E008
:10294000C10000800500000600000C8401000000AA
:10295000A00040A3080028C60000100000000006E8
:102960000030000000000000000000000000000037
:102970000000000000000000000000000000000057
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C0000000000000000000000000000000000007
:1029D00000000000000000000000000000000000F7
:1029E00000000000000000000000000000000000E7
:1029F00000000000000000000000000000000000D7
:102A000000000000000013C00100000E02002C0CAA
:102A100090000090023A00009000002000014080E9
:102A20000100001AA0611147E000008E16000000AE
:102A300000002C20D0B800000000000000000000C2
:102A40000000000000000000000000000000000086
:102A50000000000000000000000000000000000076
:102A60000000000000000000000000000000000066
:102A70000000000000000000000000000000000056
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000000036
:102AA0000000000000000000000000000000000026
:102AB0000000000000000000000000000000000016
:102AC00000000000000010000000000000000000F6
:102AD0000001000000000000121088000040000803
:102AE000080000001000000810510002010042011F
:102AF000104002000000020090400200001004009C
:102B000001000000100000020400000000000000AE
:102B100000000000000000000000000000000000B5
:102B200000000000000000000000000000000000A5
:102B30000000000000000000000004000000001081
:102B40000400000000000000000000000000000081
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000000000000000000000000000000000055
:102B8000000000000000000000090000000000003C
:102B90000000000000000000000030000000000005
:102BA00000000000000D00000000000000300400E4
:102BB000000200000000600D2000000C0220909E2A
:102BC00000000040001000000000600000009000C5
:102BD00000100050600030001000000000000000F5
:102BE00000500000B0000000900000000000000055
:102BF00000000000000000000000000000000000D5
:102C000000000000000000000000000D00000000B7
:102C10000000002000000000000000000000000094
:102C200000000000000000000000000000000000A4
:102C30000000000000000000000000000000000094
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000000000074
:102C60000000000000000000000000000000000064
:102C7000000000000000000000080000000000004C
:102C8000080010000010000000000E5000000000BE
:102C90000E2C00040C0010080EA000000000000024
:102CA0001010000000000C000C008000000000006C
:102CB0000C00000000000000000000000000000008
:102CC0000000000000000000000000000000000004
:102CD00000000000000000000000000000000000F4
:102CE00000000400000000000400000000000000DC
:102CF00000000000000000000000000000000000D4
:102D000000000000000000000000000000000000C3
:102D100000000000000000000000000000000000B3
:102D200000000000000000000000000000000000A3
:102D30000000000000000000000000000000000093
:102D40000000000000000000000000000000000083
:102D50000000000000000000000000000000000073
:102D60000000000000000000000000000000000063
:102D70000000000000000000000000000000000053
:102D80000000000000000000000000000000000043
:102D90000000000000000000000000000000000033
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000008093
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000000000000000000000000000000000C2
:102E100000000000000000000000000000000000B2
:102E200000000000000000000000000000000000A2
:102E30000000000000000000000000000000000092
:102E40008000000000000000000000000000000002
:102E50000000000000000000003000000000000042
:102E60000000000000000000000000000000000062
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC0000000000000000000000000000000000002
:102ED00000000000000000000000000000000000F2
:102EE00000000000000000000000000000000000E2
:102EF00000000000000000000000000000000000D2
:102F000000000000000000000000000000000000C1
:102F100000000000000000000000000000000000B1
:102F200000000000000000000000008002200000FF
:102F30000000000000000000000000000000000091
:102F40000000000000000000000000000000000081
:102F50000000000000000000000000000000000071
:102F60000000000000000000000000000000100150
:102F70000000000000000000000000000000000051
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000000031
:102FA0000000000000000000000000000000000021
:102FB00000000F0F55553333FF0055550F0F3333B6
:102FC000CCCC0F0F55553333FF0055550F0F33330E
:102FD00000FF0000000000000000000000000000F2
:102FE00000000000000000000000000000000000E1
:102FF00000000000000000000000000000000000D1
:1030000000000000000000000000000000000000C0
:103010000000000000000000000000000000FFBFF2
:10302000E0F0FEFF80000000000000000000000053
:103030000000000000000000000000000000000090
:10304000000000010000000000000000000000007F
:103050000000000000000000000000000000000070
:103060000000000000000000000000000000000060
:103070000000000000000000000000000000000050
:10308000000000000000D8A47EA9DFA47EB9DFA460
:103090007EB9DF247E90D8A47EA9DFA47EB9DFA408
:1030A0007EB9DF247E9000000000000000000000D8
:1030B0000000000000000000000000000000000010
:1030C0000000000000000000000000000000000000
:1030D00000000000000000000000000000000000F0
:1030E00000000000000000000000000000000000E0
:1030F000000000000C0000000C00000000000000B8
:1031000000000000000000000000000000000000BF
:1031100000000000000000000000000000000000AF
:10312000000000000000000000000000000000009F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:10315000000000000000000000000000000000006F
:10316000000000000000000000000000000000005F
:10317000000000000000000000000000000000004F
:10318000000000000000000000000000000000003F
:10319000000000000000000000000000000000002F
:1031A000000000000000000000000000000000001F
:1031B000000000000000000000000000000000808F
:1031C00060A84F8060B84F8060B84F8060B84E8074
:1031D00060B10000000000000000000000000000DE
:1031E00000000000000000000000000000000000DF
:1031F00000000000000000000000000000000000CF
:1032000000000000000000000000000000000000BE
:1032100000000000000000000000000000000000AE
:10322000000000000000000000000000000000009E
:10323000000000000000FFFFFFFF00000000000092
:103240000000FFFF79DFFFFFFFFF0000000000002C
:10325000000000000000000000000000000000006E
:103260000000000000000000000000000000FFFF60
:10327000FFFF000000000000000000000000000050
:10328000000000000000000000000000000000003E
:103290000000FFFF3333AAAAFF00F0F03333AAAADD
:1032A000FF00FF7FFCFC00000000000000000000A9
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:103300000000000000002050008A00000000205053
:1033100000200000000020C000100000000020C0BD
:103320000008000000000000000000000000000095
:10333000000000000000000000000000000000008D
:10334000000000000000000000000000000000007D
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:10337000000000000000000000000000000000004D
:1033800000000000000000000000000000900000AD
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C00000000000000000000000000000000000FD
:1033D000000000000000802000000010008A802013
:1033E000000000100092802000000008008680206D
:1033F00000000008008A000000000000000000003B
:1034000000000000000000000000000000000000BC
:1034100000000000000000000000000000000000AC
:10342000000000000000000000000000000000009C
:10343000000000000000000000000000000000008C
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000004000000000000000000000000000001C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A00000000000000000000000004000C0C00755
:1034B000000000C000400003C000006203C7C0005D
:1034C000000003C70243C322C30000000000000045
:1034D00000000000000000000000000000000000EC
:1034E00000000000000000000000C003C0C0C000D9
:1034F000C003000000000000000000000000000009
:1035000000000000000000000000000000120000A9
:103510000000000000002000000002000000000089
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000000000000000000000000000006B
:10356000000000000000000000000000000000005B
:10357000000000000000000000000000000000202B
:1035800000041000010000040020010801000020D8
:10359000080412000100080405283000090000009A
:1035A000000000000000000000000000000000001B
:1035B000000000000000000000000000000001808A
:1035C0000140010001800000000000000000000038
:1035D00000000000000000000000000000000000EB
:1035E00000000000000000000000000000000200D9
:1035F00000080000000000000000000000000000C3
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:10362000000000000000000000000000000000009A
:10363000000000000000000000000000000000008A
:10364000000000000000000000000000000000007A
:10365000000000000000000000000000000000006A
:1036600000740000000000004000000000000000A6
:10367000000000000000000000000000000000004A
:10368000000000000000000000000000000000003A
:10369000000000000000000000000000000000002A
:1036A000000000000000000000000000000000001A
:1036B00000000000000000000000000050000000BA
:1036C00000700085010000002100000000000000E3
:1036D00000000000000000000000000000100000DA
:1036E00000000000A000000000000000000000003A
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:103720000000000000000000000000000070000029
:10373000000000000008000003000000007000000E
:103740000000000000700000000000000000000009
:103750000000000000000000000000000000000069
:103760000000000000000000000000000000000059
:103770000000000000000000000000000000000049
:103780000000000000000000000000000000060033
:10379000C0000000401A461500000010450200005D
:1037A0000000000000000000000000000000000019
:1037B00000C0000000000000080000000000000041
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000000000E9
:1037E00000000000000000000000000000000000D9
:1037F00000000000000000000000001800000000B1
:103800000008002400000000000000180400000070
:1038100080080024000000000009000000000000F3
:103820000000000000000000000000000000000098
:103830000000000000000000000000000000000088
:103840000000000000000000000000000000000078
:103850000000000000000000000000000000000068
:103860000000020050000000005002420100000071
:103870002000000000000000000000000000000028
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000000000000000008
:1038C00000000000000000000000000000000000F8
:1038D000000000000000000000000C0000000000DC
:1038E000020000000001000000000C0000000000C9
:1038F00000000000000000000000000000000000C8
:1039000000000000000000000000000000000000B7
:1039100000000000000000000000000000000000A7
:103920000000000000000000000000000000000097
:1039300003000000000000008300000100100005EB
:10394000070000D14000000000000000000000005F
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000000000000047
:103980000000000000000000000000000000000037
:103990000000000000000000000000000000000027
:1039A00000000000000000000038000000000100DE
:1039B0000000001000000000E038000000000100DE
:1039C0000060000000000000000000000000000097
:1039D00000000000000000000000000000000000E7
:1039E00000000000000000000000000000000000D7
:1039F00000000000000000000000000000000000C7
:103A0000000000200000000000000020580180009D
:103A10000044804501008080060100000000000095
:103A2000000000000000000000000000080000008E
:103A30000000000000000000000000000000000086
:103A40000000001000000000000000000000000066
:103A50000000001000000000000000000000000056
:103A60000000000000000000000000000000000056
:103A70000000000000000000000000000000000046
:103A800000000040000000000200000404000000EC
:103A900000000042002000000000000A00000000BA
:103AA0000008000800000000000000000000000006
:103AB000000800001010004000002000284000080E
:103AC00000000000000400000000000010000000E2
:103AD00000000000000000000000000000000000E6
:103AE00000000000000000000000000000000000D6
:103AF00000000000000800000000000000000000BE
:103B000000000000000000000000000000000000B5
:103B10000000000000000010000000000000000095
:103B20000000000000000030000000000000000065
:103B30000000000000000000007000000000000015
:103B40000000000000000000000000000000000075
:103B50000000000000000000000060000000000005
:103B600000006000000000000000000000000008ED
:103B7000000000000008000C0800080A0000000017
:103B80000C0D000D00040000002000600000000982
:103B90000000000C0A000000090E0000000A0000EE
:103BA0003D000800000000000000000000000000D0
:103BB0000000804000000000000000000000000045
:103BC000000000500000005000080000000000004D
:103BD00000000000080000000000000000000000DD
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C100000000000000000000000000000000000A4
:103C20000000000000000E000000000000000E0078
:103C30000000000400000000000000000020000060
:103C40000000000C00000000000000000100010066
:103C50000000000001000000000000000000002043
:103C6000000020000020000000000000000C000008
:103C70000000000000000100000E00000000000035
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC00000000000000000000000000000000000F4
:103CD00000000000000000000000000000000000E4
:103CE00000100000000000000000000000000000C4
:103CF00000000000000000000008000000000000BC
:103D000000000000000000000000000000000000B3
:103D100000000000000000000000000000000000A3
:103D20000000000000000000000000000000000093
:103D30000000000000300000000000000000000053
:103D40000000000000000000000000000000000073
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000800000000000000000000083
:103DC00000000000000000000000000000020000F1
:103DD00000000000000000000000000000000000E3
:103DE00000000000000000000000000000000000D3
:103DF00000000000000000000000000000000000C3
:103E00000000000000000000004000000000000072
:103E100000000000000000000000000000000000A2
:103E20000000000000000000400000000000000052
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000000000052
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000000000000032
:103E90000000000000000000000000000000000121
:103EA0000000000000000000000000000000000012
:103EB0000000000000000000000000000000000002
:103EC00000000000000000000000000000000000F2
:103ED00000000000000000000000000000000000E2
:103EE0000000000000000040420000000000000050
:103EF00000000000000000000000000000000000C2
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000000000000000000000000091
:103F30000000000000000000000000000000000081
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F60000000000000000000000000000000FFFF53
:103F70008068FFBF7FFF6EFB779BFF550700EFC692
:103F8000FDC85B7FFD9EFF550301677F375B3311E3
:103F90003303F6BEDB3E000000000000000000001E
:103FA0000000000000000000000000000000000011
:103FB0000000000000000000000000000000000001
:103FC00000000000000000000000000000000000F1
:103FD0000000FFFFFF00FF00555533330F0FFF00B8
:103FE000555533330F0F55550F0F3333CCCC800855
:103FF00000000000000000000000000000000000C1
:1040000000000000000000000000000000000000B0
:1040100000000000000000000000000000000000A0
:104020000000000000000000000000000000000090
:104030000000000000000000000000000000000080
:10404000000000000C0000000C0000000C0000004C
:104050000C0000000C0000000C0000000C00000030
:104060000C0000000C0000000C000000000000002C
:104070000000000000000000000000000000000040
:104080000000000000000000000000000000000030
:104090000000000000000000000000000000000020
:1040A00000000000000000A01E28DFA47EB9DFA4ED
:1040B0007EB9DFA47EB9DFA47EB9DFA47EB9DF2498
:1040C0007E90800000000000000040010000000021
:1040D00000000000000000000000000000000000E0
:1040E00000000000000000000000000000000000D0
:1040F00000000000000000000000000000000000C0
:1041000000000000000000000000000000000000AF
:10411000000000000000800400000000000000001B
:10412000000000000000000000000000000000008F
:10413000000000000000000000000000000000007F
:10414000000000000000000000000000000000006F
:10415000000000000000000000000000000000005F
:10416000000000000000000000000000000000004F
:10417000000000000000000000000000000000003F
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000000000000000FF
:1041C00000000000000000000000000000000000EF
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000FFFFFFFFFFFFD5
:1041F000FFFF7BBAEB3DFF550301D5DC7F297777C5
:10420000050100000000FF0F0501FF55150000002B
:10421000000000000000000000000000000000009E
:1042200000000000000000000000FFFFFFFF000092
:10423000000000000000000000000000000000007E
:104240000000FFFFFFFF00000000FFFFFFFF4444EE
:10425000FFFF0000000000000000FFFF0001004021
:104260000100FFFFFFFF0000000000000000000051
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000000000000000000000FE
:1042C00001000000440100000000000000000000A8
:1042D00000000000000000000000000000000000DE
:1042E00000000000000000000000000000000000CE
:1042F00000000000000000000000000000000000BE
:1043000000000000000000000000000000000000AD
:104310000000000000000000000000000000800914
:1043200000002000000A8028000020500020803972
:1043300000002050001080330000205000080000D2
:10434000000000000000000000000000000000006D
:10435000000000000000000000000000000000005D
:10436000000000000000000000000000000000004D
:10437000000000000000000000000000000000003D
:10438000000000000000000000000000000000002D
:104390000000410012010000840100000000000044
:1043A000000000000000000000000000000000000D
:1043B00000000000000000000000000000000000FD
:1043C00000000000000000000000000000000000ED
:1043D00000000000000000000000000000000000DD
:1043E00000000000000000000000000000000000CD
:1043F0000000800000000010000280280000001073
:10440000009280280000001000868021000000102B
:10441000008A0000000000000000500000000000C2
:10442000000000000000000000000000000000008C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:10445000000000000000000000000000000000005C
:1044600000000000000000CB8380CBA3C713000531
:104470000000C000000002000080000003040000F3
:10448000000000000000000000004000A00000004C
:10449000000000000000000000000000000000001C
:1044A0000000000000000000000303000000000006
:1044B00000000000000000000000000000000000FC
:1044C0000000030300000000000000000000444062
:1044D0000000C040E3C04440E003C000E0C003C0AF
:1044E0004740C000C30700000000000000000000BB
:1044F00000000000000000000000000000000000BC
:1045000000000000000000000000000000000000AB
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:10453000000000000000000000000001C0020402B2
:10454000400000000000010000000200000400081C
:104550008200000000000000800000000000010058
:10456000000000000000000000000000000000004B
:1045700000000000000000000000000000800200B9
:10458000000000000000000000000000000000002B
:104590000000000000000280000000000041000058
:1045A00001400020004010A00804002004C01000BA
:1045B0000004088420201080030000000000000098
:1045C0000000C0000000000000000000000000002B
:1045D00000000000000000000000000000000000DB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:10460000000000000000000000000000000040006A
:10461000A48000800370A2845000000EF170970403
:10462000D40080980344004CD600004010440C0392
:10463000500000890344000000000000000000005A
:10464000000000000000000000000000000000006A
:10465000000000000000000000000000000000005A
:10466000000000000000000000000000000000004A
:1046700000000000000000000000000000002040DA
:1046800001000014F0000000000000000000000025
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000000000000000000000000000000000FA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E000000000022010000000780225820080EF08
:1046F00001080604C20000E103404024C20000009B
:104700004040A100D00100800140000000000000F6
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000000089
:104730000000000000000000000000000000000079
:104740000000000000000000000000000000000069
:10475000007008000300000040700000000000002E
:1047600000700000000000000000000000000000D9
:104770000000000000000000000000000000000039
:104780000000000000000000000000000000000029
:104790000000000000000000000000000000000019
:1047A0000000000000000000000000000000000009
:1047B000000000000000002080160080000824098E
:1047C00059020084120081012C0040018224000063
:1047D000200000100A200100800200B0002000002C
:1047E00000000000000000000000000000000000C9
:1047F00000000000000000000000000000000000B9
:1048000000000000000000000000000000000000A8
:104810000000000000000000000000000000001088
:1048200000020000000800D0040200000808002474
:10483000000000000028000200000000000000004E
:104840000000000000000000000000000000000068
:104850000000000000000000000000000000000058
:104860000000000000000000000000000000000048
:104870000000000000000000000000000000000038
:104880000000000000000000000000000000000028
:1048900000301C08820040040508880D28020087AB
:1048A000D1780008200200000100060FC0000006B9
:1048B00001B4000000000000000000000000000043
:1048C00000000000000000000000000000000000E8
:1048D00000000000000000000000000000000000D8
:1048E00000000000000000000000000008000000C0
:1048F000A000000000000080010002A00000008075
:10490000F900000000000C000000000000000000A2
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:104930000000000000000000000000000000000077
:104940000000000000000000000000000000000067
:104950000000000000000000000000000000000057
:10496000003400C001B80C03000000842392084505
:104970002000008ED570002020000080D388000029
:10498000500000690030000000000000000000003E
:104990000000000000000000000000000000000017
:1049A0000000000000000000000000000000000007
:1049B0000000000000000000000000000000008077
:1049C00000000000003900000000000008000100A5
:1049D00000000007E80000000000010000000000E7
:1049E00000000000000000000000000000000000C7
:1049F00000000000000000000000000000000000B7
:104A000000000000000000000000000000000001A5
:104A10000000000000000000000000000200000094
:104A20001000000000000000000000000000000076
:104A30001000004000400004400000002000400042
:104A40004000002000300020400021002004200011
:104A50002000000000000000000200000000000034
:104A60000000000080000000000000008000000046
:104A700000000000800000000000000000000000B6
:104A80008000000000000000001100100000000085
:104A900000002001020000000010040000000000DF
:104AA0002000004201080000000000000001004852
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000000E6
:104AD00000000000000000000000000000000000D6
:104AE00000000000000000000000000000000000C6
:104AF00000000000100000000000000000000000A6
:104B00000000000D00000006000000400000000052
:104B100040000000000000000000000000400040D5
:104B2000000000000900000000000000000000007C
:104B30000000000000000000000000000000000075
:104B4000000000000000000000000D000800080048
:104B500000000D000000080008000D0D08300031B5
:104B60000100004201090060008000000030008068
:104B7000008000000000800040040000090D008655
:104B80000000000000600000000A000000000000BB
:104B90000000000000000000000000000000000015
:104BA0000000000002000000000000000000000003
:104BB00000000000000000080000000002000000EB
:104BC00000000000080000000000000000000000DD
:104BD0000000000000000000000000000E200004A3
:104BE0002000000000002000000000300010001035
:104BF00030001C00300430003000000000000000D5
:104C0000000800000000000000000000000000009C
:104C10000000000000000000000000000000000094
:104C20000100010000000000200001000100000060
:104C30000104000000000000000010080400000053
:104C40000000040000000000000E00240C00000022
:104C50000000000000040000000000000000000050
:104C600000000080000000000000000000000000C4
:104C70000000000000000000000000000000000034
:104C80000000000000000000000000000000000024
:104C90000000000000000000000000000010000004
:104CA00000000000000000002000000000000000E4
:104CB00020000000000000000000000000000000D4
:104CC00000000000000000000000000000000000E4
:104CD00000000000000000000000000000000000D4
:104CE00000000000000000000000000000000000C4
:104CF0000000000000000000400000000030000044
:104D00000000000000300000000000000000000073
:104D10000000000000000000000000000000000093
:104D20000000000000000000000000000000000083
:104D30000000000000000000000000000000000073
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D700000800000000000000000000000000000B3
:104D800000000000003000000000000000000000F3
:104D90000000000080000000000000000000000093
:104DA0000000000000000000008000000000000083
:104DB00000000000000000000000000000000000F3
:104DC00000000000000000000000000030000000B3
:104DD0000080000000000000000000000000000053
:104DE00000000000000000000000000000000000C3
:104DF0000000200000000000000000000000000093
:104E000000000000000000000000000000000000A2
:104E10000000000000000000000000000000000092
:104E20000000000000000000000000000000000082
:104E30000000000000000000000000000000000072
:104E40000000000000000000000000000000000062
:104E50001000000000000000102000404000000092
:104E60000000000000000000000000000000000042
:104E700020000000200000000000000020000000D2
:104E80000000000000000000000000000000000022
:104E90000000000000000000000000000000000012
:104EA00000000040000000000000000000100000B2
:104EB00000000000000000000000000000000000F2
:104EC00000000000000020000000000000000000C2
:104ED00000000000000000000000000000000000D2
:104EE00000000000000000000000000000000000C2
:104EF000000000000000008008000000000000002A
:104F00000000000000001081080000000000000008
:104F100000000000000000800800000000001001F8
:104F200000000000000000800800000000001001E8
:104F30000000000000000000000000000000000071
:104F40000000000000000000000000000000000061
:104F50000000000000000000000000000000000051
:104F600000000000000000000000000000001081B0
:104F70000800000000000000000000000000000029
:104F80000000000000000081000000000000008020
:104F900008000000000010810800000000001081DF
:104FA0000800000000000080080000000000000071
:104FB00000000000000000000000000000000000F1
:104FC0000000000000000000000000800000000061
:104FD0000000000000000000000000810000000050
:104FE0000000000000000000000000800000000041
:104FF0000000000100000000000000800000000030
:10500000000000010000000000000000000000009F
:105010000000000000000000000000000000000090
:105020000000000000000000000000000000000080
:105030000000000000000000000000000000000070
:1050400000000081000000000000000000000000DF
:1050500000000000000000000000008100000000CF
:10506000000000800000000000000081000000003F
:10507000000000810000000000000080000000002F
:105080000000000000000000000000000000000020
:105090000000000000000000000000000000000010
:1050A0000000000000000000000000000000000000
:1050B00000000000000000000000000000000000F0
:1050C00000000000000000000000000000000000E0
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000000000000000000C0
:1050F00000000000000000000000000000000000B0
:10510000000000000000000000000000000000009F
:10511000000000000000000000000000000000008F
:10512000000000000000000000000000000000007F
:10513000201100000000000000000000000000003E
:10514000000000000000000000000000000000005F
:1051500000000000000000000000008000000000CF
:10516000000000000000000000000000000000003F
:10517000000000000000000000000000000000002F
:10518000000000000000000000000000000000001F
:10519000000000000000000000000000000000000F
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000000000EF
:1051C00000000000000000000000000000000000DF
:1051D00000000000000000000000000000000000CF
:1051E00000000000000000000000000000000000BF
:1051F00000000000000000000000000000000000AF
:10520000000000000240000000000000000000005C
:10521000000000000000000000000000000000008E
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:10524000000000000000000000000000000000005E
:10525000000000000000000000000000000000004E
:10526000000000000000000000000000000000003E
:10527000000000000000000000000000000000002E
:10528000000000000000000000000000000000001E
:10529000000000000000000000000000000000000E
:1052A00000000000000000000000000000000000FE
:1052B00000000000000000000000000000000000EE
:1052C00000000000000000000000000000000000DE
:1052D00000000000000000010002000000000000CB
:1052E00000000000000000000000000000000000BE
:1052F00000000000000000000000000000000000AE
:10530000000000000000000000000000000000009D
:10531000000000000000000000000000000000008D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:10534000000000000000000000000000000000005D
:10535000000000000000000000000000000000004D
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:10539000000000000000000000000000000000000D
:1053A00000000000000000000000000800220000D3
:1053B00000000000000000000000000000000000ED
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000000BD
:1053F00000000000000000000000000000000000AD
:10540000000000000000000000000000000000009C
:10541000000000000000000000000000000000008C
:10542000000000000000000000000000000000007C
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:105460000000000000000000000000000000000438
:10547000000000000000000000000000000000002C
:10548000000000000000000000000000000000001C
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B00000000000000000000000000000000000EC
:1054C00000000000000000000000000000000000DC
:1054D00000000000000000000000000000000000CC
:1054E00000000000000000000000000000000000BC
:1054F00000000000000000000000000000000000AC
:10550000000000000000000000000000000000009B
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:10554000200000000000000000000000000000003B
:10555000004000000000000000000000000000000B
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000000000000001000000000000FA
:1055B00000000000000000000000000000000000EB
:1055C00000000000000000000000000000000000DB
:1055D00000000000000000000000000000000000CB
:1055E00000000000000000000000000000000000BB
:1055F00000000000000000000000000000000000AB
:10560000000000000000000000000000000000009A
:10561000000000000000000000000000000000008A
:10562000000000006000000000000000000000001A
:105630000000000000000000000200000000000068
:105640000002000000000000000000000000000058
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:10567000000000000000000000000000200000000A
:10568000000000000000000000000000000000001A
:1056900000000000A000000000000000000000006A
:1056A00000000000B000000000000000000000004A
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E00000000000B000000000000000000000000A
:1056F000000000000000000010000000B0000000EA
:105700000000000000000000000000000000000099
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:105730000000000000000000000000000000000069
:1057400000000000000000000000000080000000D9
:105750000000000000000000000000000000000049
:1057600000000000000000000800000080000000B1
:105770000000000000000000000000000000000029
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B00000000000000000000000000000000000E9
:1057C00000000000000000000000000000000000D9
:1057D0000000000000000000000000008000000049
:1057E00000000000000000000000000000000000B9
:1057F00000000000000000000000000000000000A9
:105800000000000000000000000000000000000098
:105810000002000000000000000000000000000086
:105820000000000000000000000000000000000078
:105830000002000000000000000000000000000066
:105840000000000000000000000000000000000058
:105850000000000000000000000000000000000048
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000200000000000016
:105890000000000000000000000000000000000008
:1058A0006002000040020000000200000000000052
:1058B00000000000000000000002000000000000E6
:1058C00000020000000000000000000000000000D6
:1058D00000000000000000000000000000000000C8
:1058E00000000000100000000000000000000000A8
:1058F0000000000070000000000000000000000038
:105900000000000010000000000000000000000087
:105910000000000070000000000000000000000017
:105920000000000000000000000000000000000077
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000002000000027
:105960000000000000000000000000000000000037
:1059700000000000540000000000000010000000C3
:105980000000000070000000000000002000000087
:1059900000000000600000000000000000000000A7
:1059A00000000000000000000000000000000000F7
:1059B00000000000000000000000000000000000E7
:1059C00000000000000000000000000000000000D7
:1059D00000000000000000000000000000000000C7
:1059E00000000000000000000000000000000000B7
:1059F00000000000000000000000000000000000A7
:105A00000000000000000000000000000000000096
:105A10000000000000000000000000000000000086
:105A20000000000000000000000000000000000076
:105A30000000000000000000008000400000400066
:105A40000000000000000000000000000022000034
:105A500000000020000040000000000000200000C6
:105A60000000000000000000000000000000000036
:105A70000000000000000000000000000000000026
:105A80000000000000000000000000000000000016
:105A90000000000000000000000000000000000006
:105AA0000000000070000000000000000000000086
:105AB00000000000000000000000000000000000E6
:105AC00000000000700000700000000000000000F6
:105AD00000000000000D0000000000000070000049
:105AE00000000000000000000000000000000000B6
:105AF00000000000000000000000000000000000A6
:105B0000000000000000000000000006000000008F
:105B10000000000000000000000000000000000085
:105B20000000000000000000000E000D000000005A
:105B3000000009707000000000000000000000007C
:105B40000000000A0000000000000000000000004B
:105B50000000000000000000000000000000000045
:105B60000000000000000000000000000000000035
:105B70000000000000000000000000000000000025
:105B80000000000000000000000000000000000015
:105B90000000000000000000000000000000000005
:105BA00000000000000000000000000000000000F5
:105BB00000000000000000000000000000010000E4
:105BC00000000001000000000000000000000000D4
:105BD00000000000000000000000000000000000C5
:105BE0000010000000001000000000500000000045
:105BF000000000000018000000000030000030002D
:105C00000000005000300000000000000000000014
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000000000000000044
:105C60000000000000000000000000000000000034
:105C70000000000000000000000000000000000024
:105C80000000000000010000000000000000000013
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB00000000000000000000000000000000000E4
:105CC0000040000000000000000000000000000094
:105CD00000000000000000000000000000000000C4
:105CE00000000000000000000000000000000000B4
:105CF00000000000000000000000000000000000A4
:105D00000000000000000000000000000000000093
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D30000000000000000000000000000000000063
:105D40000000000000000000000000000000000053
:105D5000000000000000000000040000000000003F
:105D60000000000000000000000000000000000033
:105D70000000000000000000000001000000000022
:105D80000000000000000000000080000000000093
:105D900000000000002000000000002000000000C3
:105DA00000000000000000000000000000000000F3
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000000000000000000000000000B3
:105DF00000000000000000000000000000000000A3
:105E00000000000000000000000000000000000092
:105E10000000000000000000000000000000000082
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E40000000000000000000000000000000000052
:105E500000000000000000000000000000810000C1
:105E60000000000000000000000000000000002012
:105E70000000000000000000000000000000000022
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA00000000000000000000000000000000000F2
:105EB00000000000000000000000000000000000E2
:105EC00000000000000000000000000000000000D2
:105ED00000000000000000000000000000000000C2
:105EE00000000000000000000000000000000000B2
:105EF00000000000000000000000000000000000A2
:105F00000000000000000000000000000000000091
:105F10000000000000000000000000000000000081
:105F20000000200000000000000000000000000051
:105F30000000200000000000000000000000000041
:105F40000000000000000000000000000000000051
:105F50000000000000000000000000000000000041
:105F60000000000000000000000000000000000031
:105F70000000000000000000000000000000000021
:105F800000000000000005002818000000000000CC
:105F90000000050C00A0050028180000000000000B
:105FA00000000000000005002818050C00A00000FB
:105FB00000000000000005002818050C00A00000EB
:105FC00000000000000000000000000000000000D1
:105FD00000000000000000000000000000000000C1
:105FE00000000000000000000000000000000000B1
:105FF00000000000000080390010050C00A0050022
:106000002818000000008039001000000000000087
:106010000000050C86A005312818000000000500CE
:106020002818050C00A005002818050C00A0050084
:1060300028180000000005002818000000000000DB
:10604000040000000000000000000000000000004C
:106050000000000000000000000000000000000040
:106060000000000000000000000000000000000030
:106070000000000000000000000000000000000020
:106080000000000000000000000000000000000010
:106090000000000000000000000000000000000000
:1060A00000000000000000000000000000000000F0
:1060B00000000000000000000000000000000000E0
:1060C00000000000000000000000000000000000D0
:1060D00000000000000000000000000000000000C0
:1060E00000000000000000000000000000000000B0
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:10612000000000000000000000000000000000006F
:10613000000000000000000000000000000000005F
:10614000000000000000000000000000000000004F
:10615000000000000000000000000000000000003F
:10616000000000000000000000000000000000002F
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A00000000000000000000000000000000000EF
:1061B00000000000000000000000000000000000DF
:1061C00000000000000000000000000000000000CF
:1061D00000000000000000000000000000000000BF
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000000000009F
:10620000000000000000000000000000000000008E
:10621000000000000000000000000000000000007E
:10622000000000000000000000000000000000006E
:10623000000000000000000000000000000000005E
:10624000000000000000000000000000000000004E
:10625000000000000000000000000000000000003E
:10626000000000000000000000000000000000002E
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000000000000000000000000000FE
:1062A00000000000000000000000000000000000EE
:1062B00000000000000000000000000000000000DE
:1062C00000000000000000000000000000000000CE
:1062D00000000000000000000000000000000000BE
:1062E00000000000000000000000000000000000AE
:1062F000000000000000000000000000000000009E
:10630000000000000000000000000000000000008D
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000000000000000000000005D
:10634000000000000000000000000000000000004D
:10635000000000000000000000000000000000003D
:10636000000000000000000000000000000000002D
:10637000000000000000000000000000000000001D
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B00000000000000000000000000000000000DD
:1063C00000000000000000000000000000000000CD
:1063D00000000000000000000000000000000000BD
:1063E00000000000000000000000000000000000AD
:1063F000000000000000000000000000000000009D
:10640000000000000000000000000000000000008C
:10641000000000000000000000000000000000007C
:10642000000000000000000000000000000000006C
:10643000000000000000000000000000000000005C
:10644000000000000000000000000000000000004C
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:10648000000000000000000000000000000000000C
:1064900000000000000000000000000000000000FC
:1064A00000000000000000000000000000000000EC
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D00000000000000000000000000000000000BC
:1064E00000000000000000000000000000000000AC
:1064F000000000000000000000000000000000009C
:10650000000000000000000000000000000000008B
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:10655000000000000000000000000000000000003B
:10656000000000000000000000000000000000002B
:10657000000000000000000000000000000000001B
:10658000000000000000000000000000000000000B
:1065900000000000000000000000000000000000FB
:1065A00000000000000000000000000000000000EB
:1065B00000000000000000000000000000000000DB
:1065C00000000000000000000000000000000000CB
:1065D00000000000000000000000000000000000BB
:1065E00000000000000000000000000000000000AB
:1065F000000000000000000000000000000000009B
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:10663000000000000000000000000000000000005A
:10664000000000000000000000000000000000004A
:10665000000000000000000000000000000000003A
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:10668000000000000000000000000000000000000A
:1066900000000000000000000000000000000000FA
:1066A00000000000000000000000000000000000EA
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:106700000000000000000000000000000000000089
:106710000000000000000000000000000000000079
:106720000000000000000000000000000000000069
:106730000000000000000000000000000000000059
:106740000000000000000000000000000000000049
:106750000000000000000000000000000000000039
:106760000000000000000000000000000000000029
:106770000000000000000000000000000000000019
:106780000000000000000000000000000000000009
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000000000000B9
:1067E00000000000000000000000000000000000A9
:1067F0000000000000000000000000000000000099
:106800000000000000000000000000000000000088
:106810000000000000000000000000000000000078
:106820000000000000000000000000000000000068
:106830000000000000000000000000000000000058
:106840000000000000000000000000000000000048
:106850000000000000000000000000000000000038
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B00000000000000000000000000000000000D8
:1068C00000000000000000000000000000000000C8
:1068D00000000000000000000000000000000000B8
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000000000000000000000000000087
:106910000000000000000000000000000000000077
:106920000000000000000000000000000000000067
:106930000000000000000000000000000000000057
:106940000000000000000000000000000000000047
:106950000000000000000000000000000000000037
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000000000000000007
:1069900000000000000000000000000000000000F7
:1069A00000000000000000000000000000000000E7
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D00000000000000000000000000000000000B7
:1069E00000000000000000000000000000000000A7
:1069F0000000000000000000000000000000000097
:106A00000000000000000000000000000000000086
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000000000000000000000000000000046
:106A50000000000000000000000000000000000036
:106A60000000000000000000000000000000000026
:106A70000000000000000000000000000000000016
:106A80000000000000000000000000000000000006
:106A900000000000000000000000000000000000F6
:106AA00000000000000000000000000000000000E6
:106AB00000000000000000000000000000000000D6
:106AC00000000000000000000000000000000000C6
:106AD00000000000000000000000000000000000B6
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B20000000000000000000000000000000000065
:106B30000000000000000000000000000000000055
:106B40000000000000000000000000000000000045
:106B50000000000000000000000000000000000035
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B80000000000000000000000000000000000005
:106B900000000000000000000000000000000000F5
:106BA00000000000000000000000000000000000E5
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD00000000000000000000000000000000000B5
:106BE00000000000000000000000000000000000A5
:106BF0000000000000000000000000000000000095
:106C00000000000000000000000000000000000084
:106C10000000000000000000000000000000000074
:106C20000000000000000000000000000000000064
:106C30000000000000000000000000000000000054
:106C40000000000000000000000000000000000044
:106C50000000000000000000000000000000000034
:106C60000000000000000000000000000000000024
:106C70000000000000000000000000000000000014
:106C80000000000000000000000000000000000004
:106C900000000000000000000000000000000000F4
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000000000D4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE00000000000000000000000000000000000A4
:106CF0000000000000000000000000000000000094
:106D00000000000000000000000000000000000083
:106D10000000000000000000000000000000000073
:106D20000000000000000000000000000000000063
:106D30000000000000000000000000000000000053
:106D40000000000000000000000000000000000043
:106D50000000000000000000000000000000000033
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D80000000000000000000000000000000000003
:106D900000000000000000000000000000000000F3
:106DA00000000000000000000000000000000000E3
:106DB00000000000000000000000000000000000D3
:106DC00000000000000000000000000000000000C3
:106DD00000000000000000000000000000000000B3
:106DE00000000000000000000000000000000000A3
:106DF0000000000000000000000000000000000093
:106E00000000000000000000000000000000000082
:106E10000000000000000000000000000000000072
:106E20000000000000000000000000000000000062
:106E30000000000000000000000000000000000052
:106E40000000000000000000000000000000000042
:106E50000000000000000000000000000000000032
:106E60000000000000000000000000000000000022
:106E70000000000000000000000000000000000012
:106E80000000000000000000000000000000000002
:106E900000000000000000000000000000000000F2
:106EA00000000000000000000000000000000000E2
:106EB00000000000000000000000000000000000D2
:106EC00000000000000000000000000000000000C2
:106ED00000000000000000000000000000000000B2
:106EE00000000000000000000000000000000000A2
:106EF0000000000000000000000000000000000092
:106F00000000000000000000000000000000000081
:106F10000000000000000000000000000000000071
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F40000000000000000000000000000000000041
:106F50000000000000000000000000000000000031
:106F60000000000000000000000000000000000021
:106F70000000000000000000000000000000000011
:106F80000000000000000000000000000000000001
:106F900000000000000000000000000000000000F1
:106FA00000000000000000000000000000000000E1
:106FB00000000000000000000000000000000000D1
:106FC00000000000000000000000000000000000C1
:106FD00000000000000000000000000000000000B1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000000000000000000000000080
:107010000000000000000000000000000000000070
:107020000000000000000000000000000000000060
:107030000000000000000000000000000000000050
:107040000000000000000000000000000000000040
:107050000000000000000000000000000000000030
:107060000000000000000000000000000000000020
:107070000000000000000000000000000000000010
:107080000000000000000000000000000000000000
:1070900000000000000000000000000000000000F0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000000000000000000D0
:1070C00000000000000000000000000000000000C0
:1070D00000000000000000000000000000000000B0
:1070E00000000000000000000000000000000000A0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:10711000000000000000000000000000000000006F
:10712000000000000000000000000000000000005F
:10713000000000000000000000000000000000004F
:10714000000000000000000000000000000000003F
:10715000000000000000000000000000000000002F
:10716000000000000000000000000000000000001F
:10717000000000000000000000000000000000000F
:1071800000000000000000000000000000000000FF
:1071900000000000000000000000000000000000EF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000000000000000000000000000000000009F
:1071F000000000000000000000000000000000008F
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:10722000000000000000000000000000000000005E
:10723000000000000000000000000000000000004E
:10724000000000000000000000000000000000003E
:10725000000000000000000000000000000000002E
:10726000000000000000000000000000000000001E
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:1072900000000000000000000000000000000000EE
:1072A00000000000000000000000000000000000DE
:1072B00000000000000000000000000000000000CE
:1072C00000000000000000000000000000000000BE
:1072D00000000000000000000000000000000000AE
:1072E000000000000000000000000000000000009E
:1072F000000000000000000000000000000000008E
:10730000000000000000000000000000000000007D
:10731000000000000000000000000000000000006D
:10732000000000000000000000000000000000005D
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:10736000000000000000000000000000000000001D
:10737000000000000000000000000000000000000D
:1073800000000000000000000000000000000000FD
:1073900000000000000000000000000000000000ED
:1073A00000000000000000000000000000000000DD
:1073B00000000000000000000000000000000000CD
:1073C00000000000000000000000000000000000BD
:1073D00000000000000000000000000000000000AD
:1073E000000000000000000000000000000000009D
:1073F000000000000000000000000000000000008D
:10740000000000000000000000000000000000007C
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000000000000004C
:10744000000000000000000000000000000000003C
:10745000000000000000000000000000000000002C
:10746000000000000000000000000000000000001C
:10747000000000000000000000000000000000000C
:1074800000000000000000000000000000000000FC
:1074900000000000000000000000000000000000EC
:1074A00000000000000000000000000000000000DC
:1074B00000000000000000000000000000000000CC
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:10750000000000000000000000000000000000007B
:10751000000000000000000000000000000000006B
:10752000000000000000000000000000000000005B
:10753000000000000000000000000000000000004B
:10754000000000000000000000000000000000003B
:10755000000000000000000000000000000000002B
:10756000000000000000000000000000000000001B
:10757000000000000000000000000000000000000B
:1075800000000000000000000000000000000000FB
:1075900000000000000000000000000000000000EB
:1075A00000000000000000000000000000000000DB
:1075B00000000000000000000000000000000000CB
:1075C00000000000000000000000000000000000BB
:1075D00000000000000000000000000000000000AB
:1075E000000000000000000000000000000000009B
:1075F000000000000000000000000000000000008B
:10760000000000000000000000000000000000007A
:10761000000000000000000000000000000000006A
:10762000000000000000000000000000000000005A
:10763000000000000000000000000000000000004A
:10764000000000000000000000000000000000003A
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C00000000000000000000000000000000000BA
:1076D00000000000000000000000000000000000AA
:1076E000000000000000000000000000000000009A
:1076F000000000000000000000000000000000008A
:107700000000000000000000000000000000000079
:107710000000000000000000000000000000000069
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:1077800000000000000000000000000000000000F9
:1077900000000000000000000000000000000000E9
:1077A00000000000000000000000000000000000D9
:1077B00000000000000000000000000000000000C9
:1077C00000000000000000000000000000000000B9
:1077D00000000000000000000000000000000000A9
:1077E0000000000000000000000000000000000099
:1077F0000000000000000000000000000000000089
:107800000000000000000000000000000000000078
:107810000000000000000000000000000000000068
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840000000000000000000000000000000000038
:107850000000000000000000000000000000000028
:107860000000000000000000000000000000000018
:107870000000000000000000000000000000000008
:1078800000000000000000000000000000000000F8
:1078900000000000000000000000000000000000E8
:1078A00000000000000000000000000000000000D8
:1078B00000000000000000000000000000000000C8
:1078C00000000000000000000000000000000000B8
:1078D00000000000000000000000000000000000A8
:1078E0000000000000000000000000000000000098
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000000000077
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000000000000000000000000047
:107940000000000000000000000000000000000037
:107950000000000000000000000000000000000027
:107960000000000000000000000000000000000017
:107970000000000000000000000000000000000007
:1079800000000000000000000000000000000000F7
:1079900000000000000000000000000000000000E7
:1079A00000000000000000000000000000000000D7
:1079B00000000000000000000000000000000000C7
:1079C00000000000000000000000000000000000B7
:1079D00000000000000000000000000000000000A7
:1079E0000000000000000000000000000000000097
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000000000000000076
:107A10000000000000000000000000000000000066
:107A20000000000000000000000000000000000056
:107A30000000000000000000000000000000000046
:107A40000000000000000000000000000000000036
:107A50000000000000000000000000000000000026
:107A60000000000000000000000000000000000016
:107A70000000000000000000000000000000000006
:107A800000000000000000000000000000000000F6
:107A900000000000000000000000000000000000E6
:107AA00000000000000000000000000000000000D6
:107AB00000000000000000000000000000000000C6
:107AC00000000000000000000000000000000000B6
:107AD00000000000000000000000000000000000A6
:107AE0000000000000000000000000000000000096
:107AF0000000000000000000000000000000000086
:107B00000000000000000000000000000000000075
:107B10000000000000000000000000000000000065
:107B20000000000000000000000000000000000055
:107B30000000000000000000000000000000000045
:107B40000000000000000000000000000000000035
:107B50000000000000000000000000000000000025
:107B60000000000000000000000000000000000015
:107B70000000000000000000000000000000000005
:107B800000000000000000000000000000000000F5
:107B900000000000000000000000000000000000E5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC00000000000000000000000000000000000B5
:107BD00000000000000000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF0000000000000000000000000000000000085
:107C00000000000000000000000000000000000074
:107C10000000000000000000000000000000000064
:107C20000000000000000000000000000000000054
:107C30000000000000000000000000000000000044
:107C40000000000000000000000000000000000034
:107C50000000000000000000000000000000000024
:107C60000000000000000000000000000000000014
:107C70000000000000000000000000000000000004
:107C800000000000000000000000000000000000F4
:107C900000000000000000000000000000000000E4
:107CA00000000000000000000000000000000000D4
:107CB00000000000000000000000000000000000C4
:107CC00000000000000000000000000000000000B4
:107CD00000000000000000000000000000000000A4
:107CE0000000000000000000000000000000000094
:107CF0000000000000000000000000000000000084
:107D00000000000000000000000000000000000073
:107D10000000000000000000000000000000000063
:107D20000000000000000000000000000000000053
:107D30000000000000000000000000000000000043
:107D40000000000000000000000000000000000033
:107D50000000000000000000000000000000000023
:107D60000000000000000000000000000000000013
:107D70000000000000000000000000000000000003
:107D800000000000000000000000000000000000F3
:107D900000000000000000000000000000000000E3
:107DA00000000000000000000000000000000000D3
:107DB00000000000000000000000000000000000C3
:107DC00000000000000000000000000000000000B3
:107DD00000000000000000000000000000000000A3
:107DE0000000000000000000000000000000000093
:107DF0000000000000000000000000000000000083
:107E00000000000000000000000000000000000072
:107E10000000000000000000000000000000000062
:107E20000000000000000000000000000000000052
:107E30000000000000000000000000000000000042
:107E40000000000000000000000000000000000032
:107E50000000000000000000000000000000000022
:107E60000000000000000000000000000000000012
:107E70000000000000000000000000000000000002
:107E800000000000000000000000000000000000F2
:107E900000000000000000000000000000000000E2
:107EA00000000000000000000000000000000000D2
:107EB00000000000000000000000000000000000C2
:107EC00000000000000000000000000000000000B2
:107ED00000000000000000000000000000000000A2
:107EE0000000000000000000000000000000000092
:107EF0000000000000000000000000000000000082
:107F00000000000000000000000000000000000071
:107F10000000000000000000000000000000000061
:107F20000000000000000000000000000000000051
:107F30000000000000000000000000000000000041
:107F40000000000000000000000000000000000031
:107F50000000000000000000000000000000000021
:107F60000000000000000000000000000000000011
:107F70000000000000000000000000000000000001
:107F800000000000000000000000000000000000F1
:107F900000000000000000000000000000000000E1
:107FA00000000000000000000000000000000000D1
:107FB00000000000000000000000000000000000C1
:107FC00000000000000000000000000000000000B1
:107FD00000000000000000000000000000000000A1
:107FE0000000000000000000000000000000000091
:107FF0000000000000000000000000000000000081
:108000000000000000000000000000000000000070
:108010000000000000000000000000000000000060
:108020000000000000000000000000000000000050
:108030000000000000000000000000000000000040
:108040000000000000000000000000000000000030
:108050000000000000000000000000000000000020
:108060000000000000000000000000000000000010
:108070000000000000000000000000000000000000
:1080800000000000000000000000000000000000F0
:1080900000000000000000000000000000000000E0
:1080A00000000000000000000000000000000000D0
:1080B00000000000000000000000000000000000C0
:1080C00000000000000000000000000000000000B0
:1080D00000000000000000000000000000000000A0
:1080E0000000000000000000000000000000000090
:1080F0000000000000000000000000000000000080
:10810000000000000000000000000000000000006F
:10811000000000000000000000000000000000005F
:10812000000000000000000000000000000000004F
:10813000000000000000000000000000000000003F
:10814000000000000000000000000000000000002F
:10815000000000000000000000000000000000001F
:10816000000000000000000000000000000000000F
:1081700000000000000000000000000000000000FF
:1081800000000000000000000000000000000000EF
:1081900000000000000000000000000000000000DF
:1081A00000000000000000000000000000000000CF
:1081B00000000000000000000000000000000000BF
:1081C00000000000000000000000000000000000AF
:1081D000000000000000000000000000000000009F
:1081E000000000000000000000000000000000008F
:1081F000000000000000000000000000000000007F
:10820000000000000000000000000000000000006E
:10821000000000000000000000000000000000005E
:10822000000000000000000000000000000000004E
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000002E
:10825000000000000000000000000000000000001E
:10826000000000000000000000000000000000000E
:1082700000000000000000000000000000000000FE
:1082800000000000000000000000000000000000EE
:1082900000000000000000000000000000000000DE
:1082A00000000000000000000000000000000000CE
:1082B00000000000000000000000000000000000BE
:1082C00000000000000000000000000000000000AE
:1082D000000000000000000000000000000000009E
:1082E000000000000000000000000000000000008E
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:10831000000000000000000000000000000000005D
:10832000000000000000000000000000000000004D
:10833000000000000000000000000000000000003D
:10834000000000000000000000000000000000002D
:10835000000000000000000000000000000000001D
:10836000000000000000000000000000000000000D
:1083700000000000000000000000000000000000FD
:1083800000000000000000000000000000000000ED
:1083900000000000000000000000000000000000DD
:1083A00000000000000000000000000000000000CD
:1083B00000000000000000000000000000000000BD
:1083C00000000000000000000000000000000000AD
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F000000000000000000000000000000000007D
:10840000000000000000000000000000000000006C
:10841000000000000000000000000000000000005C
:10842000000000000000000000000000000000004C
:10843000000000000000000000000000000000003C
:10844000000000000000000000000000000000002C
:10845000000000000000000000000000000000001C
:10846000000000000000000000000000000000000C
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000000EC
:1084900000000000000000000000000000000000DC
:1084A00000000000000000000000000000000000CC
:1084B00000000000000000000000000000000000BC
:1084C00000000000000000000000000000000000AC
:1084D000000000000000000000000000000000009C
:1084E000000000000000000000000000000000008C
:1084F000000000000000000000000000000000007C
:10850000000000000000000000000000000000006B
:10851000000000000000000000000000000000005B
:10852000000000000000000000000000000000004B
:10853000000000000000000000000000000000003B
:10854000000000000000000000000000000000002B
:10855000000000000000000000000000000000001B
:10856000000000000000000000000000000000000B
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:1085900000000000000000000000000000000000DB
:1085A00000000000000000000000000000000000CB
:1085B00000000000000000000000000000000000BB
:1085C00000000000000000000000000000000000AB
:1085D000000000000000000000000000000000009B
:1085E000000000000000000000000000000000008B
:1085F000000000000000000000000000000000007B
:10860000000000000000000000000000000000006A
:10861000000000000000000000000000000000005A
:10862000000000000000000000000000000000004A
:10863000000000000000000000000000000000003A
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:1086700000000000000000000000000000000000FA
:1086800000000000000000000000000000000000EA
:1086900000000000000000000000000000000000DA
:1086A00000000000000000000000000000000000CA
:1086B00000000000000000000000000000000000BA
:1086C00000000000000000000000000000000000AA
:1086D000000000000000000000000000000000009A
:1086E000000000000000000000000000000000008A
:1086F000000000000000000000000000000000007A
:108700000000000000000000000000000000000069
:108710000000000000000000000000000000000059
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:108740000000000000000000000000000000000029
:108750000000000000000000000000000000000019
:108760000000000000000000000000000000000009
:1087700000000000000000000000000000000000F9
:1087800000000000000000000000000000000000E9
:1087900000000000000000000000000000000000D9
:1087A00000000000000000000000000000000000C9
:1087B00000000000000000000000000000000000B9
:1087C00000000000000000000000000000000000A9
:1087D0000000000000000000000000000000000099
:1087E0000000000000000000000000000000000089
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000000000000000000000000000058
:108820000000000000000000000000000000000048
:108830000000000000000000000000000000000038
:108840000000000000000000000000000000000028
:108850000000000000000000000000000000000018
:108860000000000000000000000000000000000008
:1088700000000000000000000000000000000000F8
:1088800000000000000000000000000000000000E8
:1088900000000000000000000000000000000000D8
:1088A00000000000000000000000000000000000C8
:1088B00000000000000000000000000000000000B8
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000000000000000000088
:1088F0000000000000000000000000000000000078
:108900000000000000000000000000000000000067
:108910000000000000000000000000000000000057
:108920000000000000000000000000000000000047
:108930000000000000000000000000000000000037
:108940000000000000000000000000000000000027
:108950000000000000000000000000000000000017
:108960000000000000000000000000000000000007
:1089700000000000000000000000000000000000F7
:1089800000000000000000000000000000000000E7
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C00000000000000000000000000000000000A7
:1089D0000000000000000000000000000000000097
:1089E0000000000000000000000000000000000087
:1089F0000000000000000000000000000000000077
:108A00000000000000000000000000000000000066
:108A10000000000000000000000000000000000056
:108A20000000000000000000000000000000000046
:108A30000000000000000000000000000000000036
:108A40000000000000000000000000000000000026
:108A50000000000000000000000000000000000016
:108A60000000000000000000000000000000000006
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A900000000000000000000000000000000000D6
:108AA00000000000000000000000000000000000C6
:108AB00000000000000000000000000000000000B6
:108AC00000000000000000000000000000000000A6
:108AD0000000000000000000000000000000000096
:108AE0000000000000000000000000000000000086
:108AF0000000000000000000000000000000000076
:108B00000000000000000000000000000000000065
:108B10000000000000000000000000000000000055
:108B20000000000000000000000000000000000045
:108B30000000000000000000000000000000000035
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000000000000000000000005
:108B700000000000000000000000000000000000F5
:108B800000000000000000000000000000000000E5
:108B900000000000000000000000000000000000D5
:108BA00000000000000000000000000000000000C5
:108BB00000000000000000000000000000000000B5
:108BC00000000000000000000000000000000000A5
:108BD0000000000000000000000000000000000095
:108BE0000000000000000000000000000000000085
:108BF0000000000000000000000000000000000075
:108C00000000000000000000000000000000000064
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C40000000000000000000000000000000000024
:108C50000000000000000000000000000000000014
:108C60000000000000000000000000000000000004
:108C700000000000000000000000000000000000F4
:108C800000000000000000000000000000000000E4
:108C900000000000000000000000000000000000D4
:108CA00000000000000000000000000000000000C4
:108CB00000000000000000000000000000000000B4
:108CC00000000000000000000000000000000000A4
:108CD0000000000000000000000000000000000094
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D10000000000000000000000000000000000053
:108D20000000000000000000000000000000000043
:108D30000000000000000000000000000000000033
:108D40000000000000000000000000000000000023
:108D50000000000000000000000000000000000013
:108D60000000000000000000000000000000000003
:108D700000000000000000000000000000000000F3
:108D800000000000000000000000000000000000E3
:108D900000000000000000000000000000000000D3
:108DA00000000000000000000000000000000000C3
:108DB00000000000000000000000000000000000B3
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000000093
:108DE0000000000000000000000000000000000083
:108DF0000000000000000000000000000000000073
:108E00000000000000000000000000000000000062
:108E10000000000000000000000000000000000052
:108E20000000000000000000000000000000000042
:108E30000000000000000000000000000000000032
:108E40000000000000000000000000000000000022
:108E50000000000000000000000000000000000012
:108E60000000000000000000000000000000000002
:108E700000000000000000000000000000000000F2
:108E800000000000000000000000000000000000E2
:108E900000000000000000000000000000000000D2
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000000000B2
:108EC00000000000000000000000000000000000A2
:108ED0000000000000000000000000000000000092
:108EE0000000000000000000000000000000000082
:108EF0000000000000000000000000000000000072
:108F00000000000000000000000000000000000061
:108F10000000000000000000000000000000000051
:108F20000000000000000000000000000000000041
:108F30000000000000000000000000000000000031
:108F40000000000000000000000000000000000021
:108F50000000000000000000000000000000000011
:108F60000000000000000000000000000000000001
:108F700000000000000000000000000000000000F1
:108F800000000000000000000000000000000000E1
:108F900000000000000000000000000000000000D1
:108FA00000000000000000000000000000000000C1
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000000000000000000000000091
:108FE0000000000000000000000000000000000081
:108FF0000000000000000000000000000000000071
:109000000000000000000000000000000000000060
:109010000000000000000000000000000000000050
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000000000000000000000000
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000000000000000000000D0
:1090A00000000000000000000000000000000000C0
:1090B00000000000000000000000000000000000B0
:1090C00000000000000000000000000000000000A0
:1090D0000000000000000000000000000000000090
:1090E0000000000000000000000000000000000080
:1090F0000000000000000000000000000000000070
:10910000000000000000000000000000000000005F
:10911000000000000000000000000000000000004F
:10912000000000000000000000000000000000003F
:10913000000000000000000000000000000000002F
:10914000000000000000000000000000000000001F
:10915000000000000000000000000000000000000F
:1091600000000000000000000000000000000000FF
:1091700000000000000000000000000000000000EF
:1091800000000000000000000000000000000000DF
:1091900000000000000000000000000000000000CF
:1091A00000000000000000000000000000000000BF
:1091B00000000000000000000000000000000000AF
:1091C000000000000000000000000000000000009F
:1091D000000000000000000000000000000000008F
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000000000000005E
:10921000000000000000000000000000000000004E
:10922000000000000000000000000000000000003E
:10923000000000000000000000000000000000002E
:10924000000000000000000000000000000000001E
:10925000000000000000000000000000000000000E
:1092600000000000000000000000000000000000FE
:1092700000000000000000000000000000000000EE
:1092800000000000000000000000000000000000DE
:1092900000000000000000000000000000000000CE
:1092A00000000000000000000000000000000000BE
:1092B00000000000000000000000000000000000AE
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000000000000000000000000007E
:1092F000000000000000000000000000000000006E
:10930000000000000000000000000000000000005D
:10931000000000000000000000000000000000004D
:10932000000000000000000000000000000000003D
:10933000000000000000000000000000000000002D
:10934000000000000000000000000000000000001D
:10935000000000000000000000000000000000000D
:1093600000000000000000000000000000000000FD
:1093700000000000000000000000000000000000ED
:1093800000000000000000000000000000000000DD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B00000000000000000000000000000000000AD
:1093C000000000000000000000000000000000009D
:1093D000000000000000000000000000000000008D
:1093E000000000000000000000000000000000007D
:1093F000000000000000000000000000000000006D
:10940000000000000000000000000000000000005C
:10941000000000000000000000000000000000004C
:10942000000000000000000000000000000000003C
:10943000000000000000000000000000000000002C
:10944000000000000000000000000000000000001C
:10945000000000000000000000000000000000000C
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000000000000000DC
:1094900000000000000000000000000000000000CC
:1094A00000000000000000000000000000000000BC
:1094B00000000000000000000000000000000000AC
:1094C000000000000000000000000000000000009C
:1094D000000000000000000000000000000000008C
:1094E000000000000000000000000000000000007C
:1094F000000000000000000000000000000000006C
:10950000000000000000000000000000000000005B
:10951000000000000000000000000000000000004B
:10952000000000000000000000000000000000003B
:10953000000000000000000000000000000000002B
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:1095600000000000000000000000000000000000FB
:1095700000000000000000000000000000000000EB
:1095800000000000000000000000000000000000DB
:1095900000000000000000000000000000000000CB
:1095A00000000000000000000000000000000000BB
:1095B00000000000000000000000000000000000AB
:1095C000000000000000000000000000000000009B
:1095D000000000000000000000000000000000008B
:1095E000000000000000000000000000000000007B
:1095F000000000000000000000000000000000006B
:10960000000000000000000000000000000000005A
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:10963000000000000000000000000000000000002A
:10964000000000000000000000000000000000001A
:10965000000000000000000000000000000000000A
:1096600000000000000000000000000000000000FA
:1096700000000000000000000000000000000000EA
:1096800000000000000000000000000000000000DA
:1096900000000000000000000000000000000000CA
:1096A00000000000000000000000000000000000BA
:1096B00000000000000000000000000000000000AA
:1096C000000000000000000000000000000000009A
:1096D000000000000000000000000000000000008A
:1096E000000000000000000000000000000000007A
:1096F000000000000000000000000000000000006A
:109700000000000000000000000000000000000059
:109710000000000000000000000000000000000049
:109720000000000000000000000000000000000039
:109730000000000000000000000000000000000029
:109740000000000000000000000000000000000019
:109750000000000000000000000000000000000009
:1097600000000000000000000000000000000000F9
:1097700000000000000000000000000000000000E9
:1097800000000000000000000000000000000000D9
:1097900000000000000000000000000000000000C9
:1097A00000000000000000000000000000000000B9
:1097B00000000000000000000000000000000000A9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000000000089
:1097E0000000000000000000000000000000000079
:1097F0000000000000000000000000000000000069
:109800000000000000000000000000000000000058
:109810000000000000000000000000000000000048
:109820000000000000000000000000000000000038
:109830000000000000000000000000000000000028
:109840000000000000000000000000000000000018
:109850000000000000000000000000000000000008
:1098600000000000000000000000000000000000F8
:1098700000000000000000000000000000000000E8
:1098800000000000000000000000000000000000D8
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B00000000000000000000000000000000000A8
:1098C0000000000000000000000000000000000098
:1098D0000000000000000000000000000000000088
:1098E0000000000000000000000000000000000078
:1098F0000000000000000000000000000000000068
:109900000000000000000000000000000000000057
:109910000000000000000000000000000000000047
:109920000000000000000000000000000000000037
:109930000000000000000000000000000000000027
:109940000000000000000000000000000000000017
:109950000000000000000000000000000000000007
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000000000000000000000000C7
:1099A00000000000000000000000000000000000B7
:1099B00000000000000000000000000000000000A7
:1099C0000000000000000000000000000000000097
:1099D0000000000000000000000000000000000087
:1099E0000000000000000000000000000000000077
:1099F0000000000000000000000000000000000067
:109A00000000000000000000000000000000000056
:109A10000000000000000000000000000000000046
:109A20000000000000000000000000000000000036
:109A30000000000000000000000000000000000026
:109A40000000000000000000000000000000000016
:109A50000000000000000000000000000000000006
:109A600000000000000000000000000000000000F6
:109A700000000000000000000000000000000000E6
:109A800000000000000000000000000000000000D6
:109A900000000000000000000000000000000000C6
:109AA00000000000000000000000000000000000B6
:109AB00000000000000000000000000000000000A6
:109AC0000000000000000000000000000000000096
:109AD0000000000000000000000000000000000086
:109AE0000000000000000000000000000000000076
:109AF0000000000000000000000000000000000066
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B30000000000000000000000000000000000025
:109B40000000000000000000000000000000000015
:109B50000000000000000000000000000000000005
:109B600000000000000000000000000000000000F5
:109B700000000000000000000000000000000000E5
:109B800000000000000000000000000000000000D5
:109B900000000000000000000000000000000000C5
:109BA00000000000000000000000000000000000B5
:109BB00000000000000000000000000000000000A5
:109BC0000000000000000000000000000000000095
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C00000000000000000000000000000000000054
:109C10000000000000000000000000000000000044
:109C20000000000000000000000000000000000034
:109C30000000000000000000000000000000000024
:109C40000000000000000000000000000000000014
:109C50000000000000000000000000000000000004
:109C600000000000000000000000000000000000F4
:109C700000000000000000000000000000000000E4
:109C800000000000000000000000000000000000D4
:109C900000000000000000000000000000000000C4
:109CA00000000000000000000000000000000000B4
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD0000000000000000000000000000000000084
:109CE0000000000000000000000000000000000074
:109CF0000000000000000000000000000000000064
:109D00000000000000000000000000000000000053
:109D10000000000000000000000000000000000043
:109D20000000000000000000000000000000000033
:109D30000000000000000000000000000000000023
:109D40000000000000000000000000000000000013
:109D50000000000000000000000000000000000003
:109D600000000000000000000000000000000000F3
:109D700000000000000000000000000000000000E3
:109D800000000000000000000000000000000000D3
:109D900000000000000000000000000000000000C3
:109DA00000000000000000000000000000000000B3
:109DB00000000000000000000000000000000000A3
:109DC0000000000000000000000000000000000093
:109DD0000000000000000000000000000000000083
:109DE0000000000000000000000000000000000073
:109DF0000000000000000000000000000000000063
:109E00000000000000000000000000000000000052
:109E10000000000000000000000000000000000042
:109E20000000000000000000000000000000000032
:109E30000000000000000000000000000000000022
:109E40000000000000000000000000000000000012
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E700000000000000000000000000000000000E2
:109E800000000000000000000000000000000000D2
:109E900000000000000000000000000000000000C2
:109EA00000000000000000000000000000000000B2
:109EB00000000000000000000000000000000000A2
:109EC0000000000000000000000000000000000092
:109ED0000000000000000000000000000000000082
:109EE0000000000000000000000000000000000072
:109EF0000000000000000000000000000000000062
:109F00000000000000000000000000000000000051
:109F10000000000000000000000000000000000041
:109F20000000000000000000000000000000000031
:109F30000000000000000000000000000000000021
:109F40000000000000000000000000000000000011
:109F50000000000000000000000000000000000001
:109F600000000000000000000000000000000000F1
:109F700000000000000000000000000000000000E1
:109F800000000000000000000000000000000000D1
:109F90000000000000000000000000000000FDB311
:109FA000210BC022EC0000003A84C70E0000CCDD7B
:109FB0008C5823F2FA01008D1823B67711000000A7
:109FC00000F0FF0300000000F0FF030000000000AD
:109FD00000C0FF0F00000000C0FF0F0000000000E5
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A020000000000000000000000000000000000030
:10A030000000000000000000000000000000000020
:10A040000000000000000000000000000000000010
:10A050000000000000000000000000000000000000
:10A0600000000000000000000000000000000000F0
:10A070000000155E029E80CD7D0040829680476084
:10A08000000050BC9811F2A45A0100C81167D49185
:10A090000200000000F0FF0300000000F0FF0300DA
:10A0A0000000000000C0FF0F00000000C0FF0F0014
:10A0B00000000000000000000000000000000000A0
:10A0C0000000000000000000000000000000000090
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A10000000000000000000000000000000000004F
:10A11000000000000000000000000000000000003F
:10A12000000000000000000000000000000000002F
:10A13000000000000000000000000000000000001F
:10A140000000000000003F1F0684003D7800004230
:10A150008410BFFD0000F8B49163C4E8C10300108F
:10A160006284F8CF0208000000F0FF030000000046
:10A17000F0FF03000000000000C0FF0F000000001F
:10A18000C0FF0F0000000000000000000000000001
:10A1900000000000000000000000000000000000BF
:10A1A00000000000000000000000000000000000AF
:10A1B000000000000000000000000000000000009F
:10A1C000000000000000000000000000000000008F
:10A1D000000000000000000000000000000000007F
:10A1E000000000000000000000000000000000006F
:10A1F000000000000000000000000000000000005F
:10A20000000000000000000000000000000000004E
:10A2100000000000000000000000BE7DD418315E88
:10A22000A80040A418217AD60002F0774156904445
:10A230009D010042D290D55E0B08000000F0FF03A4
:10A2400000000000F0FF03000000000000C0FF0F4E
:10A2500000000000C0FF0F00000000000000000030
:10A2600000000000000000000000000000000000EE
:10A2700000000000000000000000000000000000DE
:10A2800000000000000000000000000000000000CE
:10A2900000000000000000000000000000000000BE
:10A2A00000000000000000000000000000000000AE
:10A2B000000000000000000000000000000000009E
:10A2C000000000000000000000000000000000008E
:10A2D000000000000000000000000000000000007E
:10A2E000000000000000000000000000000015CA8F
:10A2F000E170901C0400C041F0515420000054341F
:10A300000146A01350000019448031C10000000034
:10A310000090CF1308000000F08F031C0000000025
:10A3200000403E4F20000000403CEE00381C000082
:10A33000000000000000000000000000000000001D
:10A34000000000000000000000000000000000000D
:10A3500000000000000000000000000000000000FD
:10A3600000000000000000000000000000000000ED
:10A3700000000000000000000000000000000000DD
:10A3800000000000000000000000000000000000CD
:10A3900000000000000000000000000000000000BD
:10A3A00000000000000000000000000000000000AD
:10A3B000000000000000000000000000000000009D
:10A3C000000001C7803108240200402010401C1406
:10A3D0000000101C078403614000000E852301501B
:10A3E000000000000090CF130800800190FF030CD4
:10A3F0000000000000403E4F20000000C0FF6F0042
:10A40000180C000000000000000000000000000028
:10A41000000000000000000000000000000000003C
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A44000000000000000000000000000000000000C
:10A4500000000000000000000000000000000000FC
:10A4600000000000000000000000000000000000EC
:10A4700000000000000000000000000000000000DC
:10A4800000000000000000000000000000000000CC
:10A49000000000000000958505E10158040080419E
:10A4A00020414C1A00003C048C00216118000084FB
:10A4B00004611020000000000090CF13080080C14C
:10A4C000F0CF030C0000000000403E4F20000006CB
:10A4D000433E6F00180C0000000000000000000068
:10A4E000000000000000000000000000000000006C
:10A4F000000000000000000000000000000000005C
:10A50000000000000000000000000000000000004B
:10A51000000000000000000000000000000000003B
:10A52000000000000000000000000000000000002B
:10A53000000000000000000000000000000000001B
:10A54000000000000000000000000000000000000B
:10A5500000000000000000000000000000000000FB
:10A56000000000000000000000000F012340481C14
:10A57000040000010000280C00002C208809203273
:10A58000C800008005E123C00000000000D0CF0B10
:10A59000080000C090FF030C0000000000403E4F88
:10A5A00020000006C3FF6F00180C00000000000030
:10A5B000000000000000000000000000000000009B
:10A5C000000000000000000000000000000000008B
:10A5D000000000000000000000000000000000007B
:10A5E000000000000000000000000000000000006B
:10A5F000000000000000000000000000000000005B
:10A60000000000000000000000000000000000004A
:10A61000000000000000000000000000000000003A
:10A62000000000000000000000000000000000002A
:10A63000000000000000000000000000000000001A
:10A64000000000000000000000000000000000000A
:10A6500000000000000000000000000000000000FA
:10A6600000F0FB0301000010F0FB030000000000FD
:10A6700000C0EF0704020000C0FF07000201000055
:10A6800000000000000000000000000000000000CA
:10A6900000000000000000000000000000000000BA
:10A6A00000000000000000000000000000000000AA
:10A6B000000000000000000000000000000000009A
:10A6C000000000000000000000000000000000008A
:10A6D000000000000000000000000000000000007A
:10A6E000000000000000000000000000000000006A
:10A6F000000000000000000000000000000000005A
:10A700000000000000000000000000000000000049
:10A710000000000000000000000000000000000039
:10A720000000000000000000000000000000000029
:10A730000000000000F0FF0100000000F8FB0381B2
:10A740000000000000C0FF0700020000E0FF0F0053
:10A750000280000000000000000000000000000077
:10A7600000000000000000000000000000000000E9
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A00000000000000000000000000000000000A9
:10A7B0000000000000000000000000000000000099
:10A7C0000000000000000000000000000000000089
:10A7D0000000000000000000000000000000000079
:10A7E0000000000000000000000000000000000069
:10A7F0000000000000000000000000000000000059
:10A80000000000000000000000F0FB0181000000DB
:10A81000F8FF03800000000000C0FF0700020000F6
:10A82000E0FF070000000000000000000000000042
:10A830000000000000000000000000000000000018
:10A840000000000000000000000000000000000008
:10A8500000000000000000000000000000000000F8
:10A8600000000000000000000000000000000000E8
:10A8700000000000000000000000000000000000D8
:10A8800000000000000000000000000000000000C8
:10A8900000000000000000000000000000000000B8
:10A8A00000000000000000000000000000000000A8
:10A8B0000000000000000000000000000000000098
:10A8C0000000000000000000000000000000000088
:10A8D00000000000000000000000000000F0FB018C
:10A8E00080000010F8FF03010020000000C0EF0707
:10A8F00004000000E0EF070002010000000000007B
:10A900000000000000000000000000000000000047
:10A910000000000000000000000000000000000037
:10A920000000000000000000000000000000000027
:10A930000000000000000000000000000000000017
:10A940000000000000000000000000000000000007
:10A9500000000000000000000000000000000000F7
:10A9600000000000000000000000000000000000E7
:10A9700000000000000000000000000000000000D7
:10A9800000000000000000000000000000000000C7
:10A9900000000000000000000000000000000000B7
:10A9A00000000000000000000000000000000000A7
:10A9B00000E0F70302004000F0F70702000000008B
:10A9C0000080DF1F08000080C0DF1F0804020000B5
:10A9D0000000000000000000000000000000000077
:10A9E0000000000000000000000000000000000067
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000000000000046
:10AA10000000000000000000000000000000000036
:10AA20000000000000000000000000000000000026
:10AA30000000000000000000000000000000000016
:10AA40000000000000000000000000000000000006
:10AA500000000000000000000000000000000000F6
:10AA600000000000000000000000000000000000E6
:10AA700000000000000000000000000000000000D6
:10AA80000000000000F0FF0300000000F0FF0300E2
:10AA90000000000000C0FF0F00000000C0FF0F001A
:10AAA00000020000000000000000000000000000A4
:10AAB0000000000000000000000000000000000096
:10AAC0000000000000000000000000000000000086
:10AAD0000000000000000000000000000000000076
:10AAE0000000000000000000000000000000000066
:10AAF0000000000000000000000000000000000056
:10AB00000000000000000000000000000000000045
:10AB10000000000000000000000000000000000035
:10AB20000000000000000000000000000000000025
:10AB30000000000000000000000000000000000015
:10AB40000000000000000000000000000000000005
:10AB5000000000000000000000F0FF030000000003
:10AB6000F0FF03000000000000C0FF0F0000000025
:10AB7000C0FF0F0000000000000000000000000007
:10AB800000000000000000000000000000000000C5
:10AB900000000000000000000000000000000000B5
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000000000000000000095
:10ABC0000000000000000000000000000000000085
:10ABD0000000000000000000000000000000000075
:10ABE0000000000000000000000000000000000065
:10ABF0000000000000000000000000000000000055
:10AC00000000000000000000000000000000000044
:10AC10000000000000000000000000000000000034
:10AC200000000000000000000000000000F0FF0332
:10AC300000000000F0FF03000000000000C0FF0F54
:10AC400000000000C0FF0F00000000000000000036
:10AC500000000000000000000000000000000000F4
:10AC600000000000000000000000000000000000E4
:10AC700000000000000000000000000000000000D4
:10AC800000000000000000000000000000000000C4
:10AC900000000000000000000000000000000000B4
:10ACA00000000000000000000000000000000000A4
:10ACB0000000000000000000000000000000000094
:10ACC0000000000000000000000000000000000084
:10ACD0000000000000000000000000000000000074
:10ACE0000000000000000000000000000000000064
:10ACF0000000000000000000000000000000000054
:10AD000000F0FF0300000000F0FF0300000000005F
:10AD100000C0FF0F00000000C0FF0F000000000097
:10AD20000000000000000000000000000000000023
:10AD30000000000000000000000000000000000013
:10AD40000000000000000000000000000000000003
:10AD500000000000000000000000000000000000F3
:10AD600000000000000000000000000000000000E3
:10AD700000000000000000000000000000000000D3
:10AD800000000000000000000000000000000000C3
:10AD900000000000000000000000000000000000B3
:10ADA00000000000000000000000000000000000A3
:10ADB0000000000000000000000000000000000093
:10ADC0000000000000000000000000000000000083
:10ADD0000000000000F0FF0300000000F0FF03008F
:10ADE0000000000000C0FF0F00000000C0FF0F00C7
:10ADF0000000000000000000000000000000000053
:10AE00000000000000000000000000000000000042
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE30000000000000000000000000000000000012
:10AE40000000000000000000000000000000000002
:10AE500000000000000000000000000000000000F2
:10AE600000000000000000000000000000000000E2
:10AE700000000000000000000000000000000000D2
:10AE800000000000000000000000000000000000C2
:10AE900000000000000000000000000000000000B2
:10AEA000000000000000000000F0FF0300000000B0
:10AEB000F0FF03000000000000C0FF0F00000000D2
:10AEC000C0FF0F00000000000000000000000000B4
:10AED0000000000000000000000000000000000072
:10AEE0000000000000000000000000000000000062
:10AEF0000000000000000000000000000000000052
:10AF00000000000000000000000000000000000041
:10AF10000000000000000000000000000000000031
:10AF20000000000000000000000000000000000021
:10AF30000000000000000000000000000000000011
:10AF40000000000000000000000000000000000001
:10AF500000000000000000000000000000000000F1
:10AF600000000000000000000000000000000000E1
:10AF700000000000000000000000000000F0FF03DF
:10AF800000000000F0FF03000000000000C0FF0F01
:10AF900000000000C0FF0F000000000000000000E3
:10AFA00000000000000000000000000000000000A1
:10AFB0000000000000000000000000000000000091
:10AFC0000000000000000000000000000000000081
:10AFD0000000000000000000000000000000000071
:10AFE0000000000000000000000000000000000061
:10AFF0000000000000000000000000000000000051
:10B000000000000000000000000000000000000040
:10B010000000000000000000000000000000000030
:10B020000000000000000000000000000000000020
:10B030000000000000000000000000000000000010
:10B040000000000000000000000000000000000000
:10B0500000F0FF0300000000F0FF0300000000000C
:10B0600000C0FF0F00000000C0FF0F000000000044
:10B0700000000000000000000000000000000000D0
:10B0800000000000000000000000000000000000C0
:10B0900000000000000000000000000000000000B0
:10B0A00000000000000000000000000000000000A0
:10B0B0000000000000000000000000000000000090
:10B0C0000000000000000000000000000000000080
:10B0D0000000000000000000000000000000000070
:10B0E0000000000000000000000000000000000060
:10B0F0000000000000000000000000000000000050
:10B10000000000000000000000000000000000003F
:10B11000000000000000000000000000000000002F
:10B120000000000000F0FF0300000000F0FF03003B
:10B130000000000000C0FF0F00000000C0FF0F0073
:10B1400000000000000000000000000000000000FF
:10B1500000000000000000000000000000000000EF
:10B1600000000000000000000000000000000000DF
:10B1700000000000000000000000000000000000CF
:10B1800000000000000000000000000000000000BF
:10B1900000000000000000000000000000000000AF
:10B1A000000000000000000000000000000000009F
:10B1B000000000000000000000000000000000008F
:10B1C000000000000000000000000000000000007F
:10B1D000000000000000000000000000000000006F
:10B1E000000000000000000000000000000000005F
:10B1F000000000000000000000F0FF03000000005D
:10B20000F0FF03000000000000C0FF0F000000007E
:10B21000C0FF0F0000000000000000000000000060
:10B22000000000000000000000000000000000001E
:10B23000000000000000000000000000000000000E
:10B2400000000000000000000000000000000000FE
:10B2500000000000000000000000000000000000EE
:10B2600000000000000000000000000000000000DE
:10B2700000000000000000000000000000000000CE
:10B2800000000000000000000000000000000000BE
:10B2900000000000000000000000000000000000AE
:10B2A000000000000000000000000000000000009E
:10B2B000000000000000000000000000000000008E
:10B2C00000000000000000000000000000F0FF038C
:10B2D00000000000F0FF03000000000000C0FF0FAE
:10B2E00000000000C0FF0F00000000000000000090
:10B2F000000000000000000000000000000000004E
:10B30000000000000000000000000000000000003D
:10B31000000000000000000000000000000000002D
:10B32000000000000000000000000000000000001D
:10B33000000000000000000000000000000000000D
:10B3400000000000000000000000000000000000FD
:10B3500000000000000000000000000000000000ED
:10B3600000000000000000000000000000000000DD
:10B3700000000000000000000000000000000000CD
:10B3800000000000000000000000000000000000BD
:10B3900000000000000000000000000000000000AD
:10B3A00000F0FF0300000000F0FF030000000000B9
:10B3B00000C0FF0F00000000C0FF0F0000000000F1
:10B3C000000000000000000000000000000000007D
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B40000000000000000000000000000000000003C
:10B41000000000000000000000000000000000002C
:10B42000000000000000000000000000000000001C
:10B43000000000000000000000000000000000000C
:10B4400000000000000000000000000000000000FC
:10B4500000000000000000000000000000000000EC
:10B4600000000000000000000000000000000000DC
:10B470000000000000F0FF0300000000F0FF0300E8
:10B480000000000000C0FF0F00000000C0FF0F0020
:10B4900000000000000000000000000000000000AC
:10B4A000000000000000000000000000000000009C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000000000000000005C
:10B4F000000000000000000000000000000000004C
:10B50000000000000000000000000000000000003B
:10B51000000000000000000000000000000000002B
:10B52000000000000000000000000000000000001B
:10B53000000000000000000000000000000000000B
:10B54000000000000000000000F0FF030000000009
:10B55000F0FF03000000000000C0FF0F000000002B
:10B56000C0FF0F000000000000000000000000000D
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B5900000000000000000000000000000000000AB
:10B5A000000000000000000000000000000000009B
:10B5B000000000000000000000000000000000008B
:10B5C000000000000000000000000000000000007B
:10B5D000000000000000000000000000000000006B
:10B5E000000000000000000000000000000000005B
:10B5F000000000000000000000000000000000004B
:10B60000000000000000000000000000000000003A
:10B6100000000000000000000000000000F0FF0338
:10B6200000000000F0FF03000000000000C0FF0F5A
:10B6300000000000C0FF0F0000000000000000003C
:10B6400000000000000000000000000000000000FA
:10B6500000000000000000000000000000000000EA
:10B6600000000000000000000000000000000000DA
:10B6700000000000000000000000000000000000CA
:10B6800000000000000000000000000000000000BA
:10B6900000000000000000000000000000000000AA
:10B6A000000000000000000000000000000000009A
:10B6B000000000000000000000000000000000008A
:10B6C000000000000000000000000000000000007A
:10B6D000000000000000000000000000000000006A
:10B6E000000000000000000000000000000000005A
:10B6F00000F0F90000000000F0F900000000000078
:10B7000000C0E70300000000C0E7030000000000E5
:10B710000000000000000000000000000000000029
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B7400000000000000000000000000000000000F9
:10B7500000000000000000000000000000000000E9
:10B7600000000000000000000000000000000000D9
:10B7700000000000000000000000000000000000C9
:10B7800000000000000000000000000000000000B9
:10B7900000000000000000000000000000000000A9
:10B7A0000000000000000000000000000000000099
:10B7B0000000000000000000000000000000000089
:10B7C0000000000000F0F90000000000F0F90000A7
:10B7D0000000000000C0E70300000000C0E7030015
:10B7E0000000000000000000000000000000000059
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000000000000000028
:10B820000000000000000000000000000000000018
:10B830000000000000000000000000000000000008
:10B8400000000000000000000000000000000000F8
:10B8500000000000000000000000000000000000E8
:10B8600000000000000000000000000000000000D8
:10B8700000000000000000000000000000000000C8
:10B8800000000000000000000000000000000000B8
:10B89000000000000000000000F0F90000000000BF
:10B8A000F0F900000000000000C0E7030000000005
:10B8B000C0E70300000000000000000000000000DE
:10B8C0000000000000000000000000000000000078
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000000058
:10B8F0000000000000000000000000000000000048
:10B900000000000000000000000000000000000037
:10B910000000000000000000000000000000000027
:10B920000000000000000000000000000000000017
:10B930000000000000000000000000000000000007
:10B9400000000000000000000000000000000000F7
:10B9500000000000000000000000000000000000E7
:10B9600000000000000000000000000000F0F900EE
:10B9700000000000F0F900000000000000C0E70334
:10B9800000000000C0E7030000000000000000000D
:10B9900000000000000000000000000000000000A7
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000000000000000077
:10B9D0000000000000000000000000000000000067
:10B9E0000000000000000000000000000000000057
:10B9F0000000000000000000000000000000000047
:10BA00000000000000000000000000000000000036
:10BA10000000000000000000000000000000000026
:10BA20000000000000000000000000000000000016
:10BA30000000000000000000000000000000000006
:10BA400000000000000000000000000000000000F6
:10BA500000000000000000000000000000000000E6
:10BA600000000000000000000000000000000000D6
:10BA700000000000000000000000000000000000C6
:10BA800000000000000000000000000000000000B6
:10BA900000000000000000000000000000000000A6
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC0000000000000000000000000000000000076
:10BAD0000000000000000000000000000000000066
:10BAE0000000000000000000000000000000000056
:10BAF0000000000000000000000000000000000046
:10BB00000000000000000000000000000000000035
:10BB10000000000000000000000000000000000025
:10BB20000000000000000000000000000000000015
:10BB30000000000000000000000000000000000005
:10BB400000000000000000000000000000000000F5
:10BB500000000000000000000000000000000000E5
:10BB600000000000000000000000000000000000D5
:10BB700000000000000000000000000000000000C5
:10BB800000000000000000000000000000000000B5
:10BB900000000000000000000000000000000000A5
:10BBA0000000000000000000000000000000000095
:10BBB0000000000000000000000000000000000085
:10BBC0000000000000000000000000000000000075
:10BBD0000000000000000000000000000000000065
:10BBE0000000000000000000000000000000000055
:10BBF0000000000000000000000000000000000045
:10BC00000000000000000000000000000000000034
:10BC10000000000000000000000000000000000024
:10BC20000000000000000000000000000000000014
:10BC30000000000000000000000000000000000004
:10BC400000000000000000000000000000000000F4
:10BC500000000000000000000000000000000000E4
:10BC600000000000000000000000000000000000D4
:10BC700000000000000000000000000000000000C4
:10BC800000000000000000000000000000000000B4
:10BC900000000000000000000000000000000000A4
:10BCA0000000000000000000000000000000000094
:10BCB0000000000000000000000000000000000084
:10BCC0000000000000000000000000000000000074
:10BCD0000000000000000000000000000000000064
:10BCE0000000000000000000000000000000000054
:10BCF0000000000000000000000000000000000044
:10BD00000000000000000000000000000000000033
:10BD10000000000000000000000000000000000023
:10BD20000000000000000000000000000000000013
:10BD30000000000000000000000000000000000003
:10BD400000000000000000000000000000000000F3
:10BD500000000000000000000000000000000000E3
:10BD600000000000000000000000000000000000D3
:10BD700000000000000000000000000000000000C3
:10BD800000000000000000000000000000000000B3
:10BD900000000000000000000000000000000000A3
:10BDA0000000000000000000000000000000000093
:10BDB0000000000000000000000000000000000083
:10BDC0000000000000000000000000000000000073
:10BDD0000000000000000000000000000000000063
:10BDE0000000000000000000000000000000000053
:10BDF0000000000000000000000000000000000043
:10BE00000000000000000000000000000000000032
:10BE10000000000000000000000000000000000022
:10BE20000000000000000000000000000000000012
:10BE30000000000000000000000000000000000002
:10BE400000000000000000000000000000000000F2
:10BE500000000000000000000000000000000000E2
:10BE600000000000000000000000000000000000D2
:10BE700000000000000000000000000000000000C2
:10BE800000000000000000000000000000000000B2
:10BE900000000000000000000000000000000000A2
:10BEA0000000000000000000000000000000000092
:10BEB0000000000000000000000000000000000082
:10BEC0000000000000000000000000000000000072
:10BED0000000000000000000000000000000000062
:10BEE0000000000000000000000000000000000052
:10BEF0000000000000000000000000000000000042
:10BF00000000000000000000000000000000000031
:10BF10000000000000000000000000000000000021
:10BF20000000000000000000000000000000000011
:10BF30000000000000000000000000000000000001
:10BF400000000000000000000000000000000000F1
:10BF500000000000000000000000000000000000E1
:10BF600000000000000000000000000000000000D1
:10BF700000000000000000000000000000000000C1
:10BF800000000000000000000000000000000000B1
:10BF900000000000000000000000000000000000A1
:10BFA0000000000000000000000000000000000091
:10BFB0000000000000000000000000000000000081
:10BFC0000000000000000000000000000000000071
:10BFD0000000000000000000000000000000000061
:10BFE0000000000000000000000000000000000051
:10BFF0000000000000000000000000000000000041
:10C000000000000000000000000000000000000030
:10C010000000000000000000000000000000000020
:10C020000000000000000000000000000000000010
:10C030000000000000000000000000000000000000
:10C0400000000000000000000000000000000000F0
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000000000D0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C0900000000000000000000000000000000000A0
:10C0A0000000000000000000000000000000000090
:10C0B0000000000000000000000000000000000080
:10C0C0000000000000000000000000000000000070
:10C0D0000000000000000000000000000000000060
:10C0E0000000000000000000000000000000000050
:10C0F0000000000000000000000000000000000040
:10C10000000000000000000000000000000000002F
:10C11000000000000000000000000000000000001F
:10C12000000000000000000000000000000000000F
:10C1300000000000000000000000000000000000FF
:10C1400000000000000000000000000000000000EF
:10C1500000000000000000000000000000000000DF
:10C1600000000000000000000000000000000000CF
:10C1700000000000000000000000000000000000BF
:10C1800000000000000000000000000000000000AF
:10C19000000000000000000000000000000000009F
:10C1A000000000000000000000000000000000008F
:10C1B000000000000000000000000000000000007F
:10C1C000000000000000000000000000000000006F
:10C1D000000000000000000000000000000000005F
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C20000000000000000000000000000000000002E
:10C21000000000000000000000000000000000001E
:10C22000000000000000000000000000000000000E
:10C2300000000000000000000000000000000000FE
:10C2400000000000000000000000000000000000EE
:10C2500000000000000000000000000000000000DE
:10C2600000000000000000000000000000000000CE
:10C2700000000000000000000000000000000000BE
:10C2800000000000000000000000000000000000AE
:10C29000000000000000000000000000000000009E
:10C2A000000000000000000000000000000000008E
:10C2B000000000000000000000000000000000007E
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000000000000000000000000000000004E
:10C2F000000000000000000000000000000000003E
:10C30000000000000000000000000000000000002D
:10C31000000000000000000000000000000000001D
:10C32000000000000000000000000000000000000D
:10C3300000000000000000000000000000000000FD
:10C3400000000000000000000000000000000000ED
:10C3500000000000000000000000000000000000DD
:10C3600000000000000000000000000000000000CD
:10C3700000000000000000000000000000000000BD
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B000000000000000000000000000000000007D
:10C3C000000000000000000000000000000000006D
:10C3D000000000000000000000000000000000005D
:10C3E000000000000000000000000000000000004D
:10C3F000000000000000000000000000000000003D
:10C40000000000000000000000000000000000002C
:10C41000000000000000000000000000000000001C
:10C42000000000000000000000000000000000000C
:10C4300000000000000000000000000000000000FC
:10C4400000000000000000000000000000000000EC
:10C4500000000000000000000000000000000000DC
:10C4600000000000000000000000000000000000CC
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B000000000000000000000000000000000007C
:10C4C000000000000000000000000000000000006C
:10C4D000000000000000000000000000000000005C
:10C4E000000000000000000000000000000000004C
:10C4F000000000000000000000000000000000003C
:10C50000000000000000000000000000000000002B
:10C51000000000000000000000000000000000001B
:10C52000000000000000000000000000000000000B
:10C5300000000000000000000000000000000000FB
:10C5400000000000000000000000000000000000EB
:10C5500000000000000000000000000000000000DB
:10C5600000000000000000000000000000000000CB
:10C5700000000000000000000000000000000000BB
:10C5800000000000000000000000000000000000AB
:10C59000000000000000000000000000000000009B
:10C5A000000000000000000000000000000000008B
:10C5B000000000000000000000000000000000007B
:10C5C000000000000000000000000000000000006B
:10C5D000000000000000000000000000000000005B
:10C5E000000000000000000000000000000000004B
:10C5F000000000000000000000000000000000003B
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000000000000000FA
:10C6400000000000000000000000000000000000EA
:10C6500000000000000000000000000000000000DA
:10C6600000000000000000000000000000000000CA
:10C6700000000000000000000000000000000000BA
:10C6800000000000000000000000000000000000AA
:10C69000000000000000000000000000000000009A
:10C6A000000000000000000000000000000000008A
:10C6B000000000000000000000000000000000007A
:10C6C000000000000000000000000000000000006A
:10C6D000000000000000000000000000000000005A
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C700000000000000000000000000000000000029
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000009
:10C7300000000000000000000000000000000000F9
:10C7400000000000000000000000000000000000E9
:10C7500000000000000000000000000000000000D9
:10C7600000000000000000000000000000000000C9
:10C7700000000000000000000000000000000000B9
:10C7800000000000000000000000000000000000A9
:10C790000000000000000000000000000000000099
:10C7A0000000000000000000000000000000000089
:10C7B0000000000000000000000000000000000079
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000000000000000000000000000000049
:10C7F0000000000000000000000000000000000039
:10C800000000000000000000000000000000000028
:10C810000000000000000000000000000000000018
:10C820000000000000000000000000000000000008
:10C8300000000000000000000000000000000000F8
:10C8400000000000000000000000000000000000E8
:10C8500000000000000000000000000000000000D8
:10C8600000000000000000000000000000000000C8
:10C8700000000000000000000000000000000000B8
:10C8800000000000000000000000000000000000A8
:10C890000000000000000000000000000000000098
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000000000000000000000078
:10C8C0000000000000000000000000000000000068
:10C8D0000000000000000000000000000000000058
:10C8E0000000000000000000000000000000000048
:10C8F0000000000000000000000000000000000038
:10C900000000000000000000000000000000000027
:10C910000000000000000000000000000000000017
:10C920000000000000000000000000000000000007
:10C9300000000000000000000000000000000000F7
:10C9400000000000000000000000000000000000E7
:10C9500000000000000000000000000000000000D7
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C990000000000000000000000000000000000097
:10C9A0000000000000000000000000000000000087
:10C9B0000000000000000000000000000000000077
:10C9C0000000000000000000000000000000000067
:10C9D0000000000000000000000000000000000057
:10C9E0000000000000000000000000000000000047
:10C9F0000000000000000000000000000000000037
:10CA00000000000000000000000000000000000026
:10CA10000000000000000000000000000000000016
:10CA20000000000000000000000000000000000006
:10CA300000000000000000000000000000000000F6
:10CA400000000000000000000000000000000000E6
:10CA500000000000000000000000000000000000D6
:10CA600000000000000000000000000000000000C6
:10CA700000000000000000000000000000000000B6
:10CA800000000000000000000000000000000000A6
:10CA90000000000000000000000000000000000096
:10CAA0000000000000000000000000000000000086
:10CAB0000000000000000000000000000000000076
:10CAC0000000000000000000000000000000000066
:10CAD0000000000000000000000000000000000056
:10CAE0000000000000000000000000000000000046
:10CAF0000000000000000000000000000000000036
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB500000000000000000000000000000000000D5
:10CB600000000000000000000000000000000000C5
:10CB700000000000000000000000000000000000B5
:10CB800000000000000000000000000000000000A5
:10CB90000000000000000000000000000000000095
:10CBA0000000000000000000000000000000000085
:10CBB0000000000000000000000000000000000075
:10CBC0000000000000000000000000000000000065
:10CBD0000000000000000000000000000000000055
:10CBE0000000000000000000000000000000000045
:10CBF0000000000000000000000000000000000035
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC20000000000000000000000000000000000004
:10CC300000000000000000000000000000000000F4
:10CC400000000000000000000000000000000000E4
:10CC500000000000000000000000000000000000D4
:10CC600000000000000000000000000000000000C4
:10CC700000000000000000000000000000000000B4
:10CC800000000000000000000000000000000000A4
:10CC90000000000000000000000000000000000094
:10CCA0000000000000000000000000000000000084
:10CCB0000000000000000000000000000000000074
:10CCC0000000000000000000000000000000000064
:10CCD0000000000000000000000000000000000054
:10CCE0000000000000000000000000000000000044
:10CCF0000000000000000000000000000000000034
:10CD00000000000000000000000000000000000023
:10CD10000000000000000000000000000000000013
:10CD20000000000000000000000000000000000003
:10CD300000000000000000000000000000000000F3
:10CD400000000000000000000000000000000000E3
:10CD500000000000000000000000000000000000D3
:10CD600000000000000000000000000000000000C3
:10CD700000000000000000000000000000000000B3
:10CD800000000000000000000000000000000000A3
:10CD90000000000000000000000000000000000093
:10CDA0000000000000000000000000000000000083
:10CDB0000000000000000000000000000000000073
:10CDC0000000000000000000000000000000000063
:10CDD0000000000000000000000000000000000053
:10CDE0000000000000000000000000000000000043
:10CDF0000000000000000000000000000000000033
:10CE00000000000000000000000000000000000022
:10CE10000000000000000000000000000000000012
:10CE20000000000000000000000000000000000002
:10CE300000000000000000000000000000000000F2
:10CE400000000000000000000000000000000000E2
:10CE500000000000000000000000000000000000D2
:10CE600000000000000000000000000000000000C2
:10CE700000000000000000000000000000000000B2
:10CE800000000000000000000000000000000000A2
:10CE90000000000000000000000000000000000092
:10CEA0000000000000000000000000000000000082
:10CEB0000000000000000000000000000000000072
:10CEC0000000000000000000000000000000000062
:10CED0000000000000000000000000000000000052
:10CEE0000000000000000000000000000000000042
:10CEF0000000000000000000000000000000000032
:10CF00000000000000000000000000000000000021
:10CF10000000000000000000000000000000000011
:10CF20000000000000000000000000000000000001
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF500000000000000000000000000000000000D1
:10CF600000000000000000000000000000000000C1
:10CF700000000000000000000000000000000000B1
:10CF800000000000000000000000000000000000A1
:10CF90000000000000000000000000000000000091
:10CFA0000000000000000000000000000000000081
:10CFB0000000000000000000000000000000000071
:10CFC0000000000000000000000000000000000061
:10CFD0000000000000000000000000000000000051
:10CFE0000000000000000000000000000000000041
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000000000020
:10D010000000000000000000000000000000000010
:10D020000000000000000000000000000000000000
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000000000000000000E0
:10D0500000000000000000000000000000000000D0
:10D0600000000000000000000000000000000000C0
:10D0700000000000000000000000000000000000B0
:10D0800000000000000000000000000000000000A0
:10D090000000000000000000000000000000000090
:10D0A0000000000000000000000000000000000080
:10D0B0000000000000000000000000000000000070
:10D0C0000000000000000000000000000000000060
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000000000000001F
:10D11000000000000000000000000000000000000F
:10D1200000000000000000000000000000000000FF
:10D1300000000000000000000000000000000000EF
:10D1400000000000000000000000000000000000DF
:10D1500000000000000000000000000000000000CF
:10D1600000000000000000000000000000000000BF
:10D1700000000000000000000000000000000000AF
:10D18000000000000000000000000000000000009F
:10D19000000000000000000000000000000000008F
:10D1A000000000000000000000000000000000007F
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D000000000000000000000000000000000004F
:10D1E000000000000000000000000000000000003F
:10D1F000000000000000000000000000000000002F
:10D20000000000000000000000000000000000001E
:10D21000000000000000000000000000000000000E
:10D2200000000000000000000000000000000000FE
:10D2300000000000000000000000000000000000EE
:10D2400000000000000000000000000000000000DE
:10D2500000000000000000000000000000000000CE
:10D2600000000000000000000000000000000000BE
:10D2700000000000000000000000000000000000AE
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A000000000000000000000000000000000007E
:10D2B000000000000000000000000000000000006E
:10D2C000000000000000000000000000000000005E
:10D2D000000000000000000000000000000000004E
:10D2E000000000000000000000000000000000003E
:10D2F000000000000000000000000000000000002E
:10D30000000000000000000000000000000000001D
:10D31000000000000000000000000000000000000D
:10D3200000000000000000000000000000000000FD
:10D3300000000000000000000000000000000000ED
:10D3400000000000000000000000000000000000DD
:10D3500000000000000000000000000000000000CD
:10D3600000000000000000000000000000000000BD
:10D3700000000000000000000000000000000000AD
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000000008D
:10D3A000000000000000000000000000000000007D
:10D3B000000000000000000000000000000000006D
:10D3C000000000000000000000000000000000005D
:10D3D000000000000000000000000000000000004D
:10D3E000000000000000000000000000000000003D
:10D3F000000000000000000000000000000000002D
:10D40000000000000000000000000000000000001C
:10D41000000000000000000000000000000000000C
:10D4200000000000000000000000000000000000FC
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000000000000000000000000000000000AC
:10D48000000000000000000000000000000000009C
:10D49000000000000000000000000000000000008C
:10D4A000000000000000000000000000000000007C
:10D4B000000000000000000000000000000000006C
:10D4C000000000000000000000000000000000005C
:10D4D000000000000000000000000000000000004C
:10D4E000000000000000000000000000000000003C
:10D4F000000000000000000000000000000000002C
:10D50000000000000000000000000000000000001B
:10D51000000000000000000000000000000000000B
:10D5200000000000000000000000000000000000FB
:10D5300000000000000000000000000000000000EB
:10D5400000000000000000000000000000000000DB
:10D5500000000000000000000000000000000000CB
:10D5600000000000000000000000000000000000BB
:10D5700000000000000000000000000000000000AB
:10D58000000000000000000000000000000000009B
:10D59000000000000000000000000000000000008B
:10D5A000000000000000000000000000000000007B
:10D5B000000000000000000000000000000000006B
:10D5C000000000000000000000000000000000005B
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D61000000000000000000000000000000000000A
:10D6200000000000000000000000000000000000FA
:10D6300000000000000000000000000000000000EA
:10D6400000000000000000000000000000000000DA
:10D6500000000000000000000000000000000000CA
:10D6600000000000000000000000000000000000BA
:10D6700000000000000000000000000000000000AA
:10D68000000000000000000000000000000000009A
:10D69000000000000000000000000000000000008A
:10D6A000000000000000000000000000000000007A
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000003A
:10D6F000000000000000000000000000000000002A
:10D700000000000000000000000000000000000019
:10D710000000000000000000000000000000000009
:10D7200000000000000000000000000000000000F9
:10D7300000000000000000000000000000000000E9
:10D7400000000000000000000000000000000000D9
:10D7500000000000000000000000000000000000C9
:10D7600000000000000000000000000000000000B9
:10D7700000000000000000000000000000000000A9
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B0000000000000000000000000000000000069
:10D7C0000000000000000000000000000000000059
:10D7D0000000000000000000000000000000000049
:10D7E0000000000000000000000000000000000039
:10D7F0000000000000000000000000000000000029
:10D800000000000000000000000000000000000018
:10D810000000000000000000000000000000000008
:10D8200000000000000000000000000000000000F8
:10D8300000000000000000000000000000000000E8
:10D8400000000000000000000000000000000000D8
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000000000000000000000000088
:10D8A0000000000000000000000000000000000078
:10D8B0000000000000000000000000000000000068
:10D8C0000000000000000000000000000000000058
:10D8D0000000000000000000000000000000000048
:10D8E0000000000000000000000000000000000038
:10D8F0000000000000000000000000000000000028
:10D900000000000000000000000000000000000017
:10D910000000000000000000000000000000000007
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000000000000000000000C7
:10D9600000000000000000000000000000000000B7
:10D9700000000000000000000000000000000000A7
:10D980000000000000000000000000000000000097
:10D990000000000000000000000000000000000087
:10D9A0000000000000000000000000000000000077
:10D9B0000000000000000000000000000000000067
:10D9C0000000000000000000000000000000000057
:10D9D0000000000000000000000000000000000047
:10D9E0000000000000000000000000000000000037
:10D9F0000000000000000000000000000000000027
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA300000000000000000000000000000000000E6
:10DA400000000000000000000000000000000000D6
:10DA500000000000000000000000000000000000C6
:10DA600000000000000000000000000000000000B6
:10DA700000000000000000000000000000000000A6
:10DA80000000000000000000000000000000000096
:10DA90000000000000000000000000000000000086
:10DAA0000000000000000000000000000000000076
:10DAB0000000000000000000000000000000000066
:10DAC0000000000000000000000000000000000056
:10DAD0000000000000000000000000000000000046
:10DAE0000000000000000000000000000000000036
:10DAF0000000000000000000000000000000000026
:10DB00000000000000000000000000000000000015
:10DB10000000000000000000000000000000000005
:10DB200000000000000000000000000000000000F5
:10DB300000000000000000000000000000000000E5
:10DB400000000000000000000000000000000000D5
:10DB500000000000000000000000000000000000C5
:10DB600000000000000000000000000000000000B5
:10DB700000000000000000000000000000000000A5
:10DB80000000000000000000000000000000000095
:10DB90000000000000000000000000000000000085
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC0000000000000000000000000000000000055
:10DBD0000000000000000000000000000000000045
:10DBE0000000000000000000000000000000000035
:10DBF0000000000000000000000000000000000025
:10DC00000000000000000000000000000000000014
:10DC10000000000000000000000000000000000004
:10DC200000000000000000000000000000000000F4
:10DC300000000000000000000000000000000000E4
:10DC400000000000000000000000000000000000D4
:10DC500000000000000000000000000000000000C4
:10DC600000000000000000000000000000000000B4
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000000000000000000094
:10DC90000000000000000000000000000000000084
:10DCA0000000000000000000000000000000000074
:10DCB0000000000000000000000000000000000064
:10DCC0000000000000000000000000000000000054
:10DCD0000000000000000000000000000000000044
:10DCE0000000000000000000000000000000000034
:10DCF0000000000000000000000000000000000024
:10DD00000000000000000000000000000000000013
:10DD10000000000000000000000000000000000003
:10DD200000000000000000000000000000000000F3
:10DD300000000000000000000000000000000000E3
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000000000000000000000000000B3
:10DD700000000000000000000000000000000000A3
:10DD80000000000000000000000000000000000093
:10DD90000000000000000000000000000000000083
:10DDA0000000000000000000000000000000000073
:10DDB0000000000000000000000000000000000063
:10DDC0000000000000000000000000000000000053
:10DDD0000000000000000000000000000000000043
:10DDE0000000000000000000000000000000000033
:10DDF0000000000000000000000000000000000023
:10DE00000000000000000000000000000000000012
:10DE10000000000000000000000000000000000002
:10DE20000000000000000000000000000000E3F11E
:10DE3000783C1E8FC7E3F1783C1E8FC7E3318CC757
:10DE4000E3F1783C1E8FC7E3F1783C1E8FC7E3F106
:10DE5000783C1E8FC7E3F1783C1E8FC7E3318CC737
:10DE6000E3F1783C1E8FC7E3F1783C1E8FC70000BA
:10DE700000000000000000000000000000000000A2
:10DE80000000000000000000000000000000000092
:10DE90000000000000000000000000000000000082
:10DEA0000000000000000000000000000000000072
:10DEB0000000000000000000000000000000000062
:10DEC0000000000000000000000000000000000052
:10DED0000000000000000000000000000000000042
:10DEE0000000000000000000000000000000000032
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF100014000028000000000000000000000000C5
:10DF200000000000000000000000000000000000F1
:10DF300014000028000000000000000000000000A5
:10DF400000000000000000000000000000000000D1
:10DF500000000000000000000000000000000000C1
:10DF600000000000000000000000000000000000B1
:10DF700000000000000000000000000000000000A1
:10DF80000000000000000000000000000000000091
:10DF90000000000000000000000000000000000081
:10DFA0000000000000000000000000000000000071
:10DFB0000000000000000000000000000000000061
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000000000000000000000000031
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000000000010
:10E010000000000000000000000000000000000000
:10E0200000000000000000000000000000000000F0
:10E0300000000000000000000000000000000000E0
:10E0400000000000000000000000000000000000D0
:10E0500000000000000000000000000000000000C0
:10E0600000000000000000000000000000000000B0
:10E0700000000000000000000000000000000000A0
:10E080000000000000000000000000000000000090
:10E090000000000000000000000000000000000080
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000000000000000000060
:10E0C0000000000000000000000000000000000050
:10E0D0000000000000000000000000000000000040
:10E0E0000000000000000000000000000000000030
:10E0F0000000000000000000000000000000000020
:10E10000000000000000000000000000000000000F
:10E1100000000000000000000000000000000000FF
:10E1200000000000000000000000000000000000EF
:10E1300000000000000000000000000000000000DF
:10E1400000000000000000000000000000000000CF
:10E1500000000000000000000000000000000000BF
:10E1600000000000000000000000000000000000AF
:10E17000000000000000000000000000000000009F
:10E18000000000000000000000000000100000007F
:10E19000020000400000000000000000000000003D
:10E1A000000000000000000000000000100000005F
:10E1B0000A0000400800000000000000000000000D
:10E1C000000000000000000000000000000000004F
:10E1D000000000000000000000000000000000003F
:10E1E000000000000000000000000000000000002F
:10E1F000000000000000000000000000000000001F
:10E20000000000000000000000000000000000000E
:10E2100000000000000000000000000000000000FE
:10E2200000000000000000000000000000000000EE
:10E2300000000000000000000000000000000000DE
:10E2400000000000000000000000000000000000CE
:10E2500000000000000000000000000000000000BE
:10E2600000230120100000081480000000000000BE
:10E27000000000000000000000000000000000009E
:10E2800000230120000000081480000000000000AE
:10E29000000000000000000000000000000000007E
:10E2A000000000000000000000000000000000006E
:10E2B000000000000000000000000000000000005E
:10E2C000000000000000000000000000000000004E
:10E2D000000000000000000000000000000000003E
:10E2E000000000000000000000000000000000002E
:10E2F000000000000000000000000000000000001E
:10E30000000000000000000000000000000000000D
:10E3100000000000000000000000000000000000FD
:10E3200000000000000000000000000000000000ED
:10E3300000000000000000000000000002000000DB
:10E3400000000000010000000000000000000000CC
:10E3500000000000000000000000000200000000BB
:10E36000000000210000000000000000000000008C
:10E37000000000000000000000000000000000009D
:10E38000000000000000000000000000000000008D
:10E39000000000000000000000000000000000007D
:10E3A000000000000000000000000000000000006D
:10E3B000000000000000000000000000000000005D
:10E3C000000000000000000000000000000000004D
:10E3D000000000000000000000000000000000003D
:10E3E000000000000000000000000000000000002D
:10E3F000000000000000000000000000000000001D
:10E40000000000000000000000000000000000000C
:10E4100004000000000000000401000000000000F3
:10E42000000000000000000000000000000040802C
:10E4300020000000000000000000000000000000BC
:10E4400000000000000000000000000000000000CC
:10E4500000000000000000000000000000000000BC
:10E4600000000000000000000000000000000000AC
:10E47000000000000000000000000000000000009C
:10E48000000000000000000000000000000000008C
:10E49000000000000000000000000000000000007C
:10E4A000000000000000000000000000000000006C
:10E4B000000000000000000000000000000000005C
:10E4C000000000000000000000000000000000004C
:10E4D00000000000004832000000000000480E006C
:10E4E000600000090008000028000006000000008D
:10E4F0000000000000C002000000000000C0020098
:10E500007800000000C00000780000000002000059
:10E5100000000000000000000000000000000000FB
:10E5200000000000000000000000000000000000EB
:10E5300000000000000000000000000000000000DB
:10E5400000000000000000000000000000000000CB
:10E5500000000000000000000000000000000000BB
:10E5600000000000000000000000000000000000AB
:10E57000000000000000000000000000000000009B
:10E58000000000000000000000000000000000008B
:10E59000000000000000000000000000000000007B
:10E5A0000000000000000000006008000000000003
:10E5B00000400200000200000060000000000008AF
:10E5C000F000000000000000000003000000000058
:10E5D00000002D00000000080000000000000008FE
:10E5E000200000000000000000000000000000000B
:10E5F000000000000000000000000000000000001B
:10E60000000000000000000000000000000000000A
:10E6100000000000000000000000000000000000FA
:10E6200000000000000000000000000000000000EA
:10E6300000000000000000000000000000000000DA
:10E6400000000000000000000000000000000000CA
:10E6500000000000000000000000000000000000BA
:10E6600000000000000000000000000000000000AA
:10E67000000000000000000000000000004020003A
:10E680000000000000084B000000000000400000F7
:10E690000000000000000000000000000002020076
:10E6A00000000000008200000000000000020000E6
:10E6B000000000000000000000000000000000005A
:10E6C000000000000000000000000000000000004A
:10E6D000000000000000000000000000000000003A
:10E6E000000000000000000000000000000000002A
:10E6F000000000000000000000000000000000001A
:10E700000000000000000000000000000000000009
:10E7100000000000000000000000000000000000F9
:10E7200000000000000000000000000000000000E9
:10E7300000000000000000000000000000000000D9
:10E7400000000000000000000000000000000000C9
:10E75000006002000000000000080C000000400003
:10E7600000900900000040000000000000000000D0
:10E7700000B408000000000000E0080010004000A5
:10E7800000E02E0000024000000000000000000039
:10E790000000000000000000000000000000000079
:10E7A0000000000000000000000000000000000069
:10E7B0000000000000000000000000000000000059
:10E7C0000000000000000000000000000000000049
:10E7D0000000000000000000000000000000000039
:10E7E0000000000000000000000000000000000029
:10E7F0000000000000000000000000000000000019
:10E800000000000000000000000000000000000008
:10E8100000000000000000000000000000000000F8
:10E8200000000000001C16000000000000B00C00FA
:10E830002800000A00902E00D000000A000000000E
:10E84000000000000010360000000000001036003C
:10E8500070000006001416005000000600000000C2
:10E8600000000000000000000000000000000000A8
:10E870000000000000000000000000000000000098
:10E880000000000000000000000000000000000088
:10E890000000000000000000000000000000000078
:10E8A0000000000000000000000000000000000068
:10E8B0000000000000000000000000000000000058
:10E8C0000000000000000000000000000000000048
:10E8D0000000000000000000000000000000000038
:10E8E00000000000000000000800000000008000A0
:10E8F0000000000000400000800000400000804058
:10E900000000000040004040800000402000400027
:10E9100000400000200028000020000020202000EF
:10E920000020000002002002000002000202080293
:10E9300000000000000000000000000000000000D7
:10E9400000000000000000000200080000000000BD
:10E9500000000000000400008000000000080088A3
:10E96000010100000000000202000020000400017C
:10E97000002000000800000000000000000000006F
:10E980000000000000000000000000000000000087
:10E990000000000000000000000000000000000077
:10E9A0000000000000000000000000000000000067
:10E9B000000000000000000000000000080000004F
:10E9C0000000000000000000000000000000000047
:10E9D00000000000000000000000005000000000E7
:10E9E000000000000000000000000C00000000001B
:10E9F0000009080000009000000000000000000076
:10EA000000004C600000A000000000000000A0001A
:10EA100000000000000000000000000C0E000800D4
:10EA200000000000000000000000000000000000E6
:10EA30000008000C0000000E000E000000000000A6
:10EA40000000000A0000000E0C000000000E000094
:10EA500000000000000000000000000000000000B6
:10EA600000000000000000000000000000000000A6
:10EA70000000000000000000000000000000000096
:10EA80000000000000000000000000000000000086
:10EA900008000000000030000000000000106000CE
:10EAA00030500610000030360000006030000020BA
:10EAB0003000002000000070002000500000307086
:10EAC00000105E0030103170003000005400100063
:10EAD000000000000404000000000000000000002E
:10EAE0000000000000000000000000000000000F17
:10EAF0000C0000000000000000000000000000000A
:10EB00003000005000500020080400000000000CFD
:10EB100008000030000000080010000000000000A5
:10EB20000000000000000000800000000000000065
:10EB300000000000000000000000000000000000D5
:10EB400000000000000000000000000000000000C5
:10EB500000000000000000000000000000000000B5
:10EB600000000000000000000000000000000000A5
:10EB70000000000000000000000000000000000095
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000000000000075
:10EBA0000000000000000000000000200000000045
:10EBB0000000000000000000000000000000000055
:10EBC0000000000000000000000000000000000045
:10EBD0000000000000000000000000000000000035
:10EBE0000000000000000000000000000000000025
:10EBF00000000000000000000000000030000000E5
:10EC00000000000000000000000000000000000004
:10EC100000000000000000000000000000000000F4
:10EC200000000000000000000000000030000000B4
:10EC300000000000000000000000000000000000D4
:10EC400000000000000000000000000000000000C4
:10EC500000000000000000000000000000000000B4
:10EC600000000000000000000000000000000000A4
:10EC70000000000000000000000000000000008014
:10EC80002000000000000000000000000000000064
:10EC90000000000000000000000000000000000074
:10ECA0000000000000000000000000000000000064
:10ECB0000000000000000000000000000000000054
:10ECC0000000000000000000000000000000000044
:10ECD00040000000000000000000000000000000F4
:10ECE0000000000000000000000000000000000024
:10ECF0000000000000000000000000000000000014
:10ED000040000000000000000000000000000000C3
:10ED100000000000000000000000000000000000F3
:10ED200000000000000020000000000000002000A3
:10ED300000000000000000000000000000000000D3
:10ED400000000000000000000000000000000000C3
:10ED50000000000020000000000000000000000093
:10ED600000000000000000000000000000000000A3
:10ED70000000000000000000000000000000000093
:10ED80000000000000000000000000000000000083
:10ED90000000000000000000000000000000000073
:10EDA0000000000000000000000000000000000063
:10EDB0000000000000000000000000000000000053
:10EDC0000000000000000000000000000000000043
:10EDD0000000000000000000000000000000000033
:10EDE0000000000000000000000000000000000023
:10EDF0000000000000000000000000000000000013
:10EE00000000000000000000000000000000000002
:10EE100000000000000000000000000000000000F2
:10EE200000000000000000000000000000000000E2
:10EE300000000000000000000000000000000000D2
:10EE400000000000000000000000E3F1783C1E8F8D
:10EE5000C7E3F1783C1E8FC7E3318CC7E3F1783C00
:10EE60001E8FC7E3F1783C1E8FC7E3F1783C1E8FFD
:10EE7000C7E3F1783C1E8FC7E3318CC7E3F1783CE0
:10EE80001E8FC7E3F1783C1E8FC700000000000012
:10EE90000000000000000000000000000000000072
:10EEA0000000000000000000000000008093060C3D
:10EEB0000000000000000000000000000000000052
:10EEC0000000000000000000000000000000000042
:10EED0000000000000000000000000000000000032
:10EEE0000000000000000000000000000000000022
:10EEF0000000000000000000000000000000000012
:10EF00000000000000000000000000000000000001
:10EF100000000000000000000000000000000000F1
:10EF200000000000000000000000000014000028A5
:10EF300000000000000000000000000000000000D1
:10EF40000000000000000000000000001400002885
:10EF500000000000000000000000000000000000B1
:10EF600000000000000000000000000000000000A1
:10EF700000000000000000000000000000000E186B
:10EF80000080030100780000000000000000000085
:10EF90000000000000000000000000000000000071
:10EFA0000000000000000000000000000000000061
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE0000000000000000000000000000000000021
:10EFF0000000000000000000000000000000000011
:10F000000000000000000000000000000000000000
:10F0100000000000000000000000000000000000F0
:10F0200000000000000000000000000000000000E0
:10F0300000000000000000000000000000000000D0
:10F0400000000000000000000000000000000000C0
:10F05000000022802871505060080000000000006D
:10F0600000000000000000000000000000000000A0
:10F070000000000000000000000000000000000090
:10F080000000000000000000000000000000000080
:10F090000000000000000000000000000000000070
:10F0A0000000000000000000000000000000000060
:10F0B0000000000000000000000000000000000050
:10F0C0000000000000000000000000000000000040
:10F0D0000000000000000000000000000000000030
:10F0E0000000000000000000000000000000000020
:10F0F0000000000000000000000000000000000010
:10F1000000000000000000000000000000000000FF
:10F1100000000000000000000000000000000000EF
:10F1200000000000000098000004080808400000EB
:10F1300000000000000000000000000000000000CF
:10F1400000000000000000000000000000000000BF
:10F1500000000000000000000000000000000000AF
:10F16000000000000000000000000000000000009F
:10F17000000000000000000000000000000000008F
:10F18000000000000000000000000000000000007F
:10F19000000000000000000000000000000000006F
:10F1A0000000000000000000900000200A00004065
:10F1B0000840000000000000000000000000000007
:10F1C0000000000000000000900400000A00005051
:10F1D0000800000000000000000000000000000027
:10F1E000000000000000000000000000000000001F
:10F1F000000000000000000000000000240100509A
:10F2000000000000000000000000000000000000FE
:10F2100000000000000000000000000000000000EE
:10F2200000000000000000000000000000000000DE
:10F2300000000000000000000000000000000000CE
:10F2400000000000000000000000000000000000BE
:10F2500000000000000000000000000000000000AE
:10F26000000000000000000000000000000000009E
:10F270000000000000000000000000000023012842
:10F280000000000214C000000000000000000000A8
:10F29000000000000000000000000000002301202A
:10F2A00000000000148000000000000000000000CA
:10F2B000000000000000000000000000000000004E
:10F2C000000000000000000000000000000000003E
:10F2D00040000000000000000000000000000000EE
:10F2E000000000000000000000000000000000001E
:10F2F000000000000000000000000000000000000E
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000000000ED
:10F3200000000000000000000000000000000000DD
:10F3300000000000000000000000000000000000CD
:10F3400000000000000000000000000000000000BD
:10F3500000000000000000000000000000000000AD
:10F36000000000000000000000000000000000009D
:10F37000000000000200000000000000004000004B
:10F38000000000000000000000000000000000007D
:10F39000000000000000000000000000000000006D
:10F3A000000000000000000000000000000000005D
:10F3B000000000000000000000000000000000004D
:10F3C000000000000000000000000000000000003D
:10F3D000000000000000000000000000000000002D
:10F3E000000000000000000000000000000000001D
:10F3F000000000000000000000000000000000000D
:10F4000000000000000000000000000000000000FC
:10F4100000000000000000000000000000000000EC
:10F4200000000000000000000000000000000000DC
:10F4300000000000000000000000000000000000CC
:10F4400000000000000000000402000000000001B5
:10F45000002000000000000000000000000000008C
:10F46000000000000000000000000000000000009C
:10F47000000000000000000000000000000200008A
:10F48000000000000000000000000000000000007C
:10F49000000000000000000000000000000000006C
:10F4A000000000000000000000000000000000005C
:10F4B000000000000000000000000000000000004C
:10F4C000000000000000000000000000000000003C
:10F4D000000000000000000000000000000000002C
:10F4E000000000000000000000000000000000001C
:10F4F00000000E000000000000000E8000000080F0
:10F500000240008000000080E300000000000000D6
:10F5100000300C000000000000B00C0010000008DB
:10F52000003000006800000800000000000000003B
:10F5300000000000000000000000000000000000CB
:10F5400000000000000000000000000400000000B7
:10F5500000000000000000000000000000000000AB
:10F56000000000000000000000000000000000009B
:10F57000000000000000000000000000000000008B
:10F58000000000000000000000000000000000007B
:10F59000000000000000000000000000000000006B
:10F5A000000000000000000000000000000000005B
:10F5B000000000000000000000000000000000004B
:10F5C000000000000000000000000000000000003B
:10F5D000000000000000000008000010080000000B
:10F5E0000000000000B023000000000000800D00BB
:10F5F0001000400000C000000000000820020000D1
:10F6000000000000000000000000000000000000FA
:10F6100000000000000000000000000000000000EA
:10F6200000000000000000000000000000000000DA
:10F6300000000000000000000000000000000000CA
:10F6400000000000000000000000000000000000BA
:10F6500000000000000000000000000000000000AA
:10F66000000000000000000000000000000000009A
:10F67000000000000000000000000000000000008A
:10F68000000000000000000000000000000000007A
:10F690000000000000000000000204000000000064
:10F6A0000012048002000000013000000000000091
:10F6B0000200000000000000005080000000000078
:10F6C00000019000000000000009000000000000A0
:10F6D000000000000000000000000000000000002A
:10F6E000000000000000000000000000000000001A
:10F6F00000000001000040000000000000000000C9
:10F7000000000000000000000000000000000000F9
:10F7100000000000000000000000000000000000E9
:10F7200000000000000000000000000000000000D9
:10F7300000000000000000000000000000000000C9
:10F7400000000000000000000000000000000000B9
:10F7500000000000000000000000000000000000A9
:10F760000000000000000000000000000000100089
:10F770000000000000001000000000100000104019
:10F78000000000000000000000000000001408005D
:10F7900000000000003006001000400000140000CF
:10F7A0000000000800000000000000000000000051
:10F7B0000000000000000000000000000000000049
:10F7C000000000000000000000000006C000000073
:10F7D0000000000000000000000000000000000029
:10F7E0000000000000000000000000000000000019
:10F7F0000000000000000000000000000000000009
:10F8000000000000000000000000000000000000F8
:10F8100000000000000000000000000000000000E8
:10F8200000000000000000000000000000000000D8
:10F8300000000000000000000000000000000000C8
:10F8400000080C000000000000300C800000008068
:10F8500002300C4001000000010000000000000028
:10F860000030260000000000003407005000000AAD
:10F8700000301700780000040000000000000000C5
:10F880000000000000000000000000000000000078
:10F890000000000000000000000000000000000068
:10F8A0000001000000000000000000000000000057
:10F8B0000000000000000000000000000000000048
:10F8C0000000000000000000000000000000000038
:10F8D0000000000000000000000000000004000024
:10F8E0000000000000000000000000000000000018
:10F8F0000002000000000000000000000000000006
:10F900000000000000400000000000004000000077
:10F910002000000000200000001000000000000097
:10F920000010010000000000009000000080400076
:10F930000090004000804000000000000000001027
:10F940001000000000100010000000000000000087
:10F950000000000000000010000000000000000097
:10F960000000000000000000000000000040000057
:10F970000000000000000000000000000000000087
:10F980000000000000000000000000000000000077
:10F990000000000000000000000000000000000067
:10F9A0000000000000000000000000000000000057
:10F9B0000000000000000000000000000000000047
:10F9C0000000000000000000000000000000000037
:10F9D0000000000000000000000000000000000027
:10F9E00000000800000D0000000000000000000002
:10F9F000000E087000007000000070000000700031
:10FA00000A0978B900000A09080900000000000985
:10FA1000000D60002006000060204010060000106D
:10FA20006000000000000000000000305090000066
:10FA30000000000000000000000000000090000036
:10FA400000000000000000000000000000000000B6
:10FA500000000000000000000000000000000000A6
:10FA60000000000000000000000000000000000096
:10FA70000000000000000000000000000000000086
:10FA8000000000000008000000000000000000006E
:10FA90000050000000000000000C0000000000000A
:10FAA0000000000000000000000000000030000026
:10FAB00000000000300001002000000000300000C5
:10FAC000000000000000010000000C000000000029
:10FAD0000010000000301100001000100130100074
:10FAE0000000000000000000000000000000000016
:10FAF0000000008000000000000000000000000086
:10FB000000000000000000000000000000000000F5
:10FB10000000000000100000000000000000008055
:10FB200000000000000000000000000000000000D5
:10FB300000000000000000000000000000000000C5
:10FB400000000000000000000000000000000000B5
:10FB500000000000000000000000000000000000A5
:10FB60000000000000000000000000000000000095
:10FB70000000000000000000000000000000000085
:10FB80000000000000000000000000000000000075
:10FB90000800000000000000000000000400000059
:10FBA0000000000004000000200000000000000031
:10FBB0000000000000000000000000000000000045
:10FBC0002000000000000000000000000000000015
:10FBD0000000000000000000000000000000000025
:10FBE0000000000000000000000000000000000015
:10FBF0000000008000000000000000000000000085
:10FC000000000000000000000000000000000000F4
:10FC100000000000000000000000000000000000E4
:10FC200000000000000000000000000000000000D4
:10FC300000000000000000000000000000000000C4
:10FC400000000000000000000000000000000000B4
:10FC5000000000000000000000000008000000009C
:10FC60000000000001000000000000000000010092
:10FC700001000120000001000100000080000000E0
:10FC80000000000000000000000000000000000074
:10FC90000000000080000000000080000000000064
:10FCA0000000000000000000000000000000000054
:10FCB0000000000000000000000000000000000044
:10FCC0000000000000000000000000000000000034
:10FCD0000000000000000000000000000000000024
:10FCE0000000000000000000000000000000000014
:10FCF0000000000000000000000000000000000004
:10FD000000000000000000000000000000000000F3
:10FD100000000000000000000000000000000000E3
:10FD200000000000000000000000000000000004CF
:10FD300000000000000000000000000000000000C3
:10FD4000000000000000002000000000000400008F
:10FD5000000000000000000000000000000800009B
:10FD60000000000000000000000000000000000093
:10FD70000000000000000000000000000000000083
:10FD80000000000000000000000000000000000073
:10FD90000000000000000000000000000000000063
:10FDA0000000000000000000000000000000000053
:10FDB0000000000000000000000000000000000043
:10FDC0000000000000000000000000000000000033
:10FDD0000000000000000000000000000000000023
:10FDE0000000000000000000000000000000000013
:10FDF0000000000000000000000000000000000003
:10FE000000000000000000000000000000000000F2
:10FE100000000000000000000000000000000000E2
:10FE200000000000000000000000000000000000D2
:10FE300000000000000000000000000000000000C2
:10FE400000000000000000000000000000000000B2
:10FE500000000000000000000000000000000000A2
:10FE60000000000000000000000000000000000092
:10FE70000000DD360C000180000000500C00018005
:10FE8000000000C0040000000400000004000000A6
:10FE90000400000004000000040000000400000052
:10FEA0000400000004000000040000000400000042
:10FEB0000400000004000000040000000400000032
:10FEC0000400000004000000040000000400000022
:10FED0000400000004000000040000000400000012
:10FEE0000400000004000000040000000400000002
:10FEF00004000000040000000400000004000000F2
:10FF000004000000040000000400000004000000E1
:10FF100004000000040000000400000004000000D1
:10FF200004000000040000000400000004000000C1
:10FF300004000000040000000400000004000000B1
:10FF400004000000040000000400000004000000A1
:10FF500004000000040000000C000180000000A06C
:10FF60000C000580000000000C0000800000FAEA90
:10FF70000C000180000000B004000000040000003C
:08FF8000040000000400000071
:00000001FF
/tags/A1/TopLevel.ucf
0,0 → 1,76
NET "CLK_ADC" LOC = "A13";
NET "ADC_DATA<0>" LOC = "D5";
NET "ADC_DATA<1>" LOC = "C5";
NET "ADC_DATA<2>" LOC = "D6";
NET "ADC_DATA<3>" LOC = "C6";
NET "ADC_DATA<4>" LOC = "E7";
NET "ADC_DATA<5>" LOC = "C7";
NET "ADC_DATA<6>" LOC = "D7";
NET "ADC_DATA<7>" LOC = "C8";
NET "ADC_DATA<8>" LOC = "D8";
NET "CLK_50MHZ_IN" LOC = "T9";
NET "H_SYNC" LOC = "R9";
NET "MASTER_RST" LOC = "L14";
NET "PS2C" LOC = "M16";
NET "PS2D" LOC = "M15";
NET "VGA_OUTPUT<1>" LOC = "T12";
NET "VGA_OUTPUT<2>" LOC = "R11";
NET "VGA_RAM_ADDR<0>" LOC = "L5";
NET "VGA_RAM_ADDR<1>" LOC = "N3";
NET "VGA_RAM_ADDR<2>" LOC = "M4";
NET "VGA_RAM_ADDR<3>" LOC = "M3";
NET "VGA_RAM_ADDR<4>" LOC = "L4";
NET "VGA_RAM_ADDR<5>" LOC = "G4";
NET "VGA_RAM_ADDR<6>" LOC = "F3";
NET "VGA_RAM_ADDR<7>" LOC = "F4";
NET "VGA_RAM_ADDR<8>" LOC = "E3";
NET "VGA_RAM_ADDR<9>" LOC = "E4";
NET "VGA_RAM_ADDR<10>" LOC = "G5";
NET "VGA_RAM_ADDR<11>" LOC = "H3";
NET "VGA_RAM_ADDR<12>" LOC = "H4";
NET "VGA_RAM_ADDR<13>" LOC = "J4";
NET "VGA_RAM_ADDR<14>" LOC = "J3";
NET "VGA_RAM_ADDR<15>" LOC = "K3";
NET "VGA_RAM_ADDR<16>" LOC = "K5";
NET "VGA_RAM_ADDR<17>" LOC = "L3";
NET "VGA_RAM_CS" LOC = "P7";
NET "VGA_RAM_DATA<0>" LOC = "N7";
NET "VGA_RAM_DATA<1>" LOC = "T8";
NET "VGA_RAM_DATA<2>" LOC = "R6";
NET "VGA_RAM_DATA<3>" LOC = "T5";
NET "VGA_RAM_DATA<4>" LOC = "R5";
NET "VGA_RAM_DATA<5>" LOC = "C2";
NET "VGA_RAM_DATA<6>" LOC = "C1";
NET "VGA_RAM_DATA<7>" LOC = "B1";
NET "VGA_RAM_DATA<8>" LOC = "D3";
NET "VGA_RAM_DATA<9>" LOC = "P8";
NET "VGA_RAM_DATA<10>" LOC = "F2";
NET "VGA_RAM_DATA<11>" LOC = "H1";
NET "VGA_RAM_DATA<12>" LOC = "J2";
NET "VGA_RAM_DATA<13>" LOC = "L2";
NET "VGA_RAM_DATA<14>" LOC = "P1";
NET "VGA_RAM_DATA<15>" LOC = "R1";
NET "VGA_RAM_OE" LOC = "K4";
NET "VGA_RAM_WE" LOC = "G3";
NET "V_SYNC" LOC = "T10";
NET "VGA_OUTPUT<0>" LOC = "R12";
NET "leds<0>" LOC = "K12";
NET "leds<1>" LOC = "P14";
NET "leds<2>" LOC = "L12";
NET "leds<3>" LOC = "N14";
NET "leds<4>" LOC = "P13";
NET "leds<5>" LOC = "N12";
NET "leds<6>" LOC = "P12";
NET "leds<7>" LOC = "P11";
NET "SEG_OUT<0>" LOC = "E14";
NET "SEG_OUT<1>" LOC = "G13";
NET "SEG_OUT<2>" LOC = "N15";
NET "SEG_OUT<3>" LOC = "P15";
NET "SEG_OUT<4>" LOC = "R16";
NET "SEG_OUT<5>" LOC = "F13";
NET "SEG_OUT<6>" LOC = "N16";
NET "SEG_SEL<0>" LOC = "D14";
NET "SEG_SEL<1>" LOC = "G14";
NET "SEG_SEL<2>" LOC = "F14";
NET "SEG_SEL<3>" LOC = "E13";
NET "SHOW_LEVELS_BUTTON" LOC = "K13";
/tags/A1/VGA/d_VgaRamBuffer.v
0,0 → 1,293
//==================================================================
// File: d_VgaRamBuffer.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
module VGADataBuffer(
CLK_50MHZ, MASTER_RST,
VGA_RAM_DATA, VGA_RAM_ADDR, VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
VGA_RAM_ACCESS_OK,
ADC_RAM_DATA, ADC_RAM_ADDR, ADC_RAM_CLK,
TIME_BASE
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
 
output[15:0] VGA_RAM_DATA;
output[17:0] VGA_RAM_ADDR;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
input VGA_RAM_ACCESS_OK;
 
input[8:0] ADC_RAM_DATA;
output[10:0] ADC_RAM_ADDR;
output ADC_RAM_CLK;
 
input[5:0] TIME_BASE;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ; // System wide clock
wire MASTER_RST; // System wide reset
wire[15:0] VGA_RAM_DATA;
reg[17:0] VGA_RAM_ADDR;
reg VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
wire VGA_RAM_ACCESS_OK;
wire[8:0] ADC_RAM_DATA;
reg[10:0] ADC_RAM_ADDR;
wire ADC_RAM_CLK;
wire[5:0] TIME_BASE;
 
 
//----------------------//
// REGISTERS //
//----------------------//
reg[4:0] vcnt;
reg[9:0] hcnt;
reg[15:0] data_to_ram;
reg[8:0] adc_data_scale;
reg[10:0] TRIG_ADDR_buffered;
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
vcnt <= 5'd0;
end else if(VGA_RAM_ACCESS_OK && hcnt != 10'd640) begin
if(vcnt == 5'd24)
vcnt <= 5'b0;
else
vcnt <= vcnt + 1'b1;
end else begin
vcnt <= 5'd0;
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
hcnt <= 10'd0;
end else if(VGA_RAM_ACCESS_OK) begin
if(hcnt == 10'd640)
hcnt <= hcnt;
else if(vcnt == 5'd24)
hcnt <= hcnt + 1'b1;
else
hcnt <= hcnt;
end else begin
hcnt <= 10'b0;
end
end
 
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ADC_RAM_ADDR <= 11'b0;
end else if(VGA_RAM_ACCESS_OK) begin
if((hcnt == 10'd640) || !(vcnt == 5'd24))
ADC_RAM_ADDR <= ADC_RAM_ADDR;
else
ADC_RAM_ADDR <= ADC_RAM_ADDR + 1'b1;
end else begin
ADC_RAM_ADDR <= 11'd1727;
end
end
 
reg[7:0] TESTING_CNT;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
TESTING_CNT <= 8'd0;
end else if(VGA_RAM_ACCESS_OK) begin
if(vcnt == 5'd24)
TESTING_CNT <= TESTING_CNT+1;
else
TESTING_CNT <= TESTING_CNT;
end else begin
TESTING_CNT <= 8'b0;
end
end
 
 
always @ (ADC_RAM_DATA) begin
// adc_data_scale = TESTING_CNT + (TESTING_CNT>>1) + (TESTING_CNT>>4) + (TESTING_CNT>>6);
// adc_data_scale = ADC_RAM_DATA + (ADC_RAM_DATA>>1) + (ADC_RAM_DATA>>4) + (ADC_RAM_DATA>>6);
adc_data_scale = ADC_RAM_DATA;
end
 
 
 
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
VGA_RAM_ADDR <= 18'b0;
end else if(VGA_RAM_ACCESS_OK) begin
if(hcnt == 10'd640)
VGA_RAM_ADDR <= VGA_RAM_ADDR;
else
VGA_RAM_ADDR <= VGA_RAM_ADDR + 1'b1;
end else begin
VGA_RAM_ADDR <= 18'b0;
end
end
/*
always @ (vcnt or VGA_RAM_ACCESS_OK or adc_data_scale) begin
if(VGA_RAM_ACCESS_OK) begin
if(vcnt == adc_data_scale[8:4]) begin
data_to_ram = (adc_data_scale[3:0] == 4'd0) & 16'h0001 |
(adc_data_scale[3:0] == 4'd1) & 16'h0002 |
(adc_data_scale[3:0] == 4'd2) & 16'h0004 |
(adc_data_scale[3:0] == 4'd3) & 16'h0008 |
(adc_data_scale[3:0] == 4'd4) & 16'h0010 |
(adc_data_scale[3:0] == 4'd5) & 16'h0020 |
(adc_data_scale[3:0] == 4'd6) & 16'h0040 |
(adc_data_scale[3:0] == 4'd7) & 16'h0080 |
(adc_data_scale[3:0] == 4'd8) & 16'h0100 |
(adc_data_scale[3:0] == 4'd9) & 16'h0200 |
(adc_data_scale[3:0] == 4'd10) & 16'h0400 |
(adc_data_scale[3:0] == 4'd11) & 16'h0800 |
(adc_data_scale[3:0] == 4'd12) & 16'h1000 |
(adc_data_scale[3:0] == 4'd13) & 16'h2000 |
(adc_data_scale[3:0] == 4'd14) & 16'h4000 |
(adc_data_scale[3:0] == 4'd15) & 16'h8000;
end else begin
data_to_ram = 16'b0;
end
end else begin
data_to_ram = 16'bZ;
end
end
*/
 
always @ (vcnt or VGA_RAM_ACCESS_OK or adc_data_scale) begin
if(VGA_RAM_ACCESS_OK) begin
if(vcnt == adc_data_scale[8:4]) begin
if(adc_data_scale[3:0] == 4'd0)
data_to_ram = 16'h0001;
else if(adc_data_scale[3:0] == 4'd1)
data_to_ram = 16'h0002;
else if(adc_data_scale[3:0] == 4'd2)
data_to_ram = 16'h0004;
else if(adc_data_scale[3:0] == 4'd3)
data_to_ram = 16'h0008;
else if(adc_data_scale[3:0] == 4'd4)
data_to_ram = 16'h0010;
else if(adc_data_scale[3:0] == 4'd5)
data_to_ram = 16'h0020;
else if(adc_data_scale[3:0] == 4'd6)
data_to_ram = 16'h0040;
else if(adc_data_scale[3:0] == 4'd7)
data_to_ram = 16'h0080;
else if(adc_data_scale[3:0] == 4'd8)
data_to_ram = 16'h0100;
else if(adc_data_scale[3:0] == 4'd9)
data_to_ram = 16'h0200;
else if(adc_data_scale[3:0] == 4'd10)
data_to_ram = 16'h0400;
else if(adc_data_scale[3:0] == 4'd11)
data_to_ram = 16'h0800;
else if(adc_data_scale[3:0] == 4'd12)
data_to_ram = 16'h1000;
else if(adc_data_scale[3:0] == 4'd13)
data_to_ram = 16'h2000;
else if(adc_data_scale[3:0] == 4'd14)
data_to_ram = 16'h4000;
else if(adc_data_scale[3:0] == 4'd15)
data_to_ram = 16'h8000;
else
data_to_ram = 16'hFFFF;
end else //end bigIF
data_to_ram = 16'b0;
end else begin
data_to_ram = 16'bZ;
end
end
 
/*
always @ (vcnt or VGA_RAM_ACCESS_OK or ADC_RAM_DATA) begin
if(VGA_RAM_ACCESS_OK) begin
if((vcnt[3:0] == ADC_RAM_DATA[7:4]) && vcnt[4] != 1'b1) begin
if(ADC_RAM_DATA[3:0] == 4'd0)
data_to_ram = 16'h0001;
else if(ADC_RAM_DATA[3:0] == 4'd1)
data_to_ram = 16'h0002;
else if(ADC_RAM_DATA[3:0] == 4'd2)
data_to_ram = 16'h0004;
else if(ADC_RAM_DATA[3:0] == 4'd3)
data_to_ram = 16'h0008;
else if(ADC_RAM_DATA[3:0] == 4'd4)
data_to_ram = 16'h0010;
else if(ADC_RAM_DATA[3:0] == 4'd5)
data_to_ram = 16'h0020;
else if(ADC_RAM_DATA[3:0] == 4'd6)
data_to_ram = 16'h0040;
else if(ADC_RAM_DATA[3:0] == 4'd7)
data_to_ram = 16'h0080;
else if(ADC_RAM_DATA[3:0] == 4'd8)
data_to_ram = 16'h0100;
else if(ADC_RAM_DATA[3:0] == 4'd9)
data_to_ram = 16'h0200;
else if(ADC_RAM_DATA[3:0] == 4'd10)
data_to_ram = 16'h0400;
else if(ADC_RAM_DATA[3:0] == 4'd11)
data_to_ram = 16'h0800;
else if(ADC_RAM_DATA[3:0] == 4'd12)
data_to_ram = 16'h1000;
else if(ADC_RAM_DATA[3:0] == 4'd13)
data_to_ram = 16'h2000;
else if(ADC_RAM_DATA[3:0] == 4'd14)
data_to_ram = 16'h4000;
else if(ADC_RAM_DATA[3:0] == 4'd15)
data_to_ram = 16'h8000;
else
data_to_ram = 16'hFFFF;
end else //end bigIF
data_to_ram = 16'b0;
end else begin
data_to_ram = 16'bZ;
end
end
*/
/*
always @ (vcnt) begin
if(vcnt == 5'd00 && hcnt <= 10'd319)
data_to_ram = 16'h000F;
else
data_to_ram = 16'b0;
end
*/
 
assign ADC_RAM_CLK = CLK_50MHZ;
 
assign VGA_RAM_DATA = data_to_ram;
 
always begin
VGA_RAM_OE = 1'b1;
VGA_RAM_WE = 1'b0;
VGA_RAM_CS = 1'b0;
end
 
 
 
 
 
 
 
 
 
 
 
 
endmodule
/tags/A1/VGA/d_VGAdriver.v
0,0 → 1,289
//==================================================================//
// File: d_VGAdriver.v //
// Version: 0.0.0.3 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 09, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Apr 28, 2005 Under Development //
// 0.0.0.2 Jun 09, 2005 Cleaning //
// 0.0.0.3 Jun 10, 2005 Re-structuerd the VCNT and HCNT //
// so they line up with the PXLs. //
// //
//==================================================================//
 
module Driver_VGA(
CLK_50MHZ, MASTER_RST,
CLK_VGA,
VGA_RAM_DATA, VGA_RAM_ADDR,
VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
VGA_RAM_ACCESS_OK,
H_SYNC, V_SYNC, VGA_OUTPUT,
XCOORD, YCOORD,
TRIGGER_LEVEL,
SHOW_LEVELS,
HCNT, VCNT,
RGB_CHAR
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_black = 3'b000;
parameter P_yellow = 3'b110;
parameter P_cyan = 3'b011;
parameter P_green = 3'b010;
parameter P_white = 3'b111;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input CLK_VGA;
output H_SYNC; // The H_SYNC timing signal to the VGA monitor
output V_SYNC; // The V_SYNC timing signal to the VGA monitor
output[2:0] VGA_OUTPUT; // The 3-bit VGA output
input[11:0] XCOORD, YCOORD;
input[15:0] VGA_RAM_DATA;
output[17:0] VGA_RAM_ADDR;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
output VGA_RAM_ACCESS_OK;
input[8:0] TRIGGER_LEVEL;
input SHOW_LEVELS;
output[9:0] HCNT, VCNT;
input[2:0] RGB_CHAR;
 
 
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
reg H_SYNC, V_SYNC;
reg [2:0] VGA_OUTPUT;
wire CLK_50MHZ, MASTER_RST;
wire CLK_VGA;
wire[11:0] XCOORD, YCOORD;
wire[15:0] VGA_RAM_DATA;
reg[17:0] VGA_RAM_ADDR;
reg VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
reg VGA_RAM_ACCESS_OK;
wire[8:0] TRIGGER_LEVEL;
wire SHOW_LEVELS;
wire[9:0] HCNT, VCNT;
wire[2:0] RGB_CHAR;
 
 
//----------------------//
// REGISTERS //
//----------------------//
wire CLK_25MHZ = CLK_VGA;
reg [9:0] hcnt; // Counter - generates the H_SYNC signal
reg [9:0] vcnt; // Counter - counts the H_SYNC pulses to generate V_SYNC signal
reg[2:0] vga_out;
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
assign HCNT = hcnt;
assign VCNT = vcnt;
 
 
//------------------------------------------------------------------//
// SYNC TIMING COUNTERS //
//------------------------------------------------------------------//
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if (MASTER_RST == 1'b1) begin
hcnt <= 10'd0;
vcnt <= 10'd430;
end else if (hcnt == 10'd0799) begin
hcnt <= 10'd0;
if (vcnt == 10'd0)
vcnt <= 10'd520;
else
vcnt <= vcnt - 1'b1;
end else
hcnt <= hcnt + 1'b1;
end
 
 
//------------------------------------------------------------------//
// HORIZONTAL SYNC TIMING //
//------------------------------------------------------------------//
always @ (hcnt)
if (hcnt >= 10'd656 && hcnt <= 10'd751)
H_SYNC = 1'b0;
else
H_SYNC = 1'b1;
 
 
//------------------------------------------------------------------//
// VERTICAL SYNC TIMING //
//------------------------------------------------------------------//
always @ (vcnt)
if (vcnt == 10'd430 || vcnt == 10'd429)
V_SYNC = 1'b0;
else
V_SYNC = 1'b1;
 
 
//------------------------------------------------------------------//
// VGA DATA SIGNAL TIMING //
//------------------------------------------------------------------//
always @ (hcnt or vcnt or XCOORD or YCOORD or MASTER_RST or vga_out or SHOW_LEVELS or TRIGGER_LEVEL) begin
if(MASTER_RST == 1'b1) begin
VGA_OUTPUT = P_black;
//------------------------------------------------------------------------------//
// UNSEEN BORDERS //
end else if( (vcnt >= 10'd400) && (vcnt <= 10'd440) ) begin
VGA_OUTPUT = P_black;
end else if( (hcnt >= 10'd640) ) begin
VGA_OUTPUT = P_black;
//------------------------------------------------------------------------------//
// MOUSE CURSORS //
end else if(vcnt == YCOORD) begin
VGA_OUTPUT = P_green;
end else if(hcnt == XCOORD) begin
VGA_OUTPUT = P_green;
//------------------------------------------------------------------------------//
// TRIGGER SPRITE (shows as ------T------ ) //
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL) && hcnt != 10'd556 && hcnt != 10'd558) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL+1'b1) && hcnt >= 10'd556 && hcnt <= 10'd558) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL-1'b1) && hcnt == 10'd557) begin
VGA_OUTPUT = P_yellow;
//------------------------------------------------------------------------------//
// TOP, BOTTOM, LEFT AND RIGHT GRID LINES //
end else if(vcnt == 10'd0 || vcnt == 10'd399 || vcnt == 10'd441) begin
VGA_OUTPUT = P_cyan;
end else if(hcnt == 10'd0 || hcnt == 10'd639) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// CHARACTER DISPLAY
end else if(vcnt <= 10'd520 && vcnt >= 10'd441) begin
VGA_OUTPUT = RGB_CHAR;
//------------------------------------------------------------------------------//
// THE WAVEFORM //
end else if(vga_out != 0) begin
VGA_OUTPUT = vga_out;
//------------------------------------------------------------------------------//
// MIDDLE GRID LINES (dashed at 8pxls) //
end else if(vcnt == 10'd199 && hcnt[3] == 1'b1) begin
VGA_OUTPUT = P_cyan;
end else if((hcnt == 10'd319) && (vcnt <= 10'd399) && (vcnt[3] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHER HORIZONTAL LINES (dashed at 4pxls) //
end else if((vcnt == 10'd39 || vcnt == 10'd79 || vcnt == 10'd119 || vcnt == 10'd159 || vcnt == 10'd239 || vcnt == 10'd279 || vcnt == 10'd319 || vcnt == 10'd359) && (hcnt[2] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHER VERTICAL LINES (dashed at 4pxls) //
end else if(((hcnt[5:0] == 6'b111111) && (vcnt <= 10'd399)) && (vcnt[2] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHERWISE... //
end else
VGA_OUTPUT = P_black;
end
 
//------------------------------------------------------------------//
// RAM DATA READING //
//------------------------------------------------------------------//
// on reset, ram_addr = 24 and add 25 on each pxl
// row 0: ram_addr = 24 and 25 for each pxl
// row 1: ram_addr = 24 and 25 for each pxl
// ...
// row 15: ram_addr = 24 and 25 for each pxl
// row 16: ram_addr = 23 and 25 for each pxl *
// row 17: ram_addr = 23 and 25 for each pxl *
// ...
reg[4:0] ram_vcnt;
reg[15:0] ram_vshift;
 
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ram_vshift <= 16'h8000;
end else if(vcnt > 10'd399) begin
ram_vshift <= 16'h8000;
end else if(/*(vcnt <= 10'd399) && */(hcnt == 10'd640)) begin
if(ram_vshift == 16'h0001)
ram_vshift <= 16'h8000;
else
ram_vshift <= (ram_vshift >> 1);
end else
ram_vshift <= ram_vshift;
end
 
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ram_vcnt <= 5'd24;//5'b0
end else if(vcnt > 10'd399) begin
ram_vcnt <= 5'd24;
end else if(/*(vcnt >= 10'd30) &&*/ (hcnt == 10'd640) && (ram_vshift == 16'h0001)) begin
if(ram_vcnt == 5'd0)
ram_vcnt <= 5'd24;
else
ram_vcnt <= ram_vcnt - 1'b1;
end else begin
ram_vcnt <= ram_vcnt;
end
end
 
 
 
always @ (hcnt or ram_vcnt) begin
VGA_RAM_ADDR = ram_vcnt + (hcnt * 7'd25);
// VGA_RAM_ADDR = vcnt * hcnt;
end
 
 
always @ (VGA_RAM_DATA or ram_vshift) begin
if((VGA_RAM_DATA & ram_vshift) != 16'b0)
vga_out = P_white;
else
vga_out = 3'b0;
end
 
 
always begin
VGA_RAM_CS = 1'b0; // #CS
VGA_RAM_OE = 1'b0; // #OE
VGA_RAM_WE = 1'b1; // #WE
end
 
 
//------------------------------------------------------------------//
// ALL CLEAR? //
//------------------------------------------------------------------//
always @ (vcnt) begin
if(vcnt > 10'd399)
VGA_RAM_ACCESS_OK = 1'b1;
else
VGA_RAM_ACCESS_OK = 1'b0;
end
 
 
endmodule
/tags/A1/VGA/CharDecode/d_CharDecode.v
0,0 → 1,436
//==================================================================//
// File: d_CharDecodeSmall.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 17, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Jun 17, 2005 Initial Development Release //
// Based on "d_CharDecode.v" //
// //
//==================================================================//
 
module CharacterDisplay(
MASTER_CLK, MASTER_RST,
CLK_VGA, HCNT, VCNT,
RGB_OUT
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_black = 3'b000;
parameter P_yellow = 3'b110;
parameter P_cyan = 3'b011;
parameter P_green = 3'b010;
parameter P_white = 3'b111;
parameter P_blue = 3'b111;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // System wide clock
input MASTER_RST; // System wide reset
input CLK_VGA; // Pixel Clk
input[9:0] HCNT; // Horizontal Sync Counter
input[9:0] VCNT; // Vertical Sync Counter
output[2:0] RGB_OUT; // The RGB data
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST, CLK_VGA;
wire[9:0] HCNT, VCNT;
reg[2:0] RGB_OUT;
 
 
 
//----------------------//
// REGISTERS //
//----------------------//
reg[3:0] cnt_charPxls;
reg[6:0] cnt_Hchar;
reg[10:0] cnt_Vchar;
wire charRow1, charRow2, charRow3, charRow4, charRow5, charRow6, charRow7, charRow8;
 
wire[10:0] addr_charRamRead;
wire[7:0] data_charRamRead;
 
reg[7:0] mask_charMap;
wire[10:0] addr_charMap;
wire[7:0] data_charMap;
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
 
 
//------------------------------------------------------------------//
// Character Input / Storage //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//------------------------------------------------------------------//
 
 
 
 
 
//------------------------------------------------------------------//
// Character Decode //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//------------------------------------------------------------------//
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the Character RAM Address via HCNT and VCNT //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
 
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
cnt_charPxls <= 4'd5;
end else if(HCNT >= 10'd1) begin //6
if(cnt_charPxls == 4'd0)
cnt_charPxls <= 4'd5;
else
cnt_charPxls <= cnt_charPxls-1;
end else begin
cnt_charPxls <= 4'd5;
end
end
 
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
cnt_Hchar <= 7'd0;
end else if(HCNT >= 10'd1 && cnt_charPxls == 4'd0) begin
if(cnt_Hchar == 7'd105)
cnt_Hchar <= 7'd0;
else
cnt_Hchar <= cnt_Hchar+1;
end else if(HCNT < 10'd1) begin
cnt_Hchar <= 7'd0;
end else begin
cnt_Hchar <= cnt_Hchar;
end
end
 
assign charRow1 = ((VCNT <= 10'd512) && (VCNT >= 10'd506));
assign charRow2 = ((VCNT <= 10'd503) && (VCNT >= 10'd497));
assign charRow3 = ((VCNT <= 10'd494) && (VCNT >= 10'd488));
assign charRow4 = ((VCNT <= 10'd485) && (VCNT >= 10'd479));
assign charRow5 = ((VCNT <= 10'd476) && (VCNT >= 10'd470));
assign charRow6 = ((VCNT <= 10'd467) && (VCNT >= 10'd461));
assign charRow7 = ((VCNT <= 10'd458) && (VCNT >= 10'd452));
assign charRow8 = ((VCNT <= 10'd449) && (VCNT >= 10'd443));
 
always @ (charRow1 or charRow2 or charRow3 or charRow4 or charRow5 or charRow6 or charRow7 or charRow8) begin
if(charRow1) cnt_Vchar = 11'd0;
else if(charRow2) cnt_Vchar = 11'd106;
else if(charRow3) cnt_Vchar = 11'd212;
else if(charRow4) cnt_Vchar = 11'd318;
else if(charRow5) cnt_Vchar = 11'd424;
else if(charRow6) cnt_Vchar = 11'd530;
else if(charRow7) cnt_Vchar = 11'd636;
else if(charRow8) cnt_Vchar = 11'd742;
else cnt_Vchar = 11'd0;
end
 
assign addr_charRamRead = cnt_Vchar + cnt_Hchar;
 
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the Character Map via HCNT and VCNT and CHAR_DATA //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
mask_charMap <= 8'd0;
end else if(VCNT <= 10'd512) begin
if(HCNT == 10'd0) begin
if(mask_charMap == 8'd0)
mask_charMap <= 8'b10000000;
else
mask_charMap <= mask_charMap >> 1;
end else
mask_charMap <= mask_charMap;
end else begin
mask_charMap <= 8'd0;
end
end
 
 
 
assign addr_charMap = ((data_charRamRead * 8'd5) + cnt_charPxls);
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the VGA_OUTPUT via the Character Map //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
reg[2:0] rgb_buf;
 
always @ (mask_charMap or data_charMap) begin
if((charRow1 | charRow2 | charRow3 | charRow4 | charRow5 | charRow6 | charRow7 | charRow8) && ((mask_charMap & data_charMap) != 8'b0) && (cnt_charPxls != 4'd5) && (HCNT >= 10'd2) && (HCNT <= 10'd637))
rgb_buf = P_yellow;
else
rgb_buf = P_black;
end
always @ (posedge CLK_VGA) begin
RGB_OUT <= rgb_buf;
end
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// COUNTER TESTING //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
reg[63:0] test_cnt;
reg[10:0] test_cntAddr;
reg[7:0] data_time;
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
test_cnt <= 64'd0;
else
test_cnt <= test_cnt+1;
end
 
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
test_cntAddr <= 11'd61;
else if(test_cntAddr == 11'd76)
test_cntAddr <= 11'd61;
else
test_cntAddr <= test_cntAddr+1;
end
 
always @ (test_cntAddr or test_cnt) begin
if(test_cntAddr == 11'd61) data_time[3:0] = test_cnt[63:60];
else if(test_cntAddr == 11'd62) data_time[3:0] = test_cnt[59:56];
else if(test_cntAddr == 11'd63) data_time[3:0] = test_cnt[55:52];
else if(test_cntAddr == 11'd64) data_time[3:0] = test_cnt[51:48];
else if(test_cntAddr == 11'd65) data_time[3:0] = test_cnt[47:44];
else if(test_cntAddr == 11'd66) data_time[3:0] = test_cnt[43:40];
else if(test_cntAddr == 11'd67) data_time[3:0] = test_cnt[39:36];
else if(test_cntAddr == 11'd68) data_time[3:0] = test_cnt[35:32];
else if(test_cntAddr == 11'd69) data_time[3:0] = test_cnt[31:28];
else if(test_cntAddr == 11'd70) data_time[3:0] = test_cnt[27:24];
else if(test_cntAddr == 11'd71) data_time[3:0] = test_cnt[23:20];
else if(test_cntAddr == 11'd72) data_time[3:0] = test_cnt[19:16];
else if(test_cntAddr == 11'd73) data_time[3:0] = test_cnt[15:12];
else if(test_cntAddr == 11'd74) data_time[3:0] = test_cnt[11:8];
else if(test_cntAddr == 11'd75) data_time[3:0] = test_cnt[7:4];
else if(test_cntAddr == 11'd76) data_time[3:0] = test_cnt[3:0];
else data_time[3:0] = 4'b0000;
end
 
always begin
data_time[7:4] = 4'b0;
end
 
 
 
 
 
 
 
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// Character Decode RAM INSTANTIATION //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
wire VCC, GND;
assign VCC = 1'b1;
assign GND = 1'b0;
 
RAMB16_S9_S9 #(
// 6666555555555544444444443333333333222222222211111111110000000000
.INIT_00(256'h920de29292928ee0101010fe449292927c668A9292660042FE02007C86BAC27C),
// CCCCCCCCBBBBBBBBBBAAAAAAAAAA999999999988888888887777777777666666
.INIT_01(256'h828282c6Fe9292926c7e9090907e609292927d6d9292926d808698a0C07d9292),
// JJIIIIIIIIIIHHHHHHHHHHGGGGGGGGGGFFFFFFFFFFEEEEEEEEEEDDDDDDDDDDCC
.INIT_02(256'h808282Fe8282Fe101010Fe7c829294deFe909090c0Fe929292c6FE8282827c7c),
// PPPPPPOOOOOOOOOONNNNNNNNNNMMMMMMMMMMLLLLLLLLLLKKKKKKKKKKJJJJJJJJ
.INIT_03(256'h9090607C8282827CFe403804FeFe402040FeFe02020206Fe102844828482FC80),
// VVVVVVVVVVUUUUUUUUUUTTTTTTTTTTSSSSSSSSSSRRRRRRRRRRQQQQQQQQQQPPPP
.INIT_04(256'hf8040204f8fC020202fCC080Fe80C0649292924c7e909894627C828A7C027C90),
// !!!!!!!!!!--space---ZZZZZZZZZZYYYYYYYYYYXXXXXXXXXWWWWWWWWWWW
.INIT_05(256'h000000f6f600000000000000868aa2a2c2c0201e20c0c628102cC6Fe040804Fe),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
) RAM_Character_Map (
.DOA(), .DOB(data_charMap),
.DOPA(), .DOPB(),
.ADDRA(), .ADDRB(addr_charMap),
.CLKA(GND), .CLKB(MASTER_CLK),
.DIA(8'b0), .DIB(8'b0),
.DIPA(GND), .DIPB(GND),
.ENA(GND), .ENB(VCC),
.WEA(GND), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
 
 
RAMB16_S9_S9 #(
.INIT_00(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_01(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_02(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_03(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_04(256'h201f1e1d1c1b1a191817161514131211100f0e0d0c0b0a090807060504030201),
.INIT_05(256'h2424242424242424242424242424242424242424242424242424242424232221),
.INIT_06(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_07(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_08(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_09(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0A(256'h2424242424242424242424250e17121b0e111d0a14241e1822240e1f18152412),
.INIT_0B(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0C(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0D(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0E(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0F(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_10(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_11(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_12(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_13(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_14(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_15(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_16(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_17(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_18(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_19(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_1A(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
) RAM_Character_Test (
.DOA(), .DOB(data_charRamRead),
.DOPA(), .DOPB(),
.ADDRA(test_cntAddr), .ADDRB(addr_charRamRead),
.CLKA(MASTER_CLK), .CLKB(MASTER_CLK),
.DIA(data_time), .DIB(8'b0),
.DIPA(GND), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
 
 
 
 
 
 
 
 
endmodule
/tags/A1/Mouse/d_DriverMouse.v
0,0 → 1,359
//==================================================================
// File: d_MouseDriver.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module Driver_mouse(
CLK_50MHZ, MASTER_RST,
PS2C, PS2D,
XCOORD, YCOORD,
L_BUTTON, R_BUTTON, M_BUTTON
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter ss_CLK_LOW_100US = 4'b0000;
parameter ss_DATA_LOW = 4'b0001;
parameter ss_SET_BIT_0 = 4'b0011;
parameter ss_SET_BIT_1 = 4'b0010;
parameter ss_SET_BIT_2 = 4'b0110;
parameter ss_SET_BIT_3 = 4'b0111;
parameter ss_SET_BIT_4 = 4'b0101;
parameter ss_SET_BIT_5 = 4'b0100;
parameter ss_SET_BIT_6 = 4'b1100;
parameter ss_SET_BIT_7 = 4'b1101;
parameter ss_SET_BIT_PARITY = 4'b1111;
parameter ss_SET_BIT_STOP = 4'b1110;
parameter ss_WAIT_BIT_ACK = 4'b1010;
parameter ss_GET_MOVEMENT = 4'b1000;
 
parameter P_Lbut_index = 1;
parameter P_Mbut_index = 2;
parameter P_Rbut_index = 3;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
inout PS2C; // PS2 clock
inout PS2D; // PS2 data
 
//----------------------//
// OUTPUTS //
//----------------------//
output[11:0] XCOORD; // X coordinate of the cursor
output[11:0] YCOORD; // Y coordinate of the cursor
output L_BUTTON, R_BUTTON, M_BUTTON;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire PS2C, PS2D;
reg[11:0] XCOORD;
reg[11:0] YCOORD;
reg L_BUTTON, R_BUTTON, M_BUTTON;
 
//----------------------//
// REGISTERS //
//----------------------//
reg[12:0] Counter_timer;
reg[5:0] Counter_bits;
reg[3:0] sm_ps2mouse;
reg[32:0] data_in_buf;
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
//------------------------------------------------------------------//
// INTERMEDIATE VALUES //
//------------------------------------------------------------------//
reg[7:0] Counter_PS2C;
reg CLK_ps2c_debounced;
 
// Debounce the PS2C line.
// The mouse is generally not outputting a nice rising clock edge.
// To eliminate the false edge detection, make sure it is high/low
// for at least 256 counts before triggering the CLK.
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_PS2C <= 8'b0;
end else begin
if(PS2C == 1'b1) begin
if(Counter_PS2C == 8'hFF)
Counter_PS2C <= Counter_PS2C;
else
Counter_PS2C <= Counter_PS2C + 1;
end else begin
if(Counter_PS2C == 8'b0)
Counter_PS2C <= Counter_PS2C;
else
Counter_PS2C <= Counter_PS2C - 1;
end
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
CLK_ps2c_debounced <= 1'b0;
else if(Counter_PS2C == 8'b0)
CLK_ps2c_debounced <= 1'b0;
else if(Counter_PS2C == 8'hFF)
CLK_ps2c_debounced <= 1'b1;
else
CLK_ps2c_debounced <= CLK_ps2c_debounced;
end
 
 
//------------------------------------------------------------------//
// INTERPRETING MOVEMENTS //
//------------------------------------------------------------------//
reg[7:0] xcoord_buf;
reg[7:0] ycoord_buf;
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
xcoord_buf <= 8'b0;
end else if(data_in_buf[5] == 1'b0) begin
xcoord_buf <= data_in_buf[19:12];
end else begin
xcoord_buf <= ((~(data_in_buf[19:12]))+1);
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ycoord_buf <= 8'b0;
end else if(data_in_buf[6] == 1'b0) begin
ycoord_buf <= data_in_buf[30:23];
end else begin
ycoord_buf <= ((~(data_in_buf[30:23]))+1);
end
end
 
 
always @ (posedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
XCOORD <= 12'd320;
end else if(Counter_bits == 6'd32 && (data_in_buf[7] == 1'b0)) begin
if(data_in_buf[5] == 1'b1) begin // NEGITIVE
if(XCOORD <= xcoord_buf)
XCOORD <= 12'b0;
else
XCOORD <= XCOORD - xcoord_buf;
end else begin // POSITIVE
if((XCOORD + xcoord_buf) >= 11'd639)
XCOORD <= 12'd639;
else
XCOORD <= XCOORD + xcoord_buf;
end
end else begin
XCOORD <= XCOORD;
end
end
 
always @ (posedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
YCOORD <= 12'd199;
end else if(Counter_bits == 6'd32 && (data_in_buf[8] == 1'b0)) begin
if(data_in_buf[6] == 1'b0) begin
if((YCOORD + ycoord_buf) >= 11'd479)
YCOORD <= 12'd479;
else
YCOORD <= YCOORD + ycoord_buf;
end else begin
if(YCOORD <= ycoord_buf)
YCOORD <= 12'd0;
else
YCOORD <= YCOORD - ycoord_buf;
end
end else begin
YCOORD <= YCOORD;
end
end
 
//------------------------------------------------------------------//
// INTERPRETING BUTTONS //
//------------------------------------------------------------------//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
L_BUTTON <= 1'b0;
M_BUTTON <= 1'b0;
R_BUTTON <= 1'b0;
end else if(Counter_bits == 6'd32) begin
L_BUTTON <= data_in_buf[P_Lbut_index];
M_BUTTON <= data_in_buf[P_Mbut_index];
R_BUTTON <= data_in_buf[P_Rbut_index];
end else begin
L_BUTTON <= L_BUTTON;
M_BUTTON <= M_BUTTON;
R_BUTTON <= R_BUTTON;
end
end
 
 
//------------------------------------------------------------------//
// SENDING DATA //
//------------------------------------------------------------------//
reg PS2C_out, PS2D_out;
 
assign PS2C = PS2C_out;
assign PS2D = PS2D_out;
 
always @ (Counter_timer or MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
PS2C_out = 1'bZ;
end else if((Counter_timer <= 13'd5500) && (MASTER_RST == 1'b0))
PS2C_out = 1'b0;
else
PS2C_out = 1'bZ;
end
 
always @ (sm_ps2mouse or Counter_timer or MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
PS2D_out = 1'bZ;
end else if(Counter_timer >= 13'd5000 && sm_ps2mouse == ss_DATA_LOW) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_0) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_1) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_2) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_3) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_4) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_5) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_6) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_7) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_PARITY) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_STOP) begin
PS2D_out = 1'b1;
end else begin
PS2D_out = 1'bZ;
end
end
 
//------------------------------------------------------------------//
// RECIEVING DATA //
//------------------------------------------------------------------//
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
data_in_buf <= 33'b0;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
data_in_buf <= data_in_buf >> 1;
data_in_buf[32] <= PS2D;
end else
data_in_buf <= data_in_buf;
end
 
 
 
//------------------------------------------------------------------//
// COUNTERS FOR STATE MACHINE //
//------------------------------------------------------------------//
// COUNTER: timer
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
Counter_timer <= 13'b0;
else if(Counter_timer == 13'd6000)
Counter_timer <= Counter_timer;
else
Counter_timer <= Counter_timer + 1;
end
 
// COUNTER: rec_data_bit_cnt
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_bits <= 6'd22;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
if(Counter_bits == 6'd32)
Counter_bits <= 6'd0;
else
Counter_bits <= Counter_bits + 1;
end else begin
Counter_bits <= Counter_bits;
end
end
 
 
//------------------------------------------------------------------//
// MOUSE STATE MACHINE //
//------------------------------------------------------------------//
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
sm_ps2mouse <= ss_DATA_LOW;
end else if(sm_ps2mouse == ss_DATA_LOW) begin
sm_ps2mouse <= ss_SET_BIT_0;
end else if(sm_ps2mouse == ss_SET_BIT_0) begin
sm_ps2mouse <= ss_SET_BIT_1;
end else if(sm_ps2mouse == ss_SET_BIT_1) begin
sm_ps2mouse <= ss_SET_BIT_2;
end else if(sm_ps2mouse == ss_SET_BIT_2) begin
sm_ps2mouse <= ss_SET_BIT_3;
end else if(sm_ps2mouse == ss_SET_BIT_3) begin
sm_ps2mouse <= ss_SET_BIT_4;
end else if(sm_ps2mouse == ss_SET_BIT_4) begin
sm_ps2mouse <= ss_SET_BIT_5;
end else if(sm_ps2mouse == ss_SET_BIT_5) begin
sm_ps2mouse <= ss_SET_BIT_6;
end else if(sm_ps2mouse == ss_SET_BIT_6) begin
sm_ps2mouse <= ss_SET_BIT_7;
end else if(sm_ps2mouse == ss_SET_BIT_7) begin
sm_ps2mouse <= ss_SET_BIT_PARITY;
end else if(sm_ps2mouse == ss_SET_BIT_PARITY) begin
sm_ps2mouse <= ss_SET_BIT_STOP;
end else if(sm_ps2mouse == ss_SET_BIT_STOP) begin
sm_ps2mouse <= ss_WAIT_BIT_ACK;
end else if(sm_ps2mouse == ss_WAIT_BIT_ACK) begin
sm_ps2mouse <= ss_GET_MOVEMENT;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
sm_ps2mouse <= sm_ps2mouse;
end else begin
sm_ps2mouse <= ss_DATA_LOW;
end
end
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
endmodule
 
/tags/A1/UserInput/d_MouseInput.v
0,0 → 1,144
//==================================================================//
// File: d_MouseInput.v //
// Version: 0.0.0.2 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 May , 2005 Under Development //
// Ver 0.0.0.2 Jun 08, 2005 Modulized 'UserLines' //
// //
//==================================================================//
 
module Driver_MouseInput(
CLK_50MHZ, MASTER_RST,
XCOORD, YCOORD, L_BUTTON, R_BUTTON, M_BUTTON,
TRIGGER_LEVEL
);
 
 
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_trigger_clickLimit_left = 10'd556;
parameter P_trigger_clickLimit_right = 10'd558;
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input[9:0] XCOORD; // X coordinate of the cursor
input[9:0] YCOORD; // Y coordinate of the cursor
input L_BUTTON; // Left Mouse Button Press
input R_BUTTON; // Right Mouse Button Press
input M_BUTTON; // Middle Mouse Button Press
output[9:0] TRIGGER_LEVEL; // Current Trigger Level
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire[9:0] XCOORD;
wire[9:0] YCOORD;
wire L_BUTTON, R_BUTTON, M_BUTTON;
wire[9:0] TRIGGER_LEVEL;
 
//----------------------//
// REGISTERS //
//----------------------//
 
 
//----------------------//
// TESTING //
//----------------------//
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
//------------------------------------------------------------------//
// INTERMEDIATES //
//------------------------------------------------------------------//
 
// -- LEFT BUTTON --
wire Lrise, Lfall;
reg Lbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Lbuf <= 1'b0;
else Lbuf <= L_BUTTON;
end
 
assign Lrise = (!Lbuf & L_BUTTON);
assign Lfall = ( Lbuf & !L_BUTTON);
 
// -- RIGHT BUTTON --
wire Rrise, Rfall;
reg Rbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Rbuf <= 1'b0;
else Rbuf <= R_BUTTON;
end
 
assign Rrise = (!Rbuf & R_BUTTON);
assign Rfall = ( Rbuf & !R_BUTTON);
 
 
// -- MIDDLE BUTTON --
wire Mrise, Mfall;
reg Mbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Mbuf <= 1'b0;
else Mbuf <= M_BUTTON;
end
 
assign Mrise = (!Mbuf & M_BUTTON);
assign Mfall = ( Mbuf & !M_BUTTON);
 
 
//------------------------------------------------------------------//
// USER MODIFIABLE LINES //
//------------------------------------------------------------------//
sub_UserLines set_trigger(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.LINE_VALUE_OUT(TRIGGER_LEVEL),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD),
.LEFT(P_trigger_clickLimit_left),
.RGHT(P_trigger_clickLimit_right),
.BOT(TRIGGER_LEVEL-1'b1),
.TOP(TRIGGER_LEVEL+1'b1),
.SETXnY(1'b0)
);
 
 
 
endmodule
 
/tags/A1/UserInput/sub_UserLines.v
0,0 → 1,117
//==================================================================//
// File: sub_UserLines.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Jun 08, 2005 Under Development //
// //
//==================================================================//
 
module sub_UserLines(
MASTER_CLK, MASTER_RST,
LINE_VALUE_OUT,
BUTTON_RISE, BUTTON_FALL,
XCOORD, YCOORD,
LEFT, RGHT, BOT, TOP,
SETXnY
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // global master clock
input MASTER_RST; // global master reset
input XCOORD, YCOORD; // X and Y coordinates of the current mouse
// position. See the documentation for details
input LEFT, RGHT; // Left and Right limits for 'InRange'
input TOP, BOT; // Top and Bottom limits for 'InRange'
input SETXnY; // Upon trigger, either set the 'Value' to the
// X or Y coord.
input BUTTON_RISE; // Trigger has risen
input BUTTON_FALL; // Trigger has fallen
 
output[9:0] LINE_VALUE_OUT; // a 10 bit register to store the X or Y value
 
//----------------------//
// NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST;
wire[9:0] XCOORD, YCOORD;
wire[9:0] LEFT, RGHT, TOP, BOT;
wire SETXnY;
wire BUTTON_RISE, BUTTON_FALL;
 
reg[9:0] LINE_VALUE_OUT;
 
 
 
 
//==================================================================//
// T E S T I N G //
//==================================================================//
// NOTHING TO TEST
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
wire in_range;
reg drag;
 
assign in_range = (((YCOORD >= BOT) && (YCOORD <= TOP)) && ((XCOORD >= LEFT && XCOORD <= RGHT)));
 
// the 'DRAG' state machine
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
drag <= 1'b0;
else if(BUTTON_RISE && in_range)
drag <= 1'b1;
else if(BUTTON_FALL)
drag <= 1'b0;
else
drag <= drag;
end
 
 
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
LINE_VALUE_OUT <= 10'd200;
else if(drag && SETXnY)
LINE_VALUE_OUT <= XCOORD;
else if(drag && !SETXnY)
LINE_VALUE_OUT <= YCOORD;
else
LINE_VALUE_OUT <= LINE_VALUE_OUT;
end
 
 
 
endmodule
 
/tags/A1/SegDriver/d_SegDriver.v
0,0 → 1,98
//==================================================================
// File: d_MouseDriver.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett, Clarke Ellis
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module sub_SegDriver(
CLK_50MHZ, MASTER_RST,
DATA_IN,
SEG_OUT, SEG_SEL
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input[15:0] DATA_IN;
//----------------------//
// OUTPUTS //
//----------------------//
output[6:0] SEG_OUT;
output[3:0] SEG_SEL;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire[15:0] DATA_IN;
reg [6:0] SEG_OUT;
reg [3:0] SEG_SEL;
 
//----------------------//
// REGISTERS //
//----------------------//
wire[6:0] seg0, seg1, seg2, seg3;
reg[7:0] clk_390kHz;
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
clk_390kHz <= 8'b0;
else
clk_390kHz <= clk_390kHz + 1;
end
 
always @ (posedge clk_390kHz[7] or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
SEG_SEL <= 4'b1110;
else begin
SEG_SEL[3:1] <= SEG_SEL[2:0];
SEG_SEL[0] <= SEG_SEL[3];
end
end
 
always @ (SEG_SEL or seg0 or seg1 or seg2 or seg3) begin
if(SEG_SEL == 4'b1110)
SEG_OUT = seg0;
else if(SEG_SEL == 4'b1101)
SEG_OUT = seg1;
else if(SEG_SEL == 4'b1011)
SEG_OUT = seg2;
else if(SEG_SEL == 4'b0111)
SEG_OUT = seg3;
else
SEG_OUT = 7'b1111111;
end
 
sub_HexSeg sub_seg3( .DATA_IN(DATA_IN[15:12]),
.SEG_OUT(seg3)
);
sub_HexSeg sub_seg2( .DATA_IN(DATA_IN[11:8]),
.SEG_OUT(seg2)
);
sub_HexSeg sub_seg1( .DATA_IN(DATA_IN[7:4]),
.SEG_OUT(seg1)
);
sub_HexSeg sub_seg0( .DATA_IN(DATA_IN[3:0]),
.SEG_OUT(seg0)
);
 
endmodule
 
 
 
 
 
/tags/A1/SegDriver/d_HexSeg.v
0,0 → 1,146
//==================================================================
// File: d_MouseDriver.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett, Clarke Ellis
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module sub_HexSeg(
DATA_IN,
SEG_OUT
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input[3:0] DATA_IN;
//----------------------//
// OUTPUTS //
//----------------------//
output[6:0] SEG_OUT;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire[3:0] DATA_IN;
reg[6:0] SEG_OUT;
 
//----------------------//
// REGISTERS //
//----------------------//
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
// ____
// 5 | 0 | 1
// |____|
// 4 | 6 | 2
// |____|
// 3
 
always @ (DATA_IN) begin
SEG_OUT[6] = !((DATA_IN == 4'h2) |
(DATA_IN == 4'h3) |
(DATA_IN == 4'h4) |
(DATA_IN == 4'h5) |
(DATA_IN == 4'h6) |
(DATA_IN == 4'h8) |
(DATA_IN == 4'h9) |
(DATA_IN == 4'hA) |
(DATA_IN == 4'hB) |
(DATA_IN == 4'hD) |
(DATA_IN == 4'hE) |
(DATA_IN == 4'hF));
 
SEG_OUT[5] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
SEG_OUT[4] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hD) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
SEG_OUT[3] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hD) ||
(DATA_IN == 4'hE));
 
SEG_OUT[2] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h1) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hD));
 
SEG_OUT[1] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h1) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hD));
 
SEG_OUT[0] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
 
end
 
endmodule
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.