OpenCores
URL https://opencores.org/ocsvn/alternascope/alternascope/trunk

Subversion Repositories alternascope

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 28 to Rev 29
    Reverse comparison

Rev 28 → Rev 29

/tags/A2/d_TopLevel.v
0,0 → 1,272
//==================================================================//
// File: d_TopLevel.v //
// Version: 0.0.0.3 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Apr , 2005 Under Development //
// Ver 0.0.0.2 Jun 08, 2005 Updates //
// Ver 0.0.0.3 Jun 19, 2005 Added Character Display //
// //
//==================================================================//
 
module TopLevel(
CLK_50MHZ_IN, MASTER_RST,
H_SYNC, V_SYNC, VGA_OUTPUT,
PS2C, PS2D,
// TIME_BASE,
ADC_DATA, CLK_ADC,
VGA_RAM_ADDR, VGA_RAM_DATA,
VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
SEG_OUT, SEG_SEL, leds, SHOW_LEVELS_BUTTON
);
 
//==================================================================//
// DEFINITIONS //
//==================================================================//
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ_IN, MASTER_RST;
output H_SYNC, V_SYNC;
output[2:0] VGA_OUTPUT;
//input[5:0] TIME_BASE;
inout PS2C, PS2D;
input[8:0] ADC_DATA;
output CLK_ADC;
output[17:0] VGA_RAM_ADDR;
inout[15:0] VGA_RAM_DATA;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
 
output[7:0] leds;
output[6:0] SEG_OUT;
output[3:0] SEG_SEL;
input SHOW_LEVELS_BUTTON;
wire SHOW_LEVELS_BUTTON;
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ_IN, MASTER_RST;
wire H_SYNC, V_SYNC;
wire[2:0] VGA_OUTPUT;
wire[5:0] TIME_BASE;
wire PS2C, PS2D;
wire[8:0] ADC_DATA;
wire CLK_ADC;
wire[17:0] VGA_RAM_ADDR;
wire[15:0] VGA_RAM_DATA;
wire VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
 
 
//----------------------//
// VARIABLES //
//----------------------//
assign TIME_BASE = 6'b0;
 
 
//==================================================================//
// TEMP //
//==================================================================//
reg[8:0] fake_adcData;
 
wire[17:0] VGA_RAM_ADDRESS_w;
wire[15:0] VGA_RAM_DATA_w;
wire L_BUTTON, R_BUTTON, M_BUTTON;
 
wire VGA_RAM_ACCESS_OK;
wire CLK_50MHZ, CLK_64MHZ, CLK180_64MHZ;
reg CLK_VGA;
wire[6:0] SEG_OUT;
wire[3:0] SEG_SEL;
 
wire[7:0] data_charRamRead;
reg[7:0] data_charRamRead_buf;
wire[7:0] mask_charMap;
reg[7:0] mask_charMap_buf;
 
 
always @ (posedge CLK_50MHZ) begin
if(R_BUTTON) begin
data_charRamRead_buf <= data_charRamRead_buf;
mask_charMap_buf <= mask_charMap_buf;
end else begin
data_charRamRead_buf <= data_charRamRead;
mask_charMap_buf <= mask_charMap;
end
end
 
sub_SegDriver segs(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.DATA_IN(fake_adcData[7:0]),
.SEG_OUT(SEG_OUT), .SEG_SEL(SEG_SEL)
);
 
wire[7:0] leds;
assign leds[7:0] = 8'b0;
 
/*- - - - - - - - - - - - - */
/* Fake ADC data */
/*- - - - - - - - - - - - - */
always @ (posedge CLK_64MHZ or posedge MASTER_RST) begin
if(MASTER_RST)
fake_adcData <= 9'd0;
else
fake_adcData <= fake_adcData+1;
end
 
 
 
//==================================================================//
// SUBROUTINES //
//==================================================================//
//d_DCM_VGA clock_gen_VGA (
// .CLKIN_IN(CLK_50MHZ_IN),
// .RST_IN(MASTER_RST),
// .CLKFX_OUT(CLK_VGA),
// .CLKIN_IBUFG_OUT(CLK_50MHZ_B),
// .LOCKED_OUT(CLK_VGA_LOCKED)
// );
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST)
if(MASTER_RST) CLK_VGA <= 1'b0;
else CLK_VGA <= ~CLK_VGA;
 
 
wire CLK_64MHZ_LOCKED;
d_DCM clock_generator(
.CLKIN_IN(CLK_50MHZ_IN),
.RST_IN(MASTER_RST),
.CLKIN_IBUFG_OUT(CLK_50MHZ),
.CLK_64MHZ(CLK_64MHZ),
.CLK180_64MHZ(CLK180_64MHZ),
.LOCKED_OUT(CLK_64MHZ_LOCKED)
);
 
wire[11:0] XCOORD, YCOORD;
wire[9:0] TRIGGER_LEVEL, HORZ_OFFSET, VERT_OFFSET;
wire[3:0] TIMESCALE;
wire[1:0] TRIGGERSTYLE;
Driver_mouse driver_MOUSE(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.PS2C(PS2C), .PS2D(PS2D),
.XCOORD(XCOORD), .YCOORD(YCOORD),
.L_BUTTON(L_BUTTON), .M_BUTTON(M_BUTTON), .R_BUTTON(R_BUTTON)
);
Driver_MouseInput Driver_MouseInput_inst(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.XCOORD(XCOORD[9:0]), .YCOORD(YCOORD[9:0]),
.L_BUTTON(L_BUTTON), .M_BUTTON(M_BUTTON), .R_BUTTON(R_BUTTON),
.TRIGGER_LEVEL(TRIGGER_LEVEL), .HORZ_OFFSET(HORZ_OFFSET), .VERT_OFFSET(VERT_OFFSET),
.TIMESCALE(TIMESCALE),
.TRIGGERSTYLE(TRIGGERSTYLE)
);
 
 
 
wire[8:0] ADC_RAM_DATA;
wire[10:0] ADC_RAM_ADDR;
wire ADC_RAM_CLK;
wire[10:0] TRIG_ADDR;
wire VGA_WRITE_DONE;
 
ADCDataBuffer ADC_Data_Buffer(
.CLK_64MHZ(CLK_64MHZ), .MASTER_CLK(MASTER_CLK), .MASTER_RST(MASTER_RST),
.TIMESCALE(TIMESCALE), .TRIGGER_LEVEL(TRIGGER_LEVEL),
.VERT_OFFSET(VERT_OFFSET), .HORZ_OFFSET(HORZ_OFFSET),
// .ADC_DATA(ADC_DATA[7:0]),
.ADC_DATA(fake_adcData),
.CLK_ADC(CLK_ADC),
.SNAP_DATA_EXT(ADC_RAM_DATA), .SNAP_ADDR_EXT(ADC_RAM_ADDR), .SNAP_CLK_EXT(ADC_RAM_CLK),
.TRIGGERSTYLE(TRIGGERSTYLE)
);
 
 
//------------------------------------------------------------------//
// VGA //
//------------------------------------------------------------------//
wire[9:0] HCNT, VCNT;
wire[2:0] RGB_CHAR;
 
 
CharacterDisplay charTest(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.CLK_VGA(CLK_VGA), .HCNT(HCNT), .VCNT(VCNT),
.RGB_OUT(RGB_CHAR),
.TIMESCALE(TIMESCALE),
.TRIGGERSTYLE(TRIGGERSTYLE),
.XCOORD(XCOORD), .YCOORD(YCOORD)
);
 
 
wire VGA_RAM_OE_w, VGA_RAM_WE_w, VGA_RAM_CS_w;
wire[17:0] VGA_RAM_ADDRESS_r;
wire VGA_RAM_OE_r, VGA_RAM_WE_r, VGA_RAM_CS_r;
 
assign VGA_RAM_ADDR = (VGA_RAM_ACCESS_OK) ? VGA_RAM_ADDRESS_w : VGA_RAM_ADDRESS_r;
assign VGA_RAM_DATA = (VGA_RAM_ACCESS_OK) ? VGA_RAM_DATA_w : 16'bZ;
assign VGA_RAM_OE = (VGA_RAM_ACCESS_OK) ? VGA_RAM_OE_w : VGA_RAM_OE_r;
assign VGA_RAM_WE = (VGA_RAM_ACCESS_OK) ? VGA_RAM_WE_w : VGA_RAM_WE_r;
assign VGA_RAM_CS = (VGA_RAM_ACCESS_OK) ? VGA_RAM_CS_w : VGA_RAM_CS_r;
 
VGADataBuffer ram_VGA_ramwrite(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.VGA_RAM_DATA(VGA_RAM_DATA_w), .VGA_RAM_ADDR(VGA_RAM_ADDRESS_w),
.VGA_RAM_OE(VGA_RAM_OE_w), .VGA_RAM_WE(VGA_RAM_WE_w), .VGA_RAM_CS(VGA_RAM_CS_w),
.VGA_RAM_ACCESS_OK(VGA_RAM_ACCESS_OK),
.ADC_RAM_DATA(ADC_RAM_DATA), .ADC_RAM_ADDR(ADC_RAM_ADDR), .ADC_RAM_CLK(ADC_RAM_CLK),
.TIME_BASE(TIME_BASE)
);
 
Driver_VGA driver_VGA(
.CLK_50MHZ(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.CLK_VGA(CLK_VGA),
.H_SYNC(H_SYNC), .V_SYNC(V_SYNC), .VGA_OUTPUT(VGA_OUTPUT),
.XCOORD(XCOORD), .YCOORD(YCOORD),
.VGA_RAM_DATA(VGA_RAM_DATA), .VGA_RAM_ADDR(VGA_RAM_ADDRESS_r),
.VGA_RAM_OE(VGA_RAM_OE_r), .VGA_RAM_WE(VGA_RAM_WE_r), .VGA_RAM_CS(VGA_RAM_CS_r),
.VGA_RAM_ACCESS_OK(VGA_RAM_ACCESS_OK),
.TRIGGER_LEVEL(TRIGGER_LEVEL), .HORZ_OFFSET(HORZ_OFFSET), .VERT_OFFSET(VERT_OFFSET),
.SHOW_LEVELS(SHOW_LEVELS_BUTTON),
.HCNT(HCNT), .VCNT(VCNT),
.RGB_CHAR(RGB_CHAR)
);
 
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
endmodule
 
/tags/A2/AdcDriver/d_Driver_ADCRamBuffer.v
0,0 → 1,229
//==================================================================//
// File: d_Driver_ADCRamBuffer.v //
// Version: X //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// July 15, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver X July 15, 2005 Initial Development Release //
// //
//==================================================================//
 
module ADCDataBuffer(
CLK_64MHZ, MASTER_CLK, MASTER_RST,
TIMESCALE, TRIGGER_LEVEL, VERT_OFFSET, HORZ_OFFSET,
ADC_DATA,
CLK_ADC,
SNAP_DATA_EXT, SNAP_ADDR_EXT, SNAP_CLK_EXT,
TRIGGERSTYLE
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter ss_fifo_fill = 2'b00;
parameter ss_fifo_half = 2'b01;
parameter ss_save_snapshot = 2'b11;
parameter ss_invalid = 2'b10;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_64MHZ;
input MASTER_CLK;
input MASTER_RST;
input[3:0] TIMESCALE;
input[10:0] TRIGGER_LEVEL, VERT_OFFSET, HORZ_OFFSET;
input[8:0] ADC_DATA;
 
output CLK_ADC;
 
output[8:0] SNAP_DATA_EXT;
input[10:0] SNAP_ADDR_EXT;
input SNAP_CLK_EXT;
 
input[1:0] TRIGGERSTYLE;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_64MHZ, MASTER_CLK, MASTER_RST;
wire[3:0] TIMESCALE;
wire[10:0] TRIGGER_LEVEL, VERT_OFFSET, HORZ_OFFSET;
wire[8:0] ADC_DATA;
wire CLK_ADC;
wire[8:0] SNAP_DATA_EXT;
wire[10:0] SNAP_ADDR_EXT;
wire SNAP_CLK_EXT;
wire[1:0] TRIGGERSTYLE;
 
 
//----------------------//
// VARIABLES //
//----------------------//
wire[8:0] data_from_adc;
reg triggered;
reg[1:0] sm_adc_ram;
reg[10:0] fifo_addr;
reg[8:0] data_from_adc_buffered;
reg[10:0] trig_addr;
wire[8:0] buf_adc_data;
reg[10:0] snap_addr, buf_adc_addr;
 
 
 
//==================================================================//
// 'SUB-ROUTINES' //
//==================================================================//
//------------------------------------------------------------------//
// Instanstiate the ADC //
//------------------------------------------------------------------//
 
Driver_ADC ADC(
.CLK_64MHZ(CLK_64MHZ),
.MASTER_RST(MASTER_RST),
.TIMESCALE(TIMESCALE),
.CLK_ADC(CLK_ADC),
.ADC_DATA(ADC_DATA),
.DATA_OUT(data_from_adc)
);
 
//------------------------------------------------------------------//
// Initialize the RAMs WE WILL NEED MORE! //
// RAM is structured as follows: //
// Dual-Access RAM //
// 18kBits -> 2048Bytes + 1Parity/Byte //
// Access A: 8bit + 1parity (ADC_Write) //
// Access B: 8bit + 1parity (Read) //
//------------------------------------------------------------------//
wire VCC, GND;
assign VCC = 1'b1;
assign GND = 1'b0;
 
// move the following into a more organized area
wire[10:0] vert_adjustment;
assign vert_adjustment = (VERT_OFFSET);
 
RAMB16_S9_S9 ADC_QuasiFifo_Buffer(
.DOA(), .DOB(buf_adc_data[7:0]),
.DOPA(), .DOPB(buf_adc_data[8]),
.ADDRA(fifo_addr), .ADDRB(buf_adc_addr),
.CLKA(CLK_ADC), .CLKB(CLK_ADC),
.DIA(data_from_adc[7:0]), .DIB(8'b0),
.DIPA(data_from_adc[8]), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
RAMB16_S9_S9 ADC_Data_Snapshot(
.DOA(), .DOB(SNAP_DATA_EXT[7:0]),
.DOPA(), .DOPB(SNAP_DATA_EXT[8]),
.ADDRA(snap_addr), .ADDRB(SNAP_ADDR_EXT),
.CLKA(CLK_ADC), .CLKB(SNAP_CLK_EXT),
.DIA(buf_adc_data[7:0]+vert_adjustment[7:0]), .DIB(8'b0), /* VERTICAL OFFSET */
.DIPA(buf_adc_data[8]+vert_adjustment[8]), .DIPB(GND), /* VERTICAL OFFSET */
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
/* STATE_MACHINE */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
sm_adc_ram <= ss_fifo_fill;
else begin
// if(sm_adc_ram != ss_fifo_fill || sm_adc_ram != ss_fifo_half || sm_adc_ram != ss_save_snapshot)
// sm_adc_ram <= ss_fifo_fill;
if(sm_adc_ram == ss_fifo_fill && triggered)
sm_adc_ram <= ss_fifo_half;
else if(sm_adc_ram == ss_fifo_half && (fifo_addr == (trig_addr + 11'd1023)))
sm_adc_ram <= ss_save_snapshot;
else if(sm_adc_ram == ss_save_snapshot && snap_addr == 11'd2047)
sm_adc_ram <= ss_fifo_fill;
else if(sm_adc_ram == ss_invalid)
sm_adc_ram <= ss_fifo_fill;
else
sm_adc_ram <= sm_adc_ram;
end
end
 
/* FIFO ADDR */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
fifo_addr <= 11'b0;
else if(sm_adc_ram == ss_fifo_fill || sm_adc_ram == ss_fifo_half)
fifo_addr <= fifo_addr + 1;
else
fifo_addr <= fifo_addr;
end
 
/* TRIGGER */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
data_from_adc_buffered <= 9'b0;
else
data_from_adc_buffered <= data_from_adc;
end
 
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST)
triggered <= 1'b0;
else
triggered <= (TRIGGERSTYLE == 2'b00) && (data_from_adc_buffered < TRIGGER_LEVEL && data_from_adc >= TRIGGER_LEVEL) || // >=
(TRIGGERSTYLE == 2'b01) && (data_from_adc_buffered > TRIGGER_LEVEL && data_from_adc <= TRIGGER_LEVEL); // <=
end
 
always @ (posedge triggered or posedge MASTER_RST) begin
if(MASTER_RST)
trig_addr <= 11'b0;
else if(sm_adc_ram == ss_fifo_fill)
trig_addr <= fifo_addr;
else
trig_addr <= trig_addr;
end
/* SNAPSHOT */
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST) begin
snap_addr <= 11'b0;
buf_adc_addr <= 11'b0;
end else if(sm_adc_ram == ss_save_snapshot) begin
snap_addr <= snap_addr + 1;
buf_adc_addr <= buf_adc_addr + 1;
end else begin
buf_adc_addr <= trig_addr - (HORZ_OFFSET-11'd319); /* HORIZONTAL OFFSET */
snap_addr <= 11'b0;
end
end
 
endmodule
/tags/A2/AdcDriver/d_Driver_ADC.v
0,0 → 1,201
//==================================================================
// File: d_Driver_ADC.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module Driver_ADC(
CLK_64MHZ, MASTER_RST,
TIMESCALE,
CLK_ADC, ADC_DATA,
DATA_OUT
);
 
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter US1 = 4'd0;
parameter US2 = 4'd1;
parameter US4 = 4'd2;
parameter US8 = 4'd3;
parameter US16 = 4'd4;
parameter US32 = 4'd5;
parameter US64 = 4'd6;
parameter US128 = 4'd7;
parameter US512 = 4'd8;
parameter US1024 = 4'd9;
parameter US2048 = 4'd10;
parameter US4096 = 4'd11;
parameter US8192 = 4'd12;
parameter US16384 = 4'd13;
parameter US32768 = 4'd14;
parameter US65536 = 4'd15;
parameter US131072 = 4'd16;
parameter US262144 = 4'd17;
parameter US524288 = 4'd18;
parameter US1048576 = 4'd19;
parameter US2097152 = 4'd20;
parameter US4194304 = 4'd21;
parameter US8388608 = 4'd22;
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
 
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_64MHZ; // Global System Clock
input MASTER_RST; // Global Asyncronous Reset
input[3:0] TIMESCALE; // The selected V/Div
input[8:0] ADC_DATA; // Data recieved from ADC
output CLK_ADC; // Clock out to the ADC
output[8:0] DATA_OUT; // Data output (essentially buffered from ADC by one clk)
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_64MHZ, MASTER_RST;
wire[3:0] TIMESCALE;
wire[8:0] ADC_DATA;
reg CLK_ADC;
reg [8:0] DATA_OUT;
 
//----------------------//
// VARIABLES //
//----------------------//
reg[15:0] Counter_CLK;
wire CLK_32MHZ, CLK_16MHZ, CLK_8MHZ, CLK_4MHZ, CLK_2MHZ, CLK_1MHZ, CLK_500KHZ, CLK_250KHZ, CLK_125KHZ,
CLK_62KHZ, CLK_31KHZ, CLK_16KHZ, CLK_8KHZ, CLK_4KHZ, CLK_2KHZ, CLK_1KHZ;
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
always @ (posedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST) DATA_OUT <= 9'b0;
else DATA_OUT <= ADC_DATA;
end
/*
assign CLK_ADC = CLK_62KHZ;
*/
 
//------------------------------------------------------------------//
// CLOCK GENERATION AND SELECTION //
//------------------------------------------------------------------//
 
always @ (posedge CLK_64MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_CLK <= 16'b0;
end else begin
Counter_CLK <= Counter_CLK + 1;
end
end
 
 
assign CLK_32MHZ = Counter_CLK[0];
assign CLK_16MHZ = Counter_CLK[1];
assign CLK_8MHZ = Counter_CLK[2];
assign CLK_4MHZ = Counter_CLK[3];
assign CLK_2MHZ = Counter_CLK[4];
assign CLK_1MHZ = Counter_CLK[5];
assign CLK_500KHZ = Counter_CLK[6];
assign CLK_250KHZ = Counter_CLK[7];
assign CLK_125KHZ = Counter_CLK[8];
assign CLK_62KHZ = Counter_CLK[9];
assign CLK_31KHZ = Counter_CLK[10];
assign CLK_16KHZ = Counter_CLK[11];
assign CLK_8KHZ = Counter_CLK[12];
assign CLK_4KHZ = Counter_CLK[13];
assign CLK_2KHZ = Counter_CLK[14];
assign CLK_1KHZ = Counter_CLK[15];
//assign CLK_500HZ = Counter_CLK[16];
 
always @ (TIMESCALE or MASTER_RST or CLK_64MHZ or CLK_32MHZ or CLK_16MHZ or
CLK_8MHZ or CLK_4MHZ or CLK_2MHZ or CLK_1MHZ or CLK_500KHZ or CLK_250KHZ or
CLK_125KHZ or CLK_62KHZ or CLK_31KHZ or CLK_16KHZ or CLK_8KHZ or CLK_4KHZ or
CLK_2KHZ or CLK_1KHZ) begin
if(MASTER_RST == 1'b1) begin
CLK_ADC = 1'b0;
end else if(TIMESCALE == 4'd0) begin // 1us/Div, 1samp/pxl
CLK_ADC = CLK_64MHZ;
end else if(TIMESCALE == 4'd1) begin // 2us/Div, 2samp/pxl
CLK_ADC = CLK_64MHZ;
end else if(TIMESCALE == 4'd2) begin // 4us/Div, 2samp/pxl
CLK_ADC = CLK_32MHZ;
end else if(TIMESCALE == 4'd3) begin // 8us/Div, 2samp/pxl
CLK_ADC = CLK_16MHZ;
end else if(TIMESCALE == 4'd4) begin // 16us/Div, 2samp/pxl
CLK_ADC = CLK_8MHZ;
end else if(TIMESCALE == 4'd5) begin // 32us/Div, 2samp/pxl
CLK_ADC = CLK_4MHZ;
end else if(TIMESCALE == 4'd6) begin // 64us/Div, 2samp/pxl
CLK_ADC = CLK_2MHZ;
end else if(TIMESCALE == 4'd7) begin // 128us/Div, 2samp/pxl
CLK_ADC = CLK_1MHZ;
end else if(TIMESCALE == 4'd8) begin // 256us/Div, 2samp/pxl
CLK_ADC = CLK_500KHZ;
end else if(TIMESCALE == 4'd9) begin // 512us/Div, 2samp/pxl
CLK_ADC = CLK_250KHZ;
end else if(TIMESCALE == 4'd10) begin // ...
CLK_ADC = CLK_125KHZ;
end else if(TIMESCALE == 4'd11) begin
CLK_ADC = CLK_62KHZ;
end else if(TIMESCALE == 4'd12) begin
CLK_ADC = CLK_31KHZ;
end else if(TIMESCALE == 4'd13) begin
CLK_ADC = CLK_16KHZ;
end else if(TIMESCALE == 4'd14) begin
CLK_ADC = CLK_8KHZ;
end else if(TIMESCALE == 4'd15) begin
CLK_ADC = CLK_4KHZ;
/*
end else if(TIMESCALE == 4'd16) begin
CLK_ADC = CLK_2KHZ;
end else if(TIMESCALE == 4'd17) begin
CLK_ADC = CLK_1KHZ;
// end else if(TIMESCALE == 4'd18) begin
// CLK_ADC = CLK_500HZ;
end else if(TIMESCALE == 4'd19) begin
CLK_ADC = CLK_US524288;
end else if(TIMESCALE == 4'd20) begin
CLK_ADC = CLK_US1048576;
end else if(TIMESCALE == 4'd21) begin
CLK_ADC = CLK_US2097152;
end else if(TIMESCALE == 4'd22) begin
CLK_ADC = CLK_US4194304;
end else if(TIMESCALE == 4'd23) begin
CLK_ADC = CLK_US8388608;
*/
end else begin
CLK_ADC = 1'b0;
end
end
/*
//------------------------------------------------------------------//
// ADC DATA READING //
//------------------------------------------------------------------//
always @ (negedge CLK_ADC or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
DATA_OUT <= 8'b0;
end else begin
DATA_OUT <= ADC_DATA;
end
end
 
//assign DATA_OUT = ADC_DATA;
*/
endmodule
 
 
 
/tags/A2/AdcDriver/d_DCM.xaw
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.2e
$9ax4>7<8=1;"=7>;0685+5302;=+eM?813867=58;199?5<2695*6(7;`=0M_MGWHX23>GU_SUDBAWPC@Q@EAOIOVIGG<64ASUY[JHKQVIGGD@PEYVFVKGK9k1J^ZTPOONZ[AEJWZ]BXNFFNF]@HN773HX\VRAALX]G@WYD88:0M_YU_NLO]ZBCZVI:=<5NRVX\KKJ^WMNYSNBD179BVR\XGGFRSIJ]_GNJTCJHX8?0M_YU_NLO]Z@EWLR_I_@NL008EWQ]WFDGURGASU]MQHc<I[]QSB@CY^RNGA703HX\VRAALX]QAFIIN@MT\D@\149BVR\XGGFRS_K\EU]OKBOD911J^ZTPOONZ[SGKAMUOJ^QBOEGb?DUTGJU\EYF>3:CT^ZEKCK_M=RLCKOCNA43<I^PTOAEMUG3\MZUNOGKFI<;4AVX\GIME]O;TCR]FGOCNAc=F_SUH@FLZFU[SA4b<I^PTOAEBUY]J5f=F_SUM@BY[YQG;?DQ]WYKYXi5NW[]P]KRO\FEG86L@TI68FP@@:01H6QA|c]ZjhlhTMxbzh||\@f`@woqm{VoSIMB.yct0>EKC9<0OAE>81a8GIM609U=8@FU6:AOO717=2IGG?V7;BNH6]7?8<1H@F7?5:AOOAPd3JF@H[QKIWKGA0=DDBLI96MCKGZ;?FJLNQ;3<n5LLJD[5=6XJ\L:>6MCKGZ2<5YE]OMTEC][9:AOOC^XJ\Lm7NBDFY]AQCAXAGY_o6MCKGZ\@LPNLLo0OAEIX^OVHQOWDP?0OAEFN038GIMNFVNBZDJJ_C[\6g=DDBCESDLZFF33?FJLAGUBNXHH_HLPPc=DDBCESDLZFF]LQQ><KEABBRGAc:AOOLHXXLXBCIk4CMIJJZUUKV^R\H?=;BNHKPRXXAKXIR]GIGV:?FJLW?>FDWm4CMI\B@CCJHI@j6MCK^MVPUSSW]S[I45LOLOJPQCC?2IYILZJD29GGH><LJGTEC][d:FFWNCPWHNAY^Z>2:FEWZ@UMX_NBNWPMNFF57=CDEUM^H_ZEOAZ[HICM<1O_^KA149GQQ_XKPIGIRM@RSG@PLII?2NTZLBZE99F\QCUFHFj7KOLTNPZ[O@23OKG_H74FC]PKPTDM01MECQZNHVPe>@H]]U^BDZ\a:DLQQYQIE_N>6HW1:K6?LDRNN;:7GG[TDP\MKVR\V^R\Hm4JHVWAWYWC;Z@o6DFTUGQ[SGK]L<0@BOKEEa8HJEFD[E^XD@H6:NLGNCCi2FDOFKK_NWW7>JH_k1GCZ]DEVNJJ@5<EAPi7@QNNE]G[VRU?2GTZLBZE69MAQQHZB>0BB@J1:M;?J@CL[I[Io5_H@QF[VNNN]30\D@GAR@VWd=WAGCXKCJJD99SMKQNL]B37]\FMU[SA46<X[E[S]GAIRC@PLPB=2ZXOAE9;QQGKKC33YYNB55_SHL@@@E43[Y_:6\\T^KMf>UNOLR_I_@NL79PMKAKMj1XXL\[UQ]TELR13Z^JXX]>2:QZWQCJWZSEOE\@NNWP7>RHX=1_^XKi;TQFHJEFD[E^XD@Hf:WPAIIP[BO\@D@JS99VW@YE]OM37X]J_FPJ@0=QAL]:n6VNW^TFVVOHF8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1S_Y84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96U?:1_6?^6=?T>0myu3:f`ig=qm{ybcc.>.168rmbkm2rh?~<?0,6ep731uIJ{?:5O@y07>C<?28qX89477;::>456n;>j6?m934ym<6<63g2?6?5+838;4>{T<:03;766:012b72f2;i2oo5\5`8;3?>>289:j?:m:9353>U3;32<6575123e61d=08ih7^;n:959<<<6;8l98o4746;8W15=0>0357?<1g07f?>30?1X9l477;::>456n;>i6n6>a:Q77?>02131=>?i25`9g=be3m2<6=4>:0yP01<??3226<=>f36b>7e1;<1}X:k50;395??|[=>14:479;305c43i38h:>;4b6:94?6=:33p(o478:&2b?>f3-8;65l4$339<4=#?o0j7o:9:1827?6=8r.=n7::;%a96f=#l3=?7)k5249'b?423-;;6?84$03960=#9;0=:6*>3;57?!732:1/=8494:&23?2a3-;36?<4$53921=#:m0=7)=::99'73<>3-9369h4$2f93>"4m3>o7)=i:768 11=?>1/8n47;%6;>0c<,=319h5+4c84=>"3m3?0(8>5659'14<182.>>78?;%70>5=#=>0==6*92;;8 31=92.<97:i;%5`>2b<,8<18k5+43860>"21380(8654:k03?6=,?k1485+6b84=>"6l3?=76g=e;29 3g=0<1/:4489:9j34<72-<j65;4$7a93<=<a?l1<7*9a;:6?!0>2>307d9?:18'2d<?=2.=5796;:k5`?6=,?k1485+6884=>=n<h0;6)8n:978 3?=?01/:n489:9l60<72-<j65;4;n0a>5<#>h03965`2783>!0f21?07b<7:18'2d<?=21d>n4?:%4b>=3<3f826=4+6`8;1>=h:h0;6)8n:978?j4a290/:l475:&5g?1>3-;n6=5+1e86b>"6k3>;76a<0;29 3g=0<1/:n489:&2a?6<,8n19k5+1b813>=h??0;6)8n:948 3>=?010c8m50;&5e?>23-<h6:74;n4f>5<#>h03965rb2c94?4=83:p(;l5579j10<72-<j65;4$7a93<=#9k08o65`6483>!0f21?0(;m5789'5f<4121v>750;0x910=;>16?l495:&2=?5e3ty<>7>51z?72?163-=868;4}r10>5<6s4>=6?h4$6c94>"613>;7)9m:19~w67=83;p1985319'3d<73-;26?94$6`94>{t=m0;6<u24786g>"0;3<>7p}<c;295~;4i3?>7)9<:478yv27290;w)9<:778yv40290;w)9<:778yv5e290;w)9<:778yxh5=3:1=vsa2783>4}zf;=1<7?t}o0;>5<6std957>51zm6d<728qvb?l50;3xyk4d290:wp`=d;295~{zutJKOv=8:0227=7dntJKNv>r@ARxyEF
/tags/A2/spartanIII.alternascope.mcs
0,0 → 1,8188
:020000040000FA
:10000000FFFFFFFF5599AA660C000180000000E089
:100010000C8006800000002C0C8004800200FCA7ED
:100020000C800380808202C90C0003800000000065
:100030000C000180000000900C0004800000000013
:100040000C000180000000800C0002000A00FE117C
:1000500000000000000000000000000000000000A0
:100060000000000000000000000000000000000090
:100070000000000000000000000000000000000080
:100080000000000000000000000000000000000070
:100090000000000000000000000000000000000060
:1000A0000000000000000000000000000000000050
:1000B000000000000000520000000000004A0000A4
:1000C0000000000000000000000000000000000030
:1000D0000000000000000000000000000000000020
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000000000
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:1001300000000000000000000000000000000000BF
:1001400000000000000000000000000000000000AF
:10015000000000000000000000000000000000009F
:10016000000000000000000000000000000000008F
:10017000000000000000000000000000000000007F
:10018000000000000000000000004A000000000025
:10019000005A000000000000000000000000000005
:1001A000000000000000000000000000000000004F
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D000000000000000000000000000000000001F
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000040404448000020D3
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000000DE
:1002200000000000000000000000000000000000CE
:1002300000000000000000000000000000000000BE
:1002400000000000000000000000000000000000AE
:10025000000000000000000000000000000000009E
:10026000000000000000000000000000000000008E
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B000000000000000000000000000000000003E
:1002C00000000000000000110600C0000000000057
:1002D000000000000000050C86A005312818000071
:1002E0000000053128180000000000000000050C87
:1002F00086A0050028180000000000000000050C82
:1003000000A005002818050C00A0053128180000E1
:10031000000005002818050C00A0050028180000A2
:10032000000000000000050C00A0050028180000D7
:100330000000053128180000000005312818050CC0
:1003400000A00500281800000000000000000000C8
:10035000000005002818050C00A005002818050C51
:1003600086A005002818050C00A00500281800002C
:10037000000000000000050C00A005002818000087
:10038000000000000000050C00A000000000050CAB
:1003900086A00531281800000000000000000000C1
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000000000000000000000002D
:1003D000000000000000000000000000000000001D
:1003E000000000000000000000000000000000000D
:1003F00000000000000000000000000000000000FD
:1004000000000000000000000000000000000000EC
:1004100000000000000000000000000000000000DC
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:100470001800000000000000000000000000000064
:10048000000000810000000000000080000000006B
:1004900000000000000000000000008108000000D3
:1004A00000000000000000000000108108000000B3
:1004B0000000108100000000000000800800000023
:1004C0000000108108000000000000000000000093
:1004D0000000108108000000000000800000000003
:1004E00000000080000000000000108108000000F3
:1004F0000000000000000000000000800800000074
:1005000000001081080000000000008108000000C9
:100510000000108108000000000000000000000042
:100520000000108108000000000000000000000032
:100530000000100100000000000000810000000029
:1005400000000000000000000000000000000000AB
:10055000000000000000008100000000000000809A
:10056000000000000000000000000000000000810A
:1005700000000000000000000000000000000081FA
:10058000000000000000008100000000000000806A
:1005900000000000000000810000000000000000DA
:1005A000000000000000008100000000000000804A
:1005B000000000000000008000000000000000813A
:1005C00000000000000000000000000000000080AB
:1005D0000000000000000081000000000000008119
:1005E000000000000000008100000000000000008A
:1005F000000000000000008100000000000000007A
:100600000000000000000001000000000000008168
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000000000000000000000000000000AA
:10065000000000000000000000000000000000009A
:10066000000000000000000000000000000000008A
:10067000000000000000000000000000000000007A
:10068000000000000000000000000000000000006A
:10069000000000000000000000000000000000005A
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D000000000000000000000000000000000001A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000000000000000000000000E9
:1007100000000000000000000000000000000000D9
:1007200000000000000000000000000000000000C9
:1007300000000000000000000000000000000000B9
:1007400000000000000000000000000000000000A9
:100750000000000000000000000000000000000099
:100760000000000000000000000000000000000089
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:100790000000000000000000000000000000000059
:1007A0000000000000000000000000000000000049
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E0000000000000000000000000000000000009
:1007F00000000000000000000000000000000000F9
:1008000000000000000000000000000000000000E8
:1008100000000000000000000000000000000000D8
:1008200000000000000000000000000000000000C8
:1008300000000000000000000000000000000000B8
:1008400000000000000000000000000000000000A8
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0000000000000000000000000000000000038
:1008C0000000000000000000000000000000000028
:1008D0000000000000000000000000000000000018
:1008E0000000000000000000000000000000000008
:1008F00000000000000000000000000000000000F8
:1009000000000000000000000000000000000000E7
:1009100000000000000000000000000000000000D7
:1009200000000000000000000000000000000000C7
:1009300000000000000000000000000000000000B7
:1009400000000000000000000000000000000000A7
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:100990000000000000000000000000000000000057
:1009A0000000000000000000000000000000000047
:1009B0000000000000000000000000000000000037
:1009C0000000000000000000000000000000000027
:1009D0000000000000000000000000000000000017
:1009E0000000000000000000000000000000000007
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000000000000000000000000086
:100A70000000000000000000000000000000000076
:100A80000000000000000000000000000000000066
:100A90000000000000000000000000000000000056
:100AA0000000000000000000000000000000000046
:100AB0000000000000000000000000000000000036
:100AC0000000000000000000000000000000000026
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000006
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B20006000000000020000200000000000000043
:100B300000000000000000000000000000000000B5
:100B400000000000000000000000000000000000A5
:100B50007000000000010000000000000000000024
:100B60000000000000000000000000000000000085
:100B70000000000000020000600000000000000013
:100B800070000000000000000000000000020000F3
:100B90000000000000000000000000000000000055
:100BA0000000000000000000000000000002000043
:100BB0000000000000020000000000000000000033
:100BC0000000000000010000000000000000000024
:100BD0000000000000000000100000000001000004
:100BE0000000000000000000000000000000000005
:100BF00000000000000000002000000000020000D3
:100C000000000000000000000000000000000000E4
:100C100000000000000000000000000000000000D4
:100C20000000000010000000C000000000000000F4
:100C300000000000000000000000000000000000B4
:100C40000000000000000000000000001000000094
:100C50000000000010000000000000000000000084
:100C60002000000000000000000000000000000064
:100C70000000000000000000A002000000000000D2
:100C80002000000000000000000000000000000044
:100C90000000000000000000C00000000000000094
:100CA0000000000000000000A002000000020000A0
:100CB000C000000000000000000000000000000074
:100CC00000000000000000004000000004000000E0
:100CD0000000000000000000000000000000000014
:100CE000800000000800000000000000000000007C
:100CF00000000000080000000000000000000000EC
:100D0000800000000000000000000000080000005B
:100D100000000000000000000000000000000000D3
:100D20000000000000000000800000000000000043
:100D300000000000000000000000000000000000B3
:100D400000000000000000000000000000000000A3
:100D50000000000000000000000000000000000093
:100D60000000000000000000800000000000000003
:100D70000000000000000000000000000000000073
:100D800080000000000000000000000000000000E3
:100D90000000000000000000000000001000000043
:100DA0000000000000000000000000000000000043
:100DB0000000000000000000D00000000000000063
:100DC0000000000000000000000000000000000023
:100DD0000000000000000000000000001000000003
:100DE0000000000000000000000000000000000003
:100DF00000000000000000000000000000000000F3
:100E000000000000000000000000000000000000E2
:100E100000000000000000000000000000000000D2
:100E20000000000010000000A00000000000000012
:100E300000000000000000000000000000000000B2
:100E400000000000000000000000000000000000A2
:100E50000000000000000000500000000000000042
:100E60000000000000000000000000000000000082
:100E70005000000000000000A00000000000000082
:100E80000000000000000000600000000000000002
:100E900000000000000000008000000000000000D2
:100EA000680000000000000060000000000000007A
:100EB00060000000000000000000000000000000D2
:100EC0002800000000000000680000000000000092
:100ED000700000000000000068000000000000003A
:100EE00000000000000000002800000000000000DA
:100EF0006800000000000000700000000001000019
:100F000028000000000000000000000000000000B9
:100F100010000000000000000000000000000000C1
:100F20000000000000000000600000000000000061
:100F30000000000000000000000000000000200091
:100F40000000000010000000000000000000000091
:100F50004000000010000000000000000000100031
:100F60000000200000101000000000000000100031
:100F70000000200000000000100000000000000041
:100F80001000200001000000000000000000000030
:100F90000000200000000000000000000000000031
:100FA00000000000000080000000000000000000C1
:100FB0000000000000000000000000000000000031
:100FC00000000000800000000000000000000000A1
:100FD00000400000000000000000000000000000D1
:100FE00000000020000000000000000000000000E1
:100FF00000000020000000000000000000000000D1
:1010000000000000000000000000000000000000E0
:101010000000000000000000100000000000005070
:101020000009000050000000100000000000000057
:101030000000100000000000003030000000000040
:1010400000003000000000000000B0103000000080
:101050000080000030000000005000000000B000E0
:101060000000B000000000000000000000000000D0
:101070000000000000000000000000000000000070
:10108000B0000000000000000000000000000000B0
:101090000000000000000000000000000000000050
:1010A0000000000000000000000000000000000040
:1010B0000000000000000000000000000000000030
:1010C0000000000000000000000000000000000020
:1010D0000000000000000000001000000000000000
:1010E00000000000000010000000000000000000F0
:1010F00000000000000000001000000000000000E0
:10110000000000000000000000001000800000004F
:10111000000000000000000000003000000000801F
:101120000000000000000000000030000C00000083
:1011300000000000000000500000B00000000000AF
:10114000000000000000000000000000000000009F
:10115000000000000000000000000000000000008F
:1011600000000000000000000000000030006000EF
:10117000000000000000005000100000000000000F
:1011800000000000005000000000001000000000FF
:10119000000000000000000000000030000000001F
:1011A00000000000000000000000000000800000BF
:1011B000000000000000000000000000000000002F
:1011C0000000000000040000000000000004000017
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000400000000000000000000EB
:1012000000000000000000000000000000000000DE
:1012100010000000000000000000000000040000BA
:1012200000000000000400000000000000000000BA
:10123000000000000000000000000000000010009E
:10124000100000000000000000000000000000008E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000400006A
:10128000000000000000000000000000000000005E
:101290000000000000000000040100000000000049
:1012A000000100000000000000000000000000003D
:1012B000040000000000000000000000000000002A
:1012C000000000000000000000010000000000001D
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F00000010000000000000001000000000000EC
:1013000000000000000000000000000000000000DD
:1013100000008000800000000000000000000000CD
:1013200004000000000000000000000000000000B9
:1013300000000000000000000000000000000000AD
:10134000000000000000000000000000000000009D
:101350000401000000000000000000000000000088
:101360000000000000000000000000000400000079
:10137000000000000000000000000000000000006D
:101380000000000004000000000000000000000059
:10139000000000000000000000000000000000004D
:1013A000000000000000000000000000000000003D
:1013B000000000000000000010000000000000001D
:1013C000000000000000000000000000000000001D
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000000000040000000000000000000000E9
:1014000000000000000000000000000000000000DC
:1014100000000000000000000000000000000000CC
:1014200000000000040000000000000000000000B8
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000000000000000000000007C
:10147000000000000000000000000000000000006C
:10148000000000000000000000000000000000005C
:10149000000000000000000000000000000000004C
:1014A000000000000000000000000000000000003C
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E00000000000000000000000000000000000FC
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:1015100000000000000000000000000000000000CB
:1015200000000000000000000000000000000000BB
:1015300000000000000000000000000000000000AB
:10154000000000000000000000000000000000009B
:10155000000000000000000000000000000000008B
:10156000000000000000000000000000000000007B
:10157000000000000000000000000000000000006B
:10158000000000000000000000000000000000005B
:10159000000000000000000000000000000000004B
:1015A000000000000000000000000000000000003B
:1015B000000000000000000000000000000000002B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000000000000000000FB
:1015F00000000000000000000000000000000000EB
:1016000000000000000000000000000000000000DA
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:1016300000000000000000000000000000000000AA
:10164000000000000000000000000000000000009A
:10165000000000000000000000000000000000008A
:10166000000000000000000000000000000000007A
:10167000000000000000000000000000000000006A
:10168000000000000000000000000000000000005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E00000000000000000000000000000000000FA
:1016F00000000000000000000000000000000000EA
:1017000000000000000000000000000000000000D9
:1017100000000000000000000000000000000000C9
:1017200000000000000000000000000000000000B9
:1017300000000000000000000000000000000000A9
:101740000000000000000000000000000000000099
:101750000000000000000000000000000000000089
:101760000000000000000000000000000000000079
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B0000000000000000000000000000000000029
:1017C0000000000000000000000000000000000019
:1017D0000000000000000000000000000000000009
:1017E00000000000000000000000000000000000F9
:1017F00000000000000000000000000000000000E9
:1018000000000000000000000000000000000000D8
:1018100000000000000000000000000000000000C8
:1018200000000000000000000000000000000000B8
:1018300000000000000000000000000000000000A8
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000000000000000000000000000000078
:101870000000000000000000000000000000000068
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C0000000000000000000000000000000000018
:1018D0000000000000000000000000000000000008
:1018E00000000000000000000000000000000000F8
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000000000000000000D7
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000000000000000000000A7
:101940000000000000000000000000000000000097
:101950000000000000000000000000000000000087
:101960000000000000000000000000000000000077
:101970000000000000000000000000000000000067
:101980000000000000000000000000000000000057
:101990000000000000000000000000000000000047
:1019A0000000000000000000000000000000000037
:1019B0000000000000000000000000000000000027
:1019C0000000000000000000000000000000000017
:1019D0000000000000000000000000000000000007
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000000000000000D6
:101A100000000000000000000000000000000000C6
:101A200000000000000000000000000000000000B6
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A60000000000000000000000000000000000076
:101A70000000000000000000000000000000000066
:101A80000000000000000000000000000000000056
:101A90000000000000000000000000000000000046
:101AA0000000000000000000000000000000000036
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000000000F6
:101AF00000000000000000000000000000000000E6
:101B000000000000000000000000000000000000D5
:101B100000000000000000000000000000000000C5
:101B200000000000000000000000000000000000B5
:101B300000000000000000000000000000000000A5
:101B40000000000000000000000000000000000095
:101B50000000000000000000000000000000000085
:101B60000000000000000000000000000000000075
:101B70000000000000000000000000000000000065
:101B80000000000000000000000000000000000055
:101B90000000000000000000000000000000000045
:101BA0000000000000000000000000000000000035
:101BB0000000000000000000000000000000000025
:101BC0000000000000000000000000000000000015
:101BD0000000000000000000000000000000000005
:101BE00000000000000000000000000000000000F5
:101BF00000000000000000000000000000000000E5
:101C000000000000000000000000000000000000D4
:101C100000000000000000000000000000000000C4
:101C200000000000000000000000000000000000B4
:101C300000000000000000000000000000000000A4
:101C40000000000000000000000000000000000094
:101C50000000000000000000000000000000000084
:101C60000000000000000000000000000000000074
:101C70000000000000000000000000000000000064
:101C80000000000000000000000000000000000054
:101C90000000000000000000000000000000000044
:101CA0000000000000000000000000000000000034
:101CB0000000000000000000000000000000000024
:101CC0000000000000000000000000000000000014
:101CD0000000000000000000000000000000000004
:101CE00000000000000000000000000000000000F4
:101CF00000000000000000000000000000000000E4
:101D000000000000000000000000000000000000D3
:101D100000000000000000000000000000000000C3
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D60000000000000000000000000000000000073
:101D70000000000000000000000000000000000063
:101D80000000000000000000000000000000000053
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB0000000000000000000000000000000000023
:101DC0000000000000000000000000000000000013
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E000000000000000000000000000000000000D2
:101E100000000000000000000000000000000000C2
:101E200000000000000000000000000000000000B2
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E50000000000000000000000000000000000082
:101E60000000000000000000000000000000000072
:101E70000000000000000000000000000000000062
:101E80000000000000000000000000000000000052
:101E90000000000000000000000000000000000042
:101EA0000000000000000000000000000000000032
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000000002
:101EE00000000000000000000000000000000000F2
:101EF00000000000000000000000000000000000E2
:101F000000080000000000080000000800000000B9
:101F100000000000000000000000000000000000C1
:101F200000000000000000000000000000000000B1
:101F300000000000000000000000000000000000A1
:101F40000000000000000000000000000000000091
:101F50000800000000000000000000000000000079
:101F60000000000000000000000000000000000071
:101F70000000000000000000080000000000000059
:101F80000000000000000000200000000000000031
:101F90000020000000000000200000000000000001
:101FA0000000000000000000000020000000000011
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD00000000000000C0000000000080000000CE1
:101FE00000000000000000000000000000000000F1
:101FF00000000000000000000000000000000000E1
:10200000000000000000000D000000000000003093
:1020100000000000000900000000000000000000B7
:102020000000000008001000000000000000000098
:1020300000000000000000000000000000000000A0
:102040000000000000000000000000000C00000084
:102050000000000000000E00000000000000000072
:10206000000A000004000000000000000000000062
:10207000000000000000000A000000000000000056
:102080000000000000000900000000000000000E39
:102090000000000000000000000000000000000040
:1020A0000000000000000000000000000000000030
:1020B0000000000000000000000000000000000020
:1020C0000000000000000000000000000000000010
:1020D0000000000000000000000000000000000000
:1020E0000000008000000000000000000000000070
:1020F0000000000000000000000080000000000060
:1021000000000000000000000000000000000000CF
:1021100000000000000000000000000000000000BF
:10212000600000500000000000700000000000008F
:10213000300000000000000001300000000000003E
:10214000300000000000000000000000000000005F
:10215000000010000000000000000050000000001F
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000000000000000000000003F
:1021A000000000000000000000000000000000002F
:1021B000000000000000000000000000000000001F
:1021C000000000000000000000000000000000000F
:1021D00000000000000000000000000000000000FF
:1021E00000000000000000000000000000000000EF
:1021F00000000000000000000000000000000000DF
:1022000000000000000000000000000000000000CE
:1022100000000000000000000000000000000000BE
:1022200000000000000000000000000000000000AE
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:10226000000000000000000000000000000000006E
:10227000000000000000000000000000000000005E
:10228000000000000000000000000000000000004E
:10229000000000000000000000000000000000003E
:1022A000000000000000000000000000000000002E
:1022B000000000000000000000001000000000000E
:1022C000000000000000000000000000000000000E
:1022D00000000000000000000000000000000000FE
:1022E00000000000000000000000000000000000EE
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:10233000000000000000000000000000000000009D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000000000000000000006D
:10237000000000000000000000000000000000005D
:10238000000000000000000000000000000020002D
:10239000000000000000000000000000000000003D
:1023A000000000000000000000000000000000002D
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000000000000000000000009C
:10244000000000000000000000000000000000008C
:10245000000000000000000000000000000000007C
:1024600000000000000033FFFFFF0000000000003C
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A000000000000000000000000000000000002C
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:1025100000000000000000000000000000000000BB
:1025200000000000000000000000000000000000AB
:102530000000000000000000000000000C0000008F
:10254000000000000000000000000000000000008B
:10255000000000000000000000000000000000007B
:10256000000000000000000000000000000000006B
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F00000000000000000000000000000000000DB
:1026000000000000000000000000000000000000CA
:1026100000000000000000000000000000000000BA
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000009A
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:10268000000000000000000000000000000000004A
:102690000000FFFFFFFF000000000000000000003E
:1026A000000000000000000000000000000000002A
:1026B000000000000000000000000000000000001A
:1026C000000000000000000000000000000000000A
:1026D00000000000000000000000000000000000FA
:1026E0000000AFAFFFFF000000000000000000008E
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:102790000000000000000000000000000000000039
:1027A0000000000000000000000000000000000029
:1027B0000000000000000000000000000000000019
:1027C0000000000000000000000000000000000009
:1027D00000000000000000000000000000000000F9
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000000000000000000098
:102840000000000000000000000000000000000088
:102850000000000000000000000000000000000078
:102860000000000000000000000000000000000068
:102870000000000000000000000000000000000058
:102880000000000000000000000000000000000048
:102890000000000000000000000000000000000038
:1028A0000000000000000000000000000000000028
:1028B0000000000000000000000000000000000018
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E00000000000000000000000000000000000E8
:1028F00000000000000000000000000000000000D8
:1029000000000000000000000000000000000000C7
:1029100000030003C00000000000000000000000F1
:1029200000000000000000000000000000000000A7
:102930000000000000000000000000000000000097
:102940000000000000000000000000000000000087
:102950000000000000000000000000000000000077
:102960000000000000000000000000000000000067
:102970000000000000000000000000000000000057
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C0000000000000000000000000000000000007
:1029D00000000000000000000000000000000000F7
:1029E00000000000008000800100000000000000E6
:1029F00000000000000000000000000000000000D7
:102A000000000000000000000000000000000000C6
:102A100000000000000000000000000000000000B6
:102A200000000000000000000000000000000000A6
:102A30000000000000000000000000000000000096
:102A40000000000000000000000000000000000086
:102A50000000000000000000000000000000000076
:102A60000000000000000000000000000000000066
:102A70000000000000000000000000000000000056
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000000036
:102AA0000000000000000000000000000000000026
:102AB0000000000000000000000000000000000016
:102AC0000000000000000000000000000000000006
:102AD00000000000000000000000000000000000F6
:102AE00000000000000000000000000000000000E6
:102AF00000000000000000000000000000000000D6
:102B000000000000000000000000000000000000C5
:102B100000000000000000000000000000000000B5
:102B200000000000000000000000000000000000A5
:102B30000000000000000000000000000000000095
:102B40000000000000000000000000000000000085
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000000000000000000000000000000000055
:102B80000000000000000000000000000000000045
:102B90000000000000000000000000000000000035
:102BA0000000000000000000000000000000000025
:102BB0000000000000000000000000000000000015
:102BC0000000000000000000000000000000000005
:102BD000000000000000000000000000000080462F
:102BE00004000000000000000000000000000000E1
:102BF00000000000000000000000000000000000D5
:102C000000000000000000000000000000000000C4
:102C100000000000000000000000000000000000B4
:102C200000000000000000000000000000000000A4
:102C30000000000000000000000000000000000094
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000000000074
:102C60000000000000000000000000000000000064
:102C70000000000000000000000000000000000054
:102C80000000000000000000000000000000000044
:102C90000000000000000000000000000000000034
:102CA0000000000000000000000000000000000024
:102CB00000004010000000000000000000000000C4
:102CC0000000000000000000000000000000000004
:102CD00000000000000000000000000000000000F4
:102CE00000000000000000000000000000000000E4
:102CF00000000000000000000000000000000000D4
:102D000000000000000000000000000000000000C3
:102D100000000000000000000000000000000000B3
:102D200000000000000000000000000000000000A3
:102D30000000000000000000000000000000000093
:102D40000000000000000000000000000000000083
:102D50000000000000000000000000000000000073
:102D60000000000000000000000000000000000063
:102D70000000000000000000000000000000000053
:102D80000000000000000041000000000000000002
:102D90000000000000000000000000000000000033
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000000013
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000000000000000000000000000000000C2
:102E100000000000000000000000000000000000B2
:102E200000000000000000000000000000000000A2
:102E30000000000000000000000000000000000092
:102E40000000000000000000000000000000000082
:102E50000000000000000000000000000000000072
:102E60000000000000000000000000000000000062
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC0000000000000000000000000000000000002
:102ED00000000000000000000000000000000040B2
:102EE000202000000000000001020000000000009F
:102EF000080A0000000000000200000000000000BE
:102F000000000000000000000808000000000000B1
:102F100000000000000000000000000000000000B1
:102F20000000000000000000000000404000000021
:102F30000000000000000000000000000000000091
:102F40000000000000000000000000000000000081
:102F50000000000000000008000000000000000069
:102F60000000000000000000000000000000000061
:102F70000000000000000000080000000000000049
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000000031
:102FA0000000000000000000000000000000000021
:102FB0000000000000000000000000000000000011
:102FC00000080000080800000000000000000000E9
:102FD00000000000000000000000000008080000E1
:102FE0000000000000000000000A000000000000D7
:102FF0000000000000000000000E0A0000000000B9
:103000000000000000000000000000000050000070
:10301000000A0D000000000000000000000C00008D
:1030200000000000000000000000000C0000000094
:103030000000000000000000000000000000000090
:103040000000000000000000000000000C00000074
:10305000000000050000000000000000000000006B
:103060000000000000000000000000000000000060
:103070000000000000000000000000000000000050
:103080000000000000000000002000000000000020
:10309000080C0000000100000008000E0000000005
:1030A0000C000000000000000000004001000000D3
:1030B0000000000000000000000000000000000010
:1030C0000000000000700000000000000000000090
:1030D00000000020200000000000000000000000B0
:1030E00000000000000000000000000000000000E0
:1030F00000010000000000000000000000000000CF
:1031000000000000000000000000000000000000BF
:1031100000000000000000000000000000000000AF
:10312000000000000000000000000000000000009F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:10315000000000000000002000000000400000000F
:10316000000000000000000000000000000000005F
:10317000000000000000000000000000000000004F
:1031800000800000000000000000000000000000BF
:10319000000000000000000000000000000000002F
:1031A000000000000000000000000000002000807F
:1031B00010000000000000000000100000000000EF
:1031C000000000000000000000008000000000007F
:1031D00000000000000000000000000000000000EF
:1031E00000000000000000000000000000000000DF
:1031F00000000000000000000000000000000000CF
:1032000000000000000000000000000000000000BE
:1032100000000000000000000000000000000000AE
:10322000000000000000000000000080000000001E
:10323000000000000000000000000000000000008E
:10324000000000000000000000000000000000007E
:10325000000000000020000000000000000000004E
:10326000000000000000000000000000000000005E
:10327000000000000000000000000000000000004E
:10328000004000204000000000000000000040005E
:10329000000000000000000000000000000010001E
:1032A000000000000000000000000000000000001E
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:1033000000000000000000000000000000000000BD
:1033100000000000000000000000000000000000AD
:10332000000000000000000000000000000000009D
:10333000000000000000000000000000000000008D
:10334000000000000000000000000000000000007D
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:10337000000000000000000000000000000000004D
:10338000000000000000000000000000000000003D
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C00000000000000000000000000000000000FD
:1033D00000000000000000000000000000000000ED
:1033E00000000000000000000000000000000000DD
:1033F00000000000000000000000000000000000CD
:1034000000005353FFFF3F30FFFFFFF0F3F3CFCF38
:10341000F3F3CFCFF3F3FFF0F5F51D1DFFFFFFCC66
:10342000DDDDAFAFBBBBFFF0F5F5EEEEBBBBFFAA3A
:10343000AFAF1B1BFFFF00000000000000000000FA
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000000000000000000000000000000000005C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A000000000000000000000000000000000001C
:1034B000000000000000000000000000000000000C
:1034C00000000000000000000000000000000000FC
:1034D00000000000000000000C0000000C00902420
:1034E0001E0090241E0090241E0090241E00000048
:1034F0000C0090241E0090241E0090241E00902496
:103500001E0090241E0000000C00000000000000BF
:1035100000000000000000000000000000000000AB
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000000000000000000000000000006B
:10356000000000000000000000000000000000005B
:10357000000000000000000000000000000000004B
:10358000000000000000000000000000000000003B
:10359000000000000000000000000000000000002B
:1035A000000000000000000000000000000000001B
:1035B000000000000000488060A94F8060B94F8083
:1035C00060B94F8060B94F8060B94F8060B94F805B
:1035D00060B94F8060B94F8060B00000000000000B
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:10362000000000000000000000000000000000009A
:10363000000000000000000000000000000000008A
:10364000000000000000000000000000000000007A
:10365000000000000000000000000000000000006A
:10366000000000000000000000000000000000005A
:103670000000000000000000000000000000DD115C
:10368000FFFF4747FFFF3F30FFFF3333FF00FF00DF
:1036900033330F0F55555555FF0055553333FF0044
:1036A00033330F0F55553333FF005555F0F00000FD
:1036B0000000550FFFFF00000000000000000000A8
:1036C00000000000000000000000000000000000FA
:1036D00000000000000000000000000000000000EA
:1036E00000000000000000000000000000000000DA
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:103720000000000000000000000000000000000099
:103730000000000000000000000000000000000089
:103740000000000000000000000000000000000079
:103750000000000000000000000080280000205051
:1037600000548039000020500064800800002000D0
:103770000022803900002050009280280000205054
:10378000008A0000000000000000000000000000AF
:103790000000000000000000000000000000000029
:1037A0000000000000000000000000000000000019
:1037B0000000000000000000000000000000000009
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000000000E9
:1037E00000000000000000000000000000000000D9
:1037F00000000000000000000000000000000000C9
:1038000000000000000000000000000000000000B8
:1038100000000000000000000000000000000000A8
:1038200000000000000000000000000000008028F0
:103830000000001000868028000000100092800028
:103840000000001000028028000000100086802880
:1038500000000010008A00000000000000000000CE
:103860000000000000000000000000000000000058
:103870000000000000000000000000000000000048
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000000000000000008
:1038C00000000000000000000000000000000000F8
:1038D00000000000000000000000000000000000E8
:1038E00000000000000000000000000000000000D8
:1038F00000000000000000000000000000000000C8
:10390000000000E2E000C0004700030000E24700C2
:10391000E00000C0C00000000000020000C0440041
:10392000E00000C00000E0004403000000000000D0
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000000000000047
:103980000000000000000000000000000000000037
:103990000000000000000000000000000000000027
:1039A0000000000000000000000000000000000017
:1039B0000000000000000000000000000000000007
:1039C00000000000000000000000000000000000F7
:1039D0000000000000000010004010802000204087
:1039E000001008000000009010200000010004C03A
:1039F00000100000000000100040008000080000DF
:103A000000000000000000000000000000000000B6
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A60000000000000000000000000000000000056
:103A70000000000000000000000000000000000046
:103A80000000000000000000000000000000000036
:103A90000000000000000000000000000000000026
:103AA0000000080200000000040006000000008082
:103AB00002780000000000C00700000500000000C0
:103AC00004340002000000C003000003602800C0AE
:103AD000E300008200000000000000000000000081
:103AE00000000000000000000000000000000000D6
:103AF00000000000000000000000000000000000C6
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B20000000000000000000000000000000000095
:103B30000000000000000000000000000000000085
:103B40000000000000000000000000000000000075
:103B50000000000000000000000000000000000065
:103B60000000000000000000000000000000000055
:103B700000000000000000000000000021601000B4
:103B8000000000010040100000010080E100000082
:103B9000000100800130100200010000E00000037D
:103BA000608000C00100001008000000C10000009B
:103BB0000000000000000000000000000000000005
:103BC00000000000000000000000000000000000F5
:103BD00000000000000000000000000000000000E5
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C100000000000000000000000000000000000A4
:103C20000000000000000000000000000000000094
:103C30000000000000000000000000000000000084
:103C40000000000000000000000040010000000033
:103C500008C008280602809000082000000000002C
:103C6000088010040000000000A0201400000000E4
:103C7000088010144001002020800008000000206F
:103C8000080000000000000000000000000000002C
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC00000000000000000000000000000000000F4
:103CD00000000000000000000000000000000000E4
:103CE00000000000000000000000000000000000D4
:103CF00000000000000000000000000000000000C4
:103D000000000000000000000000000000000000B3
:103D100000000000000000000000000000008140E2
:103D200000008007A0000003600000400000000DBC
:103D3000D00100000070808007000006D0700000F5
:103D4000D00100000070100060010000C070000091
:103D500000000000E0000000000000000000000083
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000000000003
:103DC00000000000000000000000000000000000F3
:103DD00000000000000000000000000000000000E3
:103DE00000000000000000000000000000000000D3
:103DF00000000C838100000000010803F0000000B7
:103E00000000000F50000000D0000005000000205E
:103E10000000000F50000000D00000000000000073
:103E2000000200020000000000010000000000008D
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000020000032
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000000000000032
:103E90000000000000000000000000000000000022
:103EA0000000000000000000000000000000000012
:103EB0000000000000000000000000000000000002
:103EC00000000000000000000000000000000000F2
:103ED0000000001020000001200000001010000071
:103EE00000000000001000010000000000000000C1
:103EF00002000004000000000000000000000000BC
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000000000000000000000000091
:103F30000000000008000000000000000000000079
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F60000000000000000400040004000000040041
:103F7000040004000D000400040000000D00000017
:103F800000000A080D000000000000000000000012
:103F9000000000000000000000000A0D000000000A
:103FA00000000060000000300000000000500050E1
:103FB00000200050000000600020000000000E0CF7
:103FC0000000005000000000000000500000000051
:103FD00000000000000000000000000000000000E1
:103FE000000000000000000C0000000000000000C5
:103FF00000000000000000000000000000000000C1
:1040000000000000000000000800000000000000A8
:104010000000000008080000000000000000000090
:104020000000000000000000000000000000000090
:1040300000000000000060000000010001006100BD
:10404000000001000100610000000100010000000B
:10405000000000000000000100000000000000005F
:104060000000000000000000000000000000000050
:104070000A00000000000000000000000000000C2A
:1040800000000000000000000000000000000A0C1A
:10409000500E007100000000040000040000000049
:1040A0000000000000000000000000000000000010
:1040B00000000000000000000000000100000000FF
:1040C00000000000000000000000000000000000F0
:1040D00000000000000000000000000000000000E0
:1040E0000000000000000000008800000000000048
:1040F00000000000000000000000000000000000C0
:1041000000000000000000000000000000000000AF
:10411000000000000000000000000000000000009F
:10412000000000000000000000000000000000008F
:10413000000000000000000000000000000000007F
:10414000000000000000000000000000001040001F
:10415000000000000000000000100000000000004F
:10416000000000000000000000000000000000004F
:10417000000002000000002000000000000000001D
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000008000C80000B7
:1041C00000000000000000000000000000000000EF
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000000000000000CF
:1041F00000000000000000000000000000000000BF
:1042000000000008000000000000000000000000A6
:1042100000000000000080200000012000000000DD
:10422000008020800000000000000000009080005E
:10423000000000000000000000000000000000007E
:1042400000000000000004000004008000000000E6
:10425000000000000000000000000000000000005E
:10426000000000000000000000000000000000004E
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000000000000000000000FE
:1042C00000000000000000000000000000000000EE
:1042D00000000000000000040000000000000000DA
:1042E000000000000000000000000020000000208E
:1042F00000000000000000000000000000000000BE
:10430000002000000000000000000000000000008D
:104310000000000000000000000000000004000099
:10432000000000000000000000000200000000008B
:10433000000000000000000000000000000000007D
:10434000000000000000000000000000000000006D
:1043500000000000000000404200000000000000DB
:10436000000000000000000000000000000000004D
:10437000000000000000000000000000000000003D
:10438000000000000000000000000000000000002D
:10439000000000000000000000000000000000001D
:1043A000000000000000000000000000000000000D
:1043B00000000000000000000000000000000000FD
:1043C00000000000000000000000000000001D1DB3
:1043D000FFFFF303FFFF000000005353FFFF3F3FC9
:1043E000FF0F5F50FFFFFFFFA0EC070F0F0700005C
:1043F00000000B0F070F000000000000000000008D
:1044000000003313FFFF0000000000000000000068
:10441000000000000000000000000000000000009C
:10442000000000000000000000000000000080000C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:10445000000000000000000000000000000000005C
:10446000000000000000000000000000000000004C
:10447000000000000000000000000000000000003C
:10448000000000000000000000000000000000002C
:10449000000000000000000000000000000000001C
:1044A000000000000C0000000C00000000000000F4
:1044B0000C0090241E0000000C0000000C00000006
:1044C0000C000000000000000C00000000000000D4
:1044D00000000000000000000C00000000000000D0
:1044E00000000000000000000000000000000000CC
:1044F00000000000000000000000000000000000BC
:1045000000008000000000000000400100000000EA
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:10453000000000000000000000000000000000007B
:10454000000000000000000000000000000000006B
:10455000000000000000000000000000000000005B
:10456000000000000000000000000000000000004B
:10457000000000000000000000000000000000003B
:104580000000000000009024120000000000000065
:10459000000000000000000000000000000000001B
:1045A000000000000000000000000000000000000B
:1045B00000000000000000000000000000000000FB
:1045C00000000000000000000000000000000000EB
:1045D00000000000000000000000000000001000CB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:1046000000000000000000000000000000000000AA
:10461000000000000000000000000000000000009A
:10462000000000000000000000000000000000008A
:10463000000000000000000000000000000000007A
:1046400000000000000000000000CF03FFFFF303A4
:10465000FFFF0000000000000000FF33FF33FEFFFB
:10466000A0ECA0ECFF0F3323233300000000000078
:10467000000000000000000000000000000000003A
:10468000000000000000000000000000000000002A
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000001000000000000000000000000000EA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E00000000000000000000000000000000000CA
:1046F00000000000000000000000000000000000BA
:1047000000000000000000000000000000000000A9
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000003059
:104730000200004024900000000000000000000083
:104740000000000000000000000000000000000069
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:104780000000000000000001000000000000000028
:104790000000000000000000000000000000000019
:1047A0000000000000000000000000000000000009
:1047B00000000000000000000000000000000000F9
:1047C00000000000000000000000000000000000E9
:1047D00000000000000000000000000000000000D9
:1047E00000000000000000000000000000000000C9
:1047F00000000000000000000000000000000000B9
:1048000000001128100000080480000000000000D3
:104810000000000000000000000000000000000098
:104820000000000000000000000000000000000088
:104830000000000000000000000000000000000078
:104840000000000000000000000000000000000068
:104850000000000000000000000050000000000008
:104860000000000000000000000000000000000048
:104870000000000000000000000000000000000038
:104880000000000000000000000000000000000028
:104890000000000000000000000000000000000018
:1048A0000000000000000000000000000000000008
:1048B00000000000000000000000000000000000F8
:1048C0000000000000000000C00000000000000028
:1048D00000000000000000C000C746E0C000400823
:1048E00000000000000000000000000000000000C8
:1048F00000000000000000000000000000000000B8
:1049000000000000000000000000000000000000A7
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:104930000000000000000000000000000000000077
:104940000000000000000000000000000000000067
:104950000000000000000000000000000000000057
:104960000000000000000000000000000000000047
:104970000000000000000000000000000000000037
:104980000000000000000000000000000000000027
:104990000000000000000000000000000100000016
:1049A00000000000000000000000000400200402DD
:1049B000800001000008000000000000000000006E
:1049C00000000000000000000000000000000000E7
:1049D00000000000000000000000000000000000D7
:1049E00000000000000000000000000000000000C7
:1049F00000000000000000000000000000000000B7
:104A00000000C000000000000000000000000000E6
:104A10000000000000000000000000000000000096
:104A20000000000000000000000000000000000086
:104A30000000000000000000000000000000000076
:104A40000000000000000000000000000000000066
:104A50000000000000000000000000000000000056
:104A60000000000000000000000000000000024DF7
:104A70000000000000000000100000000000020024
:104A800060000000A00088C07100800401000000E8
:104A900028010000001000000000000000000000DD
:104AA00028000000000000000000000000000000DE
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000002E4
:104AD0000000000000000000009000000000000046
:104AE00000000000000000000000000000000000C6
:104AF00000000000000000000000000000000000B6
:104B000000000000000000000000000000000000A5
:104B10000000000000000000000000000000000095
:104B20000000000000000000000000000000000085
:104B30000000000000000000000000000000000075
:104B400000000100080080472466000010000000FB
:104B5000007406A0100000C0E1002085E3000016EC
:104B6000E00A00002000000000100000000000002B
:104B70000000000000000000003400000000000001
:104B80000000000000000000000000000000000025
:104B90000000000000000000000000000000000015
:104BA00000000000000000000000000000180000ED
:104BB00000000000000000000000000000000000F5
:104BC00000000000000000000000000000000000E5
:104BD00000000000000000000000000000000000D5
:104BE00000000000000000000000000000000000C5
:104BF00000000000000000000000000000000000B5
:104C000000000000000000000000000000000000A4
:104C10000000000000000000000000000000000094
:104C200080000000000026288B000020C8044800F7
:104C30006F010042208900002000000000520000A7
:104C400000000000000000000000000000800000E4
:104C50000000000000000000000000000000000054
:104C60000000000000000000000000000000000044
:104C70000000000000000001000000000000000033
:104C8000008800000000000000000000000000009C
:104C90000000000000000000000000000000000014
:104CA0000000000000000000000000000000000004
:104CB00000000000000000000000000000000000F4
:104CC00000000000000000000000000000000000E4
:104CD00000000000000000000000000000000000D4
:104CE00000000000000000000000000008020000BA
:104CF000001400000000000000001204000000008A
:104D0000C070000DC2020001A8620000C0020000D5
:104D10000060000000000000000000000000000033
:104D20000060000000000000000000000000000023
:104D30000000000000000000000000000000000073
:104D400000000000000000000000800000000000E3
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D70000000000000000000000000000000000033
:104D80000000000000000000000000000000000023
:104D90000000000000000000000000000000000013
:104DA0000000000000000000000000000000000003
:104DB0000000000000000000000000000000188D4E
:104DC000700000000000000010000000000000045F
:104DD000100000C02102064050008080A260000048
:104DE000500000000064000000000000000000000F
:104DF000280000000000000000000000000000008B
:104E000000000000000000000000000000000000A2
:104E1000000000000000000000000000000000078B
:104E20000000000000000000000000000000000082
:104E30000000000000000000000000000000000072
:104E40000000000000000000000000000000000062
:104E50000000000000000000000000000000000052
:104E60000000000000000000000000000000000042
:104E700000000000000000000000800000000000B2
:104E80000000890800000000000800000800000081
:104E90001000000020080008000080001008000832
:104EA00000000001002201000000000010000000CE
:104EB00000000000000000000000000000000000F2
:104EC00000000000000000000000000000000000E2
:104ED00000000000000000000000000000000000D2
:104EE0000000008000000000000000000000000042
:104EF0000000000000000000000000000080000032
:104F00000000000000000000000000080000000099
:104F10000000000E00000000000000000000000083
:104F20000000000000000000000000000000000081
:104F30000000000000000000000000000000000071
:104F40000000000000000000000000000000000061
:104F5000000000000000080C000008000C0C000914
:104F60000C000000000000000008001C0000000908
:104F7000100C000C00000000000E000000000029D2
:104F80001E0000000000900400001000000000005F
:104F900010000000005000000000000000000000B1
:104FA0000000000000000000000000000000000001
:104FB0000000000000000000000000000000000EE3
:104FC0000000000000000000000000000000008061
:104FD0000000000000000000000000000000006170
:104FE00000000000000000000000000000000000C1
:104FF00000000000000000000000000000000000B1
:1050000000000000000000000000000000000000A0
:105010000000000000000000000000000000000090
:105020000000300000000000000018000000010037
:10503000010000500000000000000000105000803F
:1050400000003000005006000000000C00300C0092
:10505000060000800006000000000007000E80002F
:1050600000000000800000000000000002000000BE
:105070000000000000000000000000000000000030
:105080000000000000000000000000200000000000
:1050900000000000500000000000000000000000C0
:1050A0000000000000000000000000000000000000
:1050B00000000000000000000000000000000000F0
:1050C00000000000000000000000004000000000A0
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000001000000000000BF
:1050F000000000000000000000010040000000006F
:10510000000000000000000000000000000000009F
:10511000000000000010000000300000000000004F
:10512000000000000000000000000000000000007F
:10513000000000000000000000000000000000006F
:1051400000000000000C0000000000000000000053
:10515000000000000000000000000000000000004F
:10516000000000000000000000000000000000003F
:10517000200000000000000000000000000000000F
:10518000000000000000000000000000000000001F
:1051900000000000000000000000000000000010FF
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000040000EB
:1051C00000000000000000000000000000040010CB
:1051D00000000000000000000000000000000000CF
:1051E000000000002000010000800000008080001E
:1051F000000000000000000000040000010080200A
:10520000000000800000010000000000000000001D
:105210000000000C00000100000100000000000080
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:1052400000000000800000000000000000000000DE
:10525000000000000000000000000000000000004E
:1052600000000000008000000000000000000000BE
:10527000000000000000000000000000000000002E
:10528000000000000000000000000000000000001E
:10529000000000000000000000000000000000000E
:1052A00000000000000000000000000000000000FE
:1052B00000000000000000002004000000000000CA
:1052C00000000000000000000000000000040004D6
:1052D00000000020000000000000000000000000AE
:1052E0000000000000008204000000000000000038
:1052F00000000000000000000000000000000000AE
:10530000000000000000000000000000000000009D
:10531000000000000000000000000000000000008D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:10534000000000000000000000000000000000005D
:10535000000000000000000000000000000000004D
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:1053900000000000000000000000FF55FF557FFFE7
:1053A000AA8AFF557777FF7FFF7F0B0F0F07FF5507
:1053B00077777777FF55A0EC100000000000000021
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000001BC
:1053F000000000000060000000000000000000004D
:10540000000000000000000000000000000000009C
:10541000000000000000000000000000000000008C
:10542000000000000000000000000000000000007C
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:105460000000000000000000000000000000902488
:105470001E0000000C0090241E0000000C00000024
:105480000C0090241E0090241E0000000C00000060
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B00000000000000000000000000000000000EC
:1054C000000000010000000000E0000000000000FB
:1054D00000000000000000000000000000000000CC
:1054E00000000000000000000000000000000000BC
:1054F00000000000000000000000000000000000AC
:10550000000000000000000000000000000000009B
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:1055400000000000000000000000900410000000B7
:105550000000000000000000000090241200000085
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000000000000000000000000000FB
:1055B00000000000000000000000000000000000EB
:1055C00000000000000000000000000000000000DB
:1055D00000000000000000000000000000000000CB
:1055E00000000000000000000000000000000000BB
:1055F00000000000000000000000000000000000AB
:10560000000000000000000000000000000000009A
:10561000000000000000FEFFA0ECFFFFC0EAFF3327
:105620000033FEFF88F8FF771333FFBB1333FF55BA
:105630007777FFFFA0EC00000000000000000000F2
:10564000000000000000000000000000000000005A
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:1056700000400000000000000000000000000000EA
:10568000000000000000000000000000000000001A
:10569000000000000000000000000000000000000A
:1056A00000000000000000000000000000000000FA
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E000000000000000000000000010000000406A
:1056F00000D00020020000400CC080090000208083
:10570000000C0020020000402850000000000000B3
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:105730000000000000000000000000000000000069
:105740000000000000000000000000000000000059
:105750000000000000000000000000000000000049
:105760000000000000000000000000000000000039
:105770000000000000000000000000000000000029
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B00000000000000000000000000000000028C1
:1057C00000000008008011281000000824908000CC
:1057D00000000000000211281000000804800000F2
:1057E00000000000000000000000000000000000B9
:1057F00000000000000000000000000000000000A9
:105800000000000000000000000000000000000098
:10581000000000000000C0000000000000000000C8
:105820000000000000000000000000000000000078
:105830000000000000000000000000000000000068
:105840000000000000000000000000000000000058
:105850000000000000000000000000000000000048
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000000000000000018
:105890000000E0C00000442044C000C000C0C007B9
:1058A00044400000C000C000000000C0A0C044226E
:1058B000C007000000000000000000000000000021
:1058C00000000000000000000000000000000000D8
:1058D00000000000000000000000000000000000C8
:1058E00000000000000000000000100000000000A8
:1058F00000000000000000000000000000000000A8
:105900000000000000000000000000000000000097
:105910000000000000000000000000000000000087
:105920000000000000000000000000000000000077
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000000000000047
:1059600000000000000000040000000020040021EE
:105970000004800000208080100001000100002051
:10598000040400008000000000000000000000008F
:105990000000000000000000000000000000000007
:1059A00000000000000000000000000000000000F7
:1059B0000000000000000000400000000000800027
:1059C00000000000000000000000000000000000D7
:1059D00000000000000000000000000000000000C7
:1059E00000000000000000000000000000000000B7
:1059F00000000000000000000000000000000000A7
:105A00000000000000000000000000000000000096
:105A10000000000000000000000000000000000086
:105A20000000000000000000000000000000000076
:105A30000000000000000000000000220400000838
:105A4000A04C00037C00008033100982600000CE6F
:105A5000FB108003700000002070000000000000B8
:105A60000000000000000000000000000000000036
:105A70000000000000000000000000000000000026
:105A80000000000000000000000000000000000016
:105A900000013000000000000000000000000000D5
:105AA00000000000000000000000000000000000F6
:105AB00000000000000000000000000000000000E6
:105AC00000000000000000000000000000000000D6
:105AD00000000000000000000000000000000000C6
:105AE00000000000000000000000000000000000B6
:105AF00000000000000000000000000000000000A6
:105B000000000000000000000000000000001E82F5
:105B10009100000700111C03C0000000E008028291
:105B2000680200C001081003000000C0090800005E
:105B30000000000000000000000000000000000065
:105B40000000000000000000000000000000000055
:105B50000000000000000000000000000000000045
:105B600000000000A0004000000000000000000055
:105B70000000000000000000000000000000000025
:105B80000000000000000000000000000000000015
:105B90000000000000000000000000000000000005
:105BA00000000000000000000000000000000000F5
:105BB00000000000000000000000000000000000E5
:105BC00000000000000000000000000000000000D5
:105BD00000000000000000000000000000000000C5
:105BE000000022140002C042008018142700008028
:105BF0000844485414000053100000014C03402195
:105C0000000800000000000000000000000000008C
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000000000000000044
:105C60000000000000000000000000000000000034
:105C70000000000000000000000000000000000024
:105C80000000000000000000000000000000000014
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB0000000000000001E00670200800108008054
:105CC00025000080C139AE8F0700004000302E80D3
:105CD00013000006000000000000000000000000AB
:105CE00000000000000000000000000000000000B4
:105CF00000000000000000000000000000000000A4
:105D00000000000000000000000000005000100033
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D30000000000000000000000000000000000063
:105D40000000000000000000000000000000000053
:105D50000000000000000000000000000000000043
:105D60000000000000000000000000000000000033
:105D70000000000000000000000000000000000023
:105D8000000000000000000000000E00600000168F
:105D9000D8102800F0000000E81208870000002852
:105DA00022820000700000C6D13C0000000000000C
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000000000000000000000000000B3
:105DF00000000000000000000000000000000000A3
:105E00000000000000000000000000000000000092
:105E10000000000000000000000000000000000082
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E400000000000000000000000000000800000D2
:105E5000000000000080808100004000000000225F
:105E6000200040000040004091000014900000021B
:105E70002000200010000000000000000050001072
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA000800000000000000000000000000008006A
:105EB00000000100000000010000000000000000E0
:105EC00000000000000000000000000000000000D2
:105ED00000000000000000000000000000000000C2
:105EE00000000000000000000000000000000000B2
:105EF0000000000000000000000000003000000072
:105F00000000000000000000000000000000000091
:105F1000000005000000000000000000000000007C
:105F20000000000000000000000000000000000071
:105F300000000C4E000000000000900A207C0019B8
:105F40000800087000000000000D600000004040E4
:105F500080300830780010000D00000000000000C4
:105F60000000001000100000000000000000000011
:105F70000000000000000000000000000000000021
:105F80000000080000000000000000800000000089
:105F90000000000000000000000000000000000001
:105FA00000000000000000000000000000000000F1
:105FB00000000000000000000000000000000000E1
:105FC00000000000000000000E00000000000000C3
:105FD0008000000000000000000000000000000041
:105FE00000000000000000000600000600000000A5
:105FF0000000000000000000000000000000202C55
:106000000000300000000B10200010000000001005
:106010001C01000831000B0C300020000050000073
:10602000000000000021810001008000000000004D
:106030000000000000000080008000000000000060
:106040000000000000000000200000000000000030
:10605000000000000000000000000C000000000034
:106060000000000000000000000000000000000030
:106070000010000000000000000000000000000010
:106080000000000000000000001000000000000000
:106090000000000000000000000000000000000000
:1060A0000000801000000000000000000000000060
:1060B00000000000000000000000000000000000E0
:1060C00000000000000000000000000000100000C0
:1060D00000000000000000000000000000000000C0
:1060E00000000000000000000000000000000400AC
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:1061200000000000000000000080200000000000CF
:10613000000000000000000000000000000000005F
:10614000000000000040000000000000000000000F
:1061500000000000000000000000000000400000FF
:10616000000000000000000000000000000000002F
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A000008000000000000000000000000000006F
:1061B00000000000000000010000000000000000DE
:1061C00021000104000000000000000000000000A9
:1061D000000000000030000000000000000000008F
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000001080000F
:10620000000000000000000000000000000000008E
:1062100000000000000000800000000000000000FE
:10622000000000000000000000000000000000006E
:10623000000000000000000000000000000000005E
:10624000000000000000000000000010000000003E
:10625000000000000000000000000000000000003E
:10626000000000000000000000000000000000002E
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000200000040000000000000000DA
:1062A000000000000000004040200000000000004E
:1062B00000000000000000000000000000000000DE
:1062C00000000000000000000000000000000000CE
:1062D00000000000000000000000000000000000BE
:1062E00000000000000000000000000000000000AE
:1062F000000000000000000000000000000000009E
:10630000000000000000000000000000000000008D
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000FFFFFFFF00000000000061
:106340000000000000000000000000000000FEFF50
:10635000FDF70804FFFFDDFFFDF7280A01003535D2
:10636000FFFF00000000000000000000000000002F
:10637000000000000000000000000000000000001D
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B00000000000000000000000000000000000DD
:1063C00000000000000000000000000000000000CD
:1063D00000000000000000000000000000000000BD
:1063E00000000000000000000000000000000000AD
:1063F000000000000000000000000000000000009D
:106400000000000000000000000080040C000000FC
:10641000000000000000000000000000000000007C
:10642000000000201E0010000C0090241E00100030
:106430000C0000000C000000000000000000000044
:10644000000000000000000000000000000000004C
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:10648000000000000000000000000000000000000C
:1064900000000000000000000000000000000000FC
:1064A00000000000000000000000000000000000EC
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D0000000000000000000000000000000802418
:1064E000020080040000008060A94F8060B94F80E6
:1064F00060B94F8060B94F8060B94F8040B94C801F
:1065000040B84D8040B100000000000000000000D5
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:106550000000000000000000080000000000000033
:10656000000000000000000000000000000000002B
:10657000000000000000000000000000000000001B
:10658000000000000000000000000000000000000B
:1065900000000000000000000000000000000000FB
:1065A00000000000000000000000000000000000EB
:1065B0000000FFFFFFFFFFFFFFFFFFFF5555FF003C
:1065C00033330F0FFF00333399990F0FFF0033332D
:1065D0009966996699999999A5A5000000001111ED
:1065E000478B0000000000000000000000000000D9
:1065F000000000000000000000000000000000009B
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:10663000000000000000000000000000000000005A
:10664000000000000000000000000000000000004A
:10665000000000000000000000000000000000003A
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:106680000000000000005100140100500000000054
:1066900000000000000000000000000000000019E1
:1066A000000020C000CE0019000020C000D600006D
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:106700000000000000000000000000000000000089
:106710000000000000000000000000000000000079
:106720000000000000000000000000000000000069
:106730000000000000000000000000000000000059
:106740000000000000000000000000000000000049
:1067500000000000000000000000512021040000A3
:106760002C9100000000000000000000000000006C
:106770000000802800000008008A8028000000082F
:106780000086000000000000000000000000000083
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000000000000B9
:1067E00000000000000000000000000000000000A9
:1067F0000000000000000000000000000000000099
:106800000000000000000000000000000000000088
:106810000000000000000000000000000000000078
:10682000000000000000000000000000000000C0A8
:10683000C3C71300C0000000000000000A000000F1
:1068400000C000000000004000C00002C22201C0E1
:1068500003C044C0E322000000000000000000006C
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B00000000000000000000000000000000000D8
:1068C00000000000000000000000000000000000C8
:1068D00000000000000000000000000000000000B8
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000284200000800000000000000061
:106910004000000000400000000000200040008017
:1069200014002010081000052000000000000000E6
:106930000000000000000000000000000000000057
:106940000000000000000000000000000000000047
:106950000000000000000000000000000000000037
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000000000000000007
:1069900000000000000000000000000000000000F7
:1069A00000000000000000000000000000000000E7
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D0000000000000000000000020000000004057
:1069E0000100000000000000000000000000801016
:1069F0005000008002808C04184000803370002713
:106A00000000000020000000000000000000000066
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000000000000000000000000000000046
:106A500000900000000000000000000000000000A6
:106A60000000000000000000000000000000000026
:106A70000000000000000000000000000000000016
:106A80000000000000000000000000000000000006
:106A900000000000000000000000000000000000F6
:106AA00000000000000000000000000000140000D2
:106AB00000000000080000000000060000000004C4
:106AC00000000603020000C40000010400000000F2
:106AD0000148000003000000010000000000000069
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B2000000000000018000000000000000000004D
:106B30000000000000000000000000000000000055
:106B40000000000000000000000000000000000045
:106B50000000000000000000000000000000000035
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B800000040000000000000000000000000240BF
:106B90000000000810000244480000A802000001A4
:106BA000800100A00008004C0800002080000000C8
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD00000000000000000000000000000000000B5
:106BE00000000000000000000000000000000000A5
:106BF000000000000000000000880000000000000D
:106C00000000000000000000000000000000000084
:106C10000000000000000000000000000000000074
:106C20000000000000000000000000000000000064
:106C30000000000000000000000000000000000054
:106C40000000000000000000000000000000000044
:106C500000000000004000000000000003000000F1
:106C60000000008000000000000000881300008089
:106C70000130100F00004080017000800300000010
:106C8000E001000000000000000000000000000023
:106C900000000000000000000000000000000000F4
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000000000D4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE00000000000000000000000000000000000A4
:106CF0000000000000000000000000000000000094
:106D00000000000000000000000000000000000083
:106D10000000000000000000000000000000000073
:106D2000000000000000000000380000000000200B
:106D3000000000180000006001000000C000004CCE
:106D400001000000D8802040030100E6D93D000783
:106D500000000000C0010000000000000000000072
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D80000000000000000000000000000000000003
:106D900000000000000000000000000000000000F3
:106DA00000000000000000000000000000000000E3
:106DB00000000000000000000000000000000000D3
:106DC00000000000000000000000000000000000C3
:106DD00000000000000000000000000000000000B3
:106DE0000000000000000000000000000000800023
:106DF00000000000880001400400000000000000C6
:106E00000000040000000002200108000800000843
:106E10000022880040008000804000000000000048
:106E20008040080800000000000000484800000002
:106E30000000000000000000000000000000000052
:106E40000000000000000000000000000000000042
:106E50000000000000000000000000000000000032
:106E60000000000000000000000000000000000022
:106E70000000000000800000000000000000000092
:106E80000000000000000000000000000000000002
:106E900000000000000000000000000000000000F2
:106EA00000000000000000000000000000000000E2
:106EB00000000000000000000000000000000000D2
:106EC00000000000000000000800000000000009B1
:106ED000080000000008000002020000000004009A
:106EE00040400008092204000202000E00000000D9
:106EF000B009000000000C080C0000600000300C1D
:106F00005800500000000D0E0000000000000000BE
:106F100000000D0000000000000000000000000064
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F400000000000000000800800000000000000B9
:106F50000000000000000000000000000000000031
:106F60000000000000000000000000000000000021
:106F70000000000000000000000000000000000011
:106F80000000000000000000000000000000000001
:106F90000000000000003000000000003000081079
:106FA0000000000001000060060104000000000C69
:106FB000006C060000060056009C360020003006DB
:106FC0003020000000000000302000000100000020
:106FD00000008030100000000000000000000000F1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000000000000000000000000080
:107010000000000000000000000000000008000068
:107020000000000000000000000000000000000060
:107030000000000000000000000000000000000050
:107040000000000000000000000000000000000040
:107050000000000000000000000000000010000020
:107060000000000000000000000000000000000020
:10707000000000000000000400000000000000000C
:107080000000000000000000000000000000000000
:10709000000000000C0000000400000000000000E0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000400000000000000CC
:1070C00000000000000000000000000000000000C0
:1070D00000000000000000000000000000000000B0
:1070E00000000000000000000000000000000000A0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:10711000000000000000000000000000000000006F
:10712000000000000000000000000000000000005F
:1071300000800000000000000000000000000000CF
:10714000000000000000000000000001000000003E
:10715000000000000000000000000000000000002F
:10716000000000000000000000000000010000041A
:10717000000000000004000000000000000000000B
:1071800000000000000000000000000002000000FD
:1071900000300000000000000000000000000000BF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000000000000000000000000000000000009F
:1071F000000000000000000000000000000000008F
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:10722000000000000000000000000000000000005E
:107230000000000000000000000000000820000026
:107240000000000400000000200400000000000016
:10725000000000000000000000000000000000002E
:10726000000000800220000000000000000000007C
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:1072900000000000000000000000000000000000EE
:1072A00000000000000000000000000000000000DE
:1072B00000000000000000000000000000000000CE
:1072C00000000000000000000000000000000000BE
:1072D000000000000000FFFF77073F3F7F7F0000B6
:1072E0000000FFDFFFBF5F5FFE00007FFFFDA01F0C
:1072F000DFFF758AFFFFFFFFFFFFEEEE7777BBBB77
:10730000696955AAFF00C3C355AAFFFFFFFFA7E79E
:10731000996500000000000000000000000000006F
:10732000000000000000F7F75545000000000000D5
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:1073600000000000000000010000000000600000BC
:10737000000000000000000000000000000000000D
:1073800000000000000000000000000000000000FD
:1073900000000000000000000000000000000000ED
:1073A0000000000000000000000000000C0090241D
:1073B0001E000000000000000C0000000C00000097
:1073C0000C0000000C0090040C0080240E000080D3
:1073D0000C284F804CB84D806CB94C804CB10000EB
:1073E0008C0200000C000000000000000000000003
:1073F0000000000000000000000000000C00000081
:10740000000000000000000000000000000000007C
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000001000000004B
:1074400000E000000000000000000000000000005C
:10745000000000000000000000000000000000002C
:10746000000000000000000000000000000000001C
:10747000000000000000000000000000000000000C
:1074800000000000000090241200000000000080B6
:1074900060A84F8060B94F8060B84E8060B94F805F
:1074A00060B100000000008000284F8060B94F806C
:1074B00040B94D8040B94D8040B10000000000004F
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:10750000000000000000000000000000000000007B
:107510000000000008A000000000000000000000C3
:10752000000000000000000000000000000000005B
:10753000000000000000000000000000000000004B
:10754000000000000000000000000000000000003B
:10755000000000000000FEFF3F153F3F5F5F30303E
:10756000FFFFFFFF3333CCCCCCCCF0F05555555555
:10757000AAAA00FFF0F0C718FF0701003F1FC7E7E6
:107580004B299963999669699999A5A555AA00000F
:1075900000000000000000000000000000000000EB
:1075A000000000000000AA00FFFF00000000000033
:1075B0000000000000000000000000000000F700D4
:1075C000DF00FD007F000000000000000000000060
:1075D00000000000000000000000000000000000AB
:1075E000000000000000000000400000000000005B
:1075F000000000000000000000000000000000008B
:10760000000000000000000000000000000000007A
:10761000000000000000000000000000000000006A
:1076200000000000000000000000303001040040B5
:1076300000810000000000000000000000000000C9
:1076400000008008000080580020000000000000BA
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C00000000000000000000000000000000000BA
:1076D00000000000000000000000000000000000AA
:1076E000000000000000000000000000000000009A
:1076F0000000000000000000000000000000402822
:1077000000000008089100000000000000000000D8
:107710000000000000008020000020000092000017
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:107780000000000000000000000000000000C00039
:1077900000000000000000000000000000000000E9
:1077A00000000000000000000000000000000000D9
:1077B00000000000000000000000000000000000C9
:1077C00000000000000000000000000000000000B9
:1077D000000013C801934400E000120003000040C1
:1077E0004000000500004000000000000000030011
:1077F000C0002000008004030210000000004000D0
:10780000000008404000C040A00000000000000050
:107810000000000000000000000000000000000068
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840001000000000000000000000000000000028
:107850000000000000000000000000000000000028
:107860000000100000800000000000000000000088
:107870000000000000000000000000000000000008
:1078800000000000000000000000000000000000F8
:1078900000000000000000000000000000000000E8
:1078A0000000000000000000400200000000000492
:1078B0000208004001000000000801000000000074
:1078C00002002100100000000004008002101020BF
:1078D000000401000000004001020140000000001F
:1078E0000000000000000000000000000000000098
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000000000077
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000008000007000000000000057
:107940000000000000000000000000000000000037
:107950000000000000000000000000000000000027
:107960000000000000000000000000000000000017
:107970000000000000000000006020000000008EF9
:10798000A2000004100000200600800228010080F0
:107990000330008D02000000000008A7700080186E
:1079A00020710EC00100801820810020940000206A
:1079B0000031000000000000000000000000000096
:1079C00000000000900000000040020000000000E5
:1079D00000000000000000000000000000000000A7
:1079E000000028A00000002110020000000000009C
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000230000000000044
:107A10000000000000000000000000000000000066
:107A20000000000000000000000000000000000056
:107A30000000000000000000000000000000000046
:107A40000000000000000000C00000000000180856
:107A5000000000D7A9024010700100910108000544
:107A6000C00000800530000000001CC00100088735
:107A7000E000004120089E8003000001207000000B
:107A80008200000020B400000000000000000000A0
:107A90000000000000000000E0000000006800009E
:107AA00000000000000000000000000000000000D6
:107AB000000000000000088000000000000000003E
:107AC00000000000000000000000000000000000B6
:107AD0000000000000000000000000002000400046
:107AE0000040000000000000000000000000000056
:107AF0000000000000000000000000000000000086
:107B00000000000000000000000000000000000075
:107B10000000000000000000000000000001000064
:107B200000030008020080220000082088000000F6
:107B300000010001000000900A64000000003034E1
:107B400020004A944A0300A0340891000501000473
:107B5000C000200000104000408100000000000034
:107B60000000000000000000000000001002000003
:107B70000040400000000000000000000000000085
:107B80000000000000000000000024800A00000047
:107B900040000000000000000000000000000000A5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC00000000000000000000000000000000000B5
:107BD00000000000000000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF00080000000000018040000000600000003E0
:107C0000220000000000980FF001000000090000B1
:107C10000000104100000880E100000100000C801D
:107C20000F007C13A102030020000004C0600000CC
:107C30000000000000000000000000000000000044
:107C400070000000000800000000000000000000BC
:107C50000000000000000000000000000000120012
:107C600002000003A000000000000000000000006F
:107C70000000000000000000000000000000000004
:107C80000000000050001000000000000000000094
:107C900000000000000000000000000000000000E4
:107CA00000000000000000000000000000000000D4
:107CB00000000000000000000000000000000000C4
:107CC00000000000040000000060A0040000002785
:107CD000D0000C0D700100C009002C036000008072
:107CE0004210000D0000000900002880010000295A
:107CF00000000C802900008AEB180C002801000904
:107D000040620000000000000000000000000000D1
:107D100000000000500000000010000000000020E3
:107D20000000000000000000000000000000000053
:107D3000000002400000801AA001000000000000C6
:107D40000000000000000000000000000000000033
:107D5000000000000000000000000000080000001B
:107D60000000000000000000000000000000000013
:107D70000000000000000000000000000000000003
:107D800000000000000000000000000000000000F3
:107D90000001800002000002004004000000800199
:107DA000000080402000020082020200000000006B
:107DB0000110000A000200080004040041048000D1
:107DC0008001004000010000004000400000010070
:107DD00001000000000200000000000000000000A0
:107DE00000000000000002001000000080000002FF
:107DF0000010001000000000000000000000000261
:107E00000000000000000000000000000000000072
:107E100020000000000000800000000000000000C2
:107E2000000020000000000008020000080000011F
:107E30000000000000000000000000000000000042
:107E40000000000000000000000000000000000032
:107E50000000000000000000000000000000000022
:107E60000000000000000000000000000000000012
:107E70000000000000006000000060600060000082
:107E800000000000B03070081000000800B0B00022
:107E9000B00000000000B0100010700092709070F0
:107EA0009000700000000000000090090000000039
:107EB000000000000000000000000A003000000088
:107EC0000000000E0010001D000000000000100067
:107ED000000000000020002000000000000E000E46
:107EE0000000000000000000000000000000000092
:107EF000000000000000000000000000080000007A
:107F00000C0000000800000000000000000000005D
:107F10000000000000000000000000000000000061
:107F2000000000000000000000000600000000004B
:107F30000000000000000000000830000800000001
:107F40000A100C0000003E5C0056101010000000EB
:107F50003404006A0E0000000C00000CE008000071
:107F600000040C06380C1000300C008000880E0055
:107F70000030003000000C000C0000000008000081
:107F80000000000000000000000000000000087079
:107F9000000000003000000C00000000000006603F
:107FA000000080800000000C00E000E00000000005
:107FB00000000000000000000000000000000020A1
:107FC00000000000000000000000100E0000000093
:107FD0000008000000000000000000000000000099
:107FE0000000000000000000000000000000000091
:107FF0000000000000000000000000000000000081
:108000000000000000000000000000000000000070
:108010000000000000000000001000000000000050
:108020000000000000000000000000000000000050
:10803000000000040000000000000200000000003A
:108040000000000000000000000000000000000030
:108050000000000000000000000000000000000020
:10806000000000000000000000000000040000000C
:1080700000000000000000000000100000000000F0
:1080800000000000000000200000000000000000D0
:1080900000000000000000000000000004000000DC
:1080A00000000000000000000000000000000000D0
:1080B00000000000000000000000000000000000C0
:1080C00000000000000000000000000000000000B0
:1080D00000000000000000000000000000000000A0
:1080E0000000000000000000000000000080000010
:1080F00000000000000000000000000080000001FF
:108100000000040000000001000000000000040066
:10811000000000200000000000000000000000003F
:10812000000000000000000000000000000000004F
:1081300000000000000000800000010000000000BE
:1081400001000000000000000000000000008000AE
:10815000000000000000000004000080000000009B
:10816000000000000000000000000000000000000F
:1081700002000000000000000000002000000000DD
:1081800000000000000000000000000000000000EF
:1081900000000000000000000000000000000000DF
:1081A00000000000000000000000000000000000CF
:1081B00000000000000000000000000000000000BF
:1081C000000000000000400100000000000000006E
:1081D000000000000000040004000000000800008F
:1081E000000000000000002000000000000000006F
:1081F000000000000000000000000000000000007F
:10820000000000000000000000000000000000006E
:10821000000000000000000000000000000000005E
:1082200043000000000000000000000024000000E7
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000200E
:10825000000000000000000000000000000000001E
:10826000000000000000000000000000000000000E
:1082700000000000000000000000000000000000FE
:1082800000000000000000000000000000003F3F70
:10829000FF0F3F3FFF3332013233FFFF0500DFDDC9
:1082A000DFDD01005755FFFF0300FFFFFFFFFFFF6A
:1082B000FFFFFFFFFFFF03030100FFFFFFFFFFFFC3
:1082C000FFFFFFFFA0A00000000000000000000072
:1082D0000000393977770000000000000000EFFE51
:1082E00054556FFFFFFAF66F000477B79F9F0000A9
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:1083100000000000000000000000000000008008D5
:10832000000000010000000000000000000000004C
:10833000000000000000000000000000000000003D
:10834000000000000000000000000000000000002D
:10835000000000000000000000000000000000001D
:10836000000090241E0090241E0010000C0000004D
:108370000C0000000C0000201E0000000C008024F7
:108380000E0080040C0080240E0000000C0080040D
:108390000C0000000C0000000C00000000000000B9
:1083A00000000000000000000C00000000000000C1
:1083B000000090040C0000000C0000000C00902451
:1083C0001E0000000000000000000000000000008F
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F00000008000000000010000400100000000BB
:10840000000000000000000000000000000000006C
:10841000000000000000000000000000000000005C
:10842000000000000000000000000000000000004C
:10843000000000000000000000000000000000003C
:108440000000902412000000000000000000000066
:1084500000004F8040B84C8040B94F8040B94F80F9
:1084600060B94D8040B00000000000000000000036
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000020CC
:108490001200002012000000000000000000000098
:1084A00000000000000000000000000000000000CC
:1084B00000000000000000000000000000000000BC
:1084C00000000000000000000000000000000000AC
:1084D0000800000000000000000000000000000094
:1084E000000000000000000000000000000000008C
:1084F000000000000000000000000000000000007C
:108500000000FFFFFFFF00000000FEFF3F153F15CA
:108510000100FCFFC000FFF7FFF75757FF3FFF7F49
:10852000CFFFFEFFFEFF0F0FCCCCCCCCAAAAFF00E2
:10853000AAAA0F0F3333AAAACCCC00807030000057
:108540000000EFFFFFF70000000000000000000047
:10855000000000000000000000000000000000001B
:108560000000FF7777B7CDFFCFCF000000000000FD
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:108590000000FFFFFFFF00000000000000000000DF
:1085A00000000000000000000000000000000000CB
:1085B00000000000000000000000000000000000BB
:1085C00000000000000000000000000000000000AB
:1085D00000000000000000000000000000008039E2
:1085E000000020C000641000010400400081000071
:1085F000000020800000800800008008000A002899
:108600000000802800208008000080080000003062
:10861000000000200000000000000000000000003A
:10862000000000000000000000000000000000004A
:1086300000000050004000000A042000A8040000D0
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:10867000000000000000008000000000000000007A
:1086800000000000000000000000000000000000EA
:1086900000000000000000000000000000000000DA
:1086A00000000000000000000000000000000000CA
:1086B0000000802800000008009240200000000810
:1086C00008918000000000000000800000002000F1
:1086D000000280280000200400928000000020009A
:1086E00000060028000000040088000000000000D0
:1086F000000000000000000000000000000000007A
:1087000000000020000000000088C10008000010E8
:10871000440700000000000000000000000000000E
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:1087400000000000000000000000500000000000D9
:108750000000000000000000000000000000000019
:108760000000000000000000000000000000000009
:1087700000000000000000000000000000000000F9
:1087800000000000C00000C000400022E0C000C7A0
:108790008001F305C0100008000000000400002163
:1087A00000000300C0000000C007000000000040FF
:1087B000000003000000000700000000A00000000F
:1087C00000000000C00000002000000000000000C9
:1087D000000000000000000000C0E005000700C32A
:1087E00080800000C40000000000000000000000C5
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000003000000000000000000000055
:108820000000000000000000000000000000000048
:108830000000000000000000000000000000000038
:108840000000000000000000000000000000000028
:1088500000000000000000000100001000200100E6
:1088600004400040880100000100000000000000FA
:1088700002000000000009000100000012000100D9
:1088800000000040000008000000000000000000A0
:1088900000000000000000000100000000000000D7
:1088A00000000000000000000000000008040000BC
:1088B0001000001888020100000000000000000005
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000020000000000000086
:1088F0000000E00000000000000000000000000098
:108900000000000000000000000000000000000067
:108910000000000000000000000000000000000057
:108920000000000000000000000000000000000047
:1089300000000006F400A0400100008EE30080ADBE
:1089400020000000A21102000000809601000080BB
:108950000000140000000000000000A00200180049
:108960000001A006000000000000000E0000000052
:108970001000000000300000000000000000800334
:1089800078010080030400820100008EF3F10000F2
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C0000000000000020000009000000000000015
:1089D0000000000000000000000000000000000097
:1089E0000000000000000000000000000000000087
:1089F0000000000000000000000000000000000077
:108A000000001EA001004007E07000008C0100D7AC
:108A1000ED022084000280CECC7C230000001C06E6
:108A2000C000000000001D000000000000002070D9
:108A3000000020008000000E00000600000040043E
:108A4000000000009001000000B4000000000000E1
:108A500000000003800000C005103000080100C0C5
:108A6000E1780000000000000000000000000000AD
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A9000000000000000000000008000001800003E
:108AA00000000000000000000000000000000000C6
:108AB00000000000000000000000000000000000B6
:108AC00000000000000000000000000000000000A6
:108AD00000000000000030000B0080000C0A0C03B6
:108AE0000002805240000080080100800080810068
:108AF0000800100009000080000010004000201253
:108B000000000000000020000002000000000E0035
:108B1000000000080000000000000000000000004D
:108B200000000000000000098000008002000174C5
:108B3000E80000A2000000000000000000000000AB
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000002000000000000000003
:108B7000008800000000000000000000000000006D
:108B800000000000000000000000000000000000E5
:108B900000000000000000000000000000000000D5
:108BA000000000000000000000001002020000169B
:108BB00028000244630000C040083EC8630200076A
:108BC000A01A4E8703003C000000010000004C008A
:108BD000000042030300000022000600E000000045
:108BE00000000C0000000001000000001000000068
:108BF000000000000000000000000004600000E031
:108C0000011C9E00E0000087E170000000000000F1
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C4000A002500000000000000000000000000032
:108C50000000000000000000000000000000000014
:108C60000000000000000000000000000000000004
:108C70000000000000000000000000000000408A2A
:108C8000A1000027E138A2A40100008022310C8D50
:108C9000210180C009100E000000006100000E00DC
:108CA0000000400AA00000270000000002011C0094
:108CB00000000027000026000000800700000000E0
:108CC00070000000000000000000000000004000F4
:108CD0007001000001100045010080C70905000077
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D1000000000000000400008000000000000000B
:108D20000000000000000000000000000000000043
:108D30000000000000000000000000000000000033
:108D400000000000000000000000000000420000E1
:108D50000000800190000040040000422200004812
:108D60008040800208020200410281002010882811
:108D70000449040A005401011001200204022000E9
:108D8000400201021C0009000008000888000002DF
:108D900008000802080000000000080000090000A8
:108DA0000000000A000800444400040000400000E5
:108DB0000100000000000020000081000000000011
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000004053
:108DE00000000000000000000000C0000080000043
:108DF0000000000000000000000000000000000073
:108E00000000000000000000000000000000000062
:108E10000000000000000000000000000000000052
:108E2000000000000050000020090100010089003E
:108E300009800C00008000007C6010090900090016
:108E40007D100818007C090C101000001070080933
:108E50000C60005000200009185008009C0800BC5D
:108E6000B800000058000C00B80002000000080024
:108E70000008000000000008000C020000000000D4
:108E800060000000000070000000000000000070A2
:108E9000000000000000090000000000000A0000BF
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000001000A2
:108EC00000000000000000000000000000000000A2
:108ED0000000000000000000000000000000000092
:108EE00000000000000000000A0000000050000028
:108EF000000000000E3C0000000000080A004000D6
:108F000000505024040001103010300C6A0084001E
:108F1000380C1800105030B6080C045486100C7C25
:108F2000000C310C0D0C3050108808080C000800A3
:108F3000010000003E0000040000000000000000EE
:108F4000000000000004000000000004060000040F
:108F500000000400000000000800000000000030D5
:108F600000003C00000000000000000000000000C5
:108F700000000000000000000000000000000000F1
:108F800000000000000000300000000000000000B1
:108F900000001000000800000000000000000000B9
:108FA00000000000000000000000000000000000C1
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000100000000000000000000081
:108FE000200000000000000040000000000002041B
:108FF00000000000000C0000200000000000000045
:109000000000200000000000000000000000000040
:109010000000000000000000000000000000000050
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000080000000000000000080
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000000000000008000000C8
:1090A0000000000000000000009000000000000030
:1090B00000000000080000000000000000000400A4
:1090C0000001040100000000000100000000000099
:1090D0000000000000008000000000000000000010
:1090E0000000000000000000000000000000000080
:1090F0000000000000000000000000000000000070
:10910000000000000000020000300000000000002D
:10911000000000000000000000000000000000004F
:10912000000000000000000000000000000000003F
:10913000000000000000002000000000000000000F
:10914000000000000000000000000000000000001F
:10915000000000000000000000000000000000000F
:1091600000000000000000000000000000000000FF
:1091700004000000000000000000000000200000CB
:1091800000000000000000001400000000000000CB
:1091900000000400000000000000000000000000CB
:1091A00010000000000000000000000000000000AF
:1091B00000000000000000000000000000000000AF
:1091C000000000000000000000000000000000009F
:1091D00000000000000000000000004042200000ED
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000020000000003E
:10921000000000000000000000000000000000004E
:10922000000000000000000000000000000000003E
:109230000000FFFF3333FF003333FF00333333339A
:10924000C3C3936CA55A9999A5A50A0AFBF50D0C01
:1092500033FFFFDFFFF740002000FFFEFDFDFFF7BB
:10926000FFF7FFFEFFBFEDCC1213FF775515FDFF93
:109270008800B3B0B3B0FCFE0080FFFFFFFFF3F344
:109280000303FFCC55FF0FFF33FF33FF5500FAFFF9
:10929000FF33F0F0FF3FAAFF3333AFAFFFAA333302
:1092A000FF005555FF00FF0033335555FF003333A2
:1092B00000FF0000000000000000000000000000AF
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000060000000000000000000001E
:1092F000000000000000000000000000000000006E
:1093000000000000000000800C284F806CB94F80E6
:109310006CB94F806CB94F804CB84C004C91102008
:109320001E0000000C0090241E0000000C00002015
:109330001E0090241E0000000C0000000C00000025
:109340000C0000000C0090241E0000000C008004A3
:109350000C0010201E0090041C0090041C00100043
:109360001C004E806CB94E806CB94E806CB190245C
:109370001E0048806CA94F806CB94F806CB94F803B
:109380006CB94F806CB000000000000000000000CD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B000000000000000000000E0000000000000CD
:1093C000000000000000000000000000000000009D
:1093D0000000008000284F8060B94F8040B94C8069
:1093E00040B100000000000000000000000000008C
:1093F00000000000000000000000008000284F80F6
:1094000060B94F8060B94F8060B00000000000007C
:109410000000002012009004000000000000000086
:10942000000080240200488060A84E8060B84E8012
:1094300060B84E8060B84E8060B10000000000004F
:109440000000902412000000000090241200000090
:109450000000000000009024120000000000000046
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000000008A0000034
:1094900000000000000000000000000000000000CC
:1094A000000000000000FFFF7F5FD5B58FE5936CE3
:1094B0006969C3C3A5A5000000000000000055AA0B
:1094C00095BD8FA77F5F000000004500FBAAFFFF4E
:1094D00033330F0FFF00FF005555FF00CCCC3F3F4B
:1094E000FFFF55FFF5FD8A8AAFCFAFCFFFFFFF7FAC
:1094F000FFAA4040AAAEFFFFFFFFAAAA00FF00FF9D
:10950000AAAA00FFCCCC00FFAAAAF0F033330000D7
:109510000000000000000FFF33FF000000000FFFFD
:1095200033FF0100FFFDFFFFEFFF0FFF33FFFFFFE2
:10953000FFFF00000000000000000000000000002D
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:1095600000400000000000000000000000000000BB
:1095700000000000000000000000000000000000EB
:1095800000000000000000000000000000000000DB
:10959000000000000000000000000000000000408B
:1095A000004000100000004000808039000020C012
:1095B0000092000000000000000073001401000091
:1095C000000000300000002000108338040020A0BC
:1095D00000080008000020C000CE0020000000406D
:1095E00000D0000000000000000002100A0000503F
:1095F000084003000A0000002800300000040000BA
:1096000080000000000000000000000000000000DA
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:1096300000000080000000000000000000000000AA
:10964000000000000000000000000000000000001A
:10965000000000000000000000000000000000000A
:1096600000000000000000000000000000000020DA
:1096700000000008009000280000000800908028EA
:1096800000000008008600000000000000005100FB
:10969000200000002C010028000000040080912818
:1096A00021000084848A802800000008008A0028A5
:1096B00000000008008000000000000000001128E9
:1096C0000000000084881100000000000400400039
:1096D0000800000008010000000000000000000079
:1096E000000000000000000000000000000000007A
:1096F000000000000000000000000000000000006A
:109700000000000000000000000000000000000059
:109710000000000000000000000000000000000049
:1097200000000000000000200000004000000000D9
:109730000003000000000000000000001408C0004A
:10974000000002C00300204044C0A0E2000847C55A
:10975000A080420200404700C0030020000000003B
:1097600000000013C5C00000D700002046D001074C
:10977000444000C7C3C304C70300444344C7E200D6
:10978000E300444782010800E200000000000000FE
:109790000000000003804403E32304C5040000002C
:1097A000C000018013000000C8000000C0000000DD
:1097B000C0000000000000000000000000000000E9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000080000009
:1097E0000000000000000000000000000000000079
:1097F0000000000000000000000000000001004028
:1098000000000001008000000000000000000001D6
:1098100000000100000004042002042000040004F1
:1098200000002040000405C082A0080001080000DC
:10983000000000008000000420400000000800003C
:1098400004042000002000105028021021000028ED
:10985000021004800840002005A000000400000061
:1098600000000000000000002004000104000020AF
:1098700020200000800040020000000000000000E6
:1098800001000000010000000000000000000000D6
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B0000070000000000000000000000000000038
:1098C000000000000000000000000080010000080F
:1098D0002000020000008056A2100000000000409E
:1098E000020882A35100009003010090280000802C
:1098F000A370000D000000C0076000426168008096
:10990000031000A479010040228800C211000081E8
:109910000AC0080370000080F6C8000000000C00B8
:1099200000780080020000000078000010000000B5
:1099300000080000600000400200080200008000F3
:109940000000284001008000F010008000000000AE
:109950000001000000000000000000000000000006
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000000000000000000000C803B
:1099A000010000002000010000000006200A000065
:1099B0000000000000102383810100C004000000AB
:1099C00002000000AA684000C0000000E1F050431F
:1099D0006002006000184050E200800600000E03A4
:1099E00008020010C16260B3E00080102040000057
:1099F000000C010000701008000000C005001008F5
:109A0000000200C0410200001000000000084812DF
:109A1000000000040070080000000007400806A0D5
:109A200008000008E0100000000000000000000036
:109A30000000000000000000000000000000000026
:109A40000000000000000000000000000000000016
:109A500000000000000000000040000000000000C6
:109A600000000000000000000000000000000000F6
:109A7000000030000F000002280009000210000161
:109A80009000000000000000000800C14000208499
:109A900080400096080000001C4401206401004042
:109AA000080C000C180000800088000088020020CC
:109AB0002404A2118201801400244800E001000166
:109AC0000C00000004040000000800000800000072
:109AD0000210000000000020020500080000004005
:109AE0000000420800000040000804440500000097
:109AF00018000204000000000050000000000000F8
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B30000000000000000000000000000000000025
:109B400000000000000002000200000050010600BA
:109B50000000000600700000800000C00100489472
:109B600080005C53AC02000718000000C008080722
:109B70002000008001480008100100C00470018826
:109B800060000040044800080000008301C040D489
:109B9000E00000D744000000D0010000C000000039
:109BA000000000C005100000130000400030000558
:109BB0000000000000600200000000000000200023
:109BC00002000000C0702C0004003C0000000000F7
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C00000000000000000000000000000000000054
:109C10000000000000000000000000400000000103
:109C200040000CC00100800020040000040000007F
:109C3000040020A30400008AA2008047600000C046
:109C40002140000F900000800B1000030000000571
:109C50000038000C60000040D1400200700000415C
:109C6000011208A46100808A5288000050000000A0
:109C700000020000F000000701140020600000074F
:109C80000660400700000000000401000000000022
:109C900001380010000000C021060000000000D8BC
:109CA0000140000000000000000000000000000073
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD000000000000800000000000000000000007C
:109CE00000000000000000000040000080000000B4
:109CF0000000400000000000000044800000000060
:109D000010000000101000000001000000400402DC
:109D10000400040000000840000C004C0040000A51
:109D2000090480000400894A001480494C280981F4
:109D3000020C08105C39002100001100080100022B
:109D4000000820010C10042400000004100084040A
:109D500022222022002204002002000202024000EF
:109D600001002100020009000009010002000000BA
:109D70000100000000020000800000000000000060
:109D80000000000000000000200020000000000093
:109D90000000000000000000200020002000000162
:109DA00000000004010000000000000000000000AE
:109DB00000000000000000000000000000000000A3
:109DC0000000000000000000000000100000005033
:109DD0000000000020000000002000000000000043
:109DE000000A000000B0000000000850000C5008FD
:109DF000901D000C6806B8590A600C0C00300D0C60
:109E0000080C0C1A0E185C343C2C6A2A20003854BA
:109E1000007D20160014001D0020600600000C309C
:109E20000010600000009000000000000000000032
:109E3000900000007000B010B00074000008000036
:109E40000000000000000000004070000000000062
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E70000000000000000900090000800000000050
:109E800000000000000000000000000000000000D2
:109E90000010000010000000000020000000008002
:109EA00000002830000000000000000000000A0050
:109EB000000C00500B100C0008000C0000000630D5
:109EC00047000E1C0096436C08083B500C50381C91
:109ED00000001E3C1010089C0888660110280E9C8B
:109EE0008650097106088E8C00F030FC00000410CA
:109EF000000001E406D034000C303A380E1804009B
:109F0000000C000C0C0C2E0008002C8E0C0604001B
:109F100000040C000C000E000C0000000004000007
:109F20001000000000000000000000000000000021
:109F300030001000000000000000000000000000E1
:109F400030003000100000080000000C0800000085
:109F50000000000000000000000000000000000001
:109F600000000000000000000000000000000000F1
:109F700000000100000000000000000000000000E0
:109F800000000000000000000000000000000000D1
:109F90000010000080000000000000000000000031
:109FA0000000000020000000000400000004000089
:109FB000002002006000000000000000000000001F
:109FC000400002006000000040002004000000008B
:109FD0004000000000000000000000000100000040
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A0200000000080000000000000000000000000B0
:10A030000000000000000000000000000000000020
:10A04000000000000000000000000100010001000D
:10A0500000000100010001000000010001000000FB
:10A060000800000000900000100000000000000048
:10A0700008000000000000200000000000010000B7
:10A0800000000000000004000000000100000000CB
:10A0900000000001000004000000008100008001B9
:10A0A00000000000000000000000000000000000B0
:10A0B000040000000000000000000000000000009C
:10A0C0000000000000300000000000000000000060
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A10000000000000000000000000000000000004F
:10A11000000000000000000000000004000000003B
:10A120000000000400000000000000040000000027
:10A1300000000000040000000020000000000000FB
:10A1400000000008040000000000002010000000D3
:10A1500004000000000800000010000010080000CB
:10A1600010000000000000000000000010000000CF
:10A1700000000000000000000000000000000000DF
:10A1800000000000000000000000000000000000CF
:10A19000000000000000004000200000000000005F
:10A1A00000000000000000000000000000000000AF
:10A1B000000000000000000000000000000000009F
:10A1C000000000000000000000000000000000008F
:10A1D000000000000000000000000000000000007F
:10A1E00000000000000000000000000000000D0D55
:10A1F0000E0F5754C8FFFFFFFFFF01000100FFEFE4
:10A20000BFFF00FEFEFFFFFE3F2F33CC99999999C7
:10A2100055AAC3C3A5A5EFFFBBBA0100FFBFAAFFA4
:10A22000FFF7AAAACCCCAAAA0F0F5555CCCCCCCC00
:10A230005555CCCCCCCCFFFEF7EF7F0FFF77FCFF62
:10A2400000400500AAEA0FFFFFFFFBFF55FF004497
:10A250000E07BFFFFFF7FFFF099000000000FFFFA0
:10A2600033333333FF000F0F5555FF0033330F0FD8
:10A270003333FF00AAAA0000000000000000000025
:10A2800000000000000000000000000000000000CE
:10A29000000000000000800000000001000000003D
:10A2A00000000000000000000000000000000000AE
:10A2B000000000000000000000000000000000009E
:10A2C000000000000C0000000C0000008C024800A0
:10A2D0000C2000000C0000806CA94F806CB94C80F1
:10A2E0004CB94D804CB94C004C9100000C00000062
:10A2F0000C0000000C004D804CB84D806CB94F80B4
:10A300004CB84C806CB94C804CB000000C00000084
:10A310000C0000000C0000000C0010000C000020DD
:10A320001E0000000C0048000C2000000C00000083
:10A33000000000800C284F806CB94F806CB94F80B2
:10A340006CB94F806CB94F806CB000000000000009
:10A3500000000000000000000000000000000000FD
:10A36000000000000000000000008000000000016C
:10A37000000040010000000000000000000000009C
:10A3800000000000000000000000000000000000CD
:10A3900000000000000000000000000000000000BD
:10A3A00000000000000000000000000000000000AD
:10A3B000000000000000000000000000000000009D
:10A3C000000000000000000000000000000000008D
:10A3D0000000008060A94F8060B94F8060B100002C
:10A3E0000000008060A94F8060B94F8060B14800D4
:10A3F000002000000000000000000000000000003D
:10A400000000900400009024120090241200902478
:10A4100012009024120090241200902412000000D8
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A4400000000000000010000000000000000000FC
:10A4500000000000000000000000000000000000FC
:10A4600000000000000000000000000000000000EC
:10A4700000000F00080001000F000303FAFAFBFAC6
:10A48000ABBB0301DF0FF53105055150BBBA575582
:10A49000FAFBFEAAFD003F370F00FFF7FFFFFF1397
:10A4A0003F37DFFF005E0100F66FDE7BF66FBE7D9B
:10A4B0007DBEFFFFFFFFFEFFF66FF66FDE7BF66FE0
:10A4C000F66FAFFF7FFFFF7FFFFBFFFFBABFFEFF0F
:10A4D00000BF000000003300FFFF0303330033FF21
:10A4E00055000FFF3300AAFF55FF0033111103037E
:10A4F0003300000000000000000000000000000029
:10A50000000000000000000000000000000000004B
:10A51000000000000000000000001000000000002B
:10A52000000000000000000000000000000000002B
:10A53000000000000000000000000000000000001B
:10A54000000000000000000000000000000000000B
:10A5500000000000000000000000000000000000FB
:10A5600000000000000000000000000000000000EB
:10A5700000000000000000000000000000000000DB
:10A5800000000000000001000400000000000000C6
:10A590000000000000000008000020C000D60000FD
:10A5A000000000000000700000040000C000320045
:10A5B0000A040000A80033000A04000088003200EA
:10A5C0000A04000004010000000000000000000078
:10A5D000000000000000000000000000000000007B
:10A5E00000000000000000000000000000000081EA
:10A5F000000000000000000000000000000000005B
:10A60000000000000000000000000000000000004A
:10A61000000000000000000000000000000000003A
:10A62000000000000000000000000000000000002A
:10A63000000000000000000000000000000000001A
:10A64000000000000000000000000000000000000A
:10A6500000000000000000000000110020000000C9
:10A6600084000000000000000000802800000008B6
:10A67000008600000000000000004000080000000C
:10A6800000015100080000004401510008000000D2
:10A690002C015100080000002C0100000000000007
:10A6A00000000000000000000000000000000000AA
:10A6B000000000000000000000000000000000009A
:10A6C000000050000000000000000000000000003A
:10A6D000000000000000000000000000000000007A
:10A6E0000000000000000000000000050000004025
:10A6F000A00001000100000000C2222010000000A4
:10A7000000000000C0001000000000000000030076
:10A71000040040002000000007000100400003008A
:10A7200000001000480000000000000000000000D1
:10A7300003120000080001000000000002002007D2
:10A740002000E00003100000000001204100000094
:10A7500000000013C800000001A38003C82380C5C7
:10A7600003E38000C8C801C01380CB00C400000010
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A00000000000000000000000000000000000A9
:10A7B0000000000000000000000000040000000095
:10A7C000100000400000202020000010004802007F
:10A7D0000000000004200000010000200000000034
:10A7E0000000020040020100000000100200020010
:10A7F0000100020000000000000000000000000056
:10A8000000000000C0000000000820000000000060
:10A810000200000202C00402210400000000200027
:10A820002100000000000000000000004004800142
:10A830000000A00240208000000040020002400012
:10A840000000000000000000000000000000000008
:10A8500000000000000000000000000000000000F8
:10A8600000000000000000000000E0000000000008
:10A8700000000000000000000000000000000000D8
:10A880000000000000000000000000000000800444
:10A890001000008002E028C009010029E07880440F
:10A8A00078000000F970208201000025F071188006
:10A8B0000100000030800C05180100ABF230860466
:10A8C00060000000F20108436000001650328880EA
:10A8D00000000008A0B080A760000080A3440060D2
:10A8E0006100005612612C80D3800000200240439A
:10A8F00062010048F48A008002000000020000802B
:10A900000000800001000C800100800000100000A9
:10A9100000000080030100000000000000000000B3
:10A920000000000000000000000000000000000027
:10A930000000000000000003000000000001000013
:10A940000000000000000000000000000000000007
:10A9500000000000000000000000000000000000F7
:10A96000000000139001005004000880E300000183
:10A97000E81200956100001021480042C00000016B
:10A98000C090200D830040E1C0701C93290000831B
:10A99000F530108403020006A0082020030280077F
:10A9A0004080068409000084ED020004D80000E025
:10A9B00023622287C8030041048003006028000648
:10A9C00000308804D2000040007040020000000007
:10A9D000020006800000000400701C8001000007D7
:10A9E000000810A003000000E000000000000000CC
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000100000000036
:10AA10002000800000000000000000000000000096
:10AA20000000000000000000000000000000000026
:10AA300000000000000000020000000000202400D0
:10AA400007000100000D80808E01000A4C8804116F
:10AA5000000100F000080004B5008000480010105C
:10AA6000040040100142080107000058050049A1F8
:10AA700000000004400006000400000F0020002C2D
:10AA800000010000802004500000804540042000A8
:10AA90009600401A804402400C00000202100000A0
:10AAA000020000000200910007000080100810184A
:10AAB00005000000000000080001208100000000E7
:10AAC0000000000000000000000000000000000086
:10AAD000000000000000000000000000000000086E
:10AAE0000000000000000000000000000000000066
:10AAF0000000000000000000000000000000000056
:10AB0000000000000000000000000008200000001D
:10AB100000940C0060001400A0408008200000D0C9
:10AB200024782F500000000358603E006200901708
:10AB300000002118F80100C8C260008D0300004326
:10AB4000C000060203000004000016000F0000030E
:10AB5000480000470230000055499288F40100E3A4
:10AB60005078001003004000D1080C8870000000ED
:10AB700000100002000000000000060000000000BD
:10AB80000000200000000000C0702D4004001C00E8
:10AB90000030000000000000000000000000000085
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000C00050000000000085
:10ABC0000000000000000000000000000000000085
:10ABD0000000000000000000000000000000000471
:10ABE00068010040001000406801001400710CC5AD
:10ABF00075000016C2408EC40100809AE2800E905B
:10AC000062004014410100837100008E03E902E0FC
:10AC100001008000D20008500300001620022CC062
:10AC200001000000000100A7800200404290228243
:10AC30005000000A2210005360000006501001C0AE
:10AC40007101011ED8818080010000000000060013
:10AC500000000000403800000000000050060C809A
:10AC6000010000040080000000000000000000005F
:10AC700000000000000000000000000000000000D4
:10AC80000000000000000002000000000001400081
:10AC900000000000000000000000000000000000B4
:10ACA00000000000000000000000000000000000A4
:10ACB000800000000000800004000042000001004D
:10ACC00000008200800022400050015050004018D7
:10ACD0008010100000001000912800118114040859
:10ACE000048001410000010028001400200C080C21
:10ACF00000008208100008040108004020048C02B3
:10AD000088415240500C19340002880A20204844DF
:10AD1000812101002224000208000000200000021E
:10AD20000180040201000100000004000000000096
:10AD3000000004800100000000000000000000008E
:10AD40000000000000000000800000002000000162
:10AD500000000000000000002000000000000001D2
:10AD60001000C00000000000000000000000000013
:10AD70000000000000000000000900000C000000BE
:10AD8000000000009000000000001009000000001A
:10AD9000000000090000B0000859081A1C2D6810B6
:10ADA00010007C1C10303C09003910740C0C400061
:10ADB000690208B04A40649D40003C043C0D1C5EA2
:10ADC000700C7C680C70700C39000C092908403D2F
:10ADD00000190870BC00105220081830B009BC3CA3
:10ADE000004048700870700002309002B819B00C32
:10ADF00000080010A2107800B06000000000B00D44
:10AE000000000000000000A005000000000000009D
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE30000000000000001080000000000000000082
:10AE40000000000000000000000000000000000002
:10AE50000100005000000050300000000050BA0017
:10AE6000000000200000080000003000110035A0A4
:10AE70008100093030003100B00001004080000145
:10AE800039300F083858090608360D1C06008D07A2
:10AE9000B6000D003A0C007C5100380E60500A5C80
:10AEA00088000A802ED43C5C3028300020500C18DA
:10AEB00000043084001020343D280C000C9800045D
:10AEC0000ED00001000100880CB0090808000C0039
:10AED00000000C000000000000000820040000003A
:10AEE00000000000700000000000000000000000F2
:10AEF00020000000300000080000000000000000FA
:10AF000030000000000000080000100000080000F1
:10AF100040000000000000000000000000000000F1
:10AF20000000000000000000000000000000000021
:10AF30000000000000000000000000000000000011
:10AF400000000100000000000000000000500000B0
:10AF500000000000000000200000000000000000D1
:10AF6000000000000C000000000000004000000095
:10AF7000000000000000000440000000000000008D
:10AF80002000040000002000000400000800000071
:10AF900000000000000000010000000000000204AA
:10AFA000000000003000020400000000003000003B
:10AFB000008000000000020000000000000000000F
:10AFC0000000000000000000000000000000000081
:10AFD00000000000000000000000000000000080F1
:10AFE0000000000000000000000000000000000061
:10AFF000020000000000000000000000000000004F
:10B000000000000000000000000000000000000040
:10B010000000000100000C00000000010000000022
:10B0200000B000010000000000000040000000002F
:10B030000000000000000000000000000000000010
:10B0400000000000000000000000000120000000DF
:10B0500000000080000001000000800000000000EF
:10B0600001000000010000000000000C00000000D2
:10B0700000000401000000010000040100000200C3
:10B0800000B00000002000000000040000000000EC
:10B0900000000000000000000000000000000000B0
:10B0A0000000000000000000000080000000002000
:10B0B000000000000000000000410000000000004F
:10B0C0000000000000000000000000000000000080
:10B0D0000000000000000000000000000000000070
:10B0E000000000000000000000000000000004005C
:10B0F000000004000400040000000400040000003C
:10B100000000000000000000000000000800000037
:10B110000000000000000000000800001000000017
:10B1200000080000000000201000000000000000E7
:10B1300000080000000000000004000020000000E3
:10B1400000000000000000000000000010000000EF
:10B15000000040810020000000810000000000008D
:10B1600000000000000000000000000000000000DF
:10B1700000000000000000000000000000000000CF
:10B18000000000200000000000000000000000009F
:10B1900000000000000000000000000000000000AF
:10B1A0000000FF55FFFF5F137777DDD00501110029
:10B1B00001007757F5F00200FFFDF5FF030222338F
:10B1C0004C5F80FF7FFF4400FEFF000000002E2E3A
:10B1D000FF35577551558AAAFF150400FEFF33FF4E
:10B1E0000FFFBBA0B3A2FF00FF0055553333FF0094
:10B1F0000F0F0F0F5555555500FFF3F1FF7F03114A
:10B2000031010100777FFC7C4F0FFFFFFEFF010043
:10B210003F0002020105EEEEDE7BF66FBE7DF66FAB
:10B22000BE7D0000000000000000000000000000E3
:10B23000000000000000000000000000000000000E
:10B240000000000000000000000000000000FFFF00
:10B25000FFFF0000000010010000000000000000DF
:10B2600000000000000000000000000000000000DE
:10B2700000000000000090040C0090241E0000005C
:10B280000C0000000C0000000C0000000C0000008E
:10B290000C0000001C0000000C0000000C0000006E
:10B2A000000000000C0000000C0000000C0000007A
:10B2B0000C0010201E0090241E0048806CA94F80B6
:10B2C0006CB94F806CB94F806CB94F806CB0000086
:10B2D0000C0010241C0000000C0000000C000000FA
:10B2E0000C0000000C0000000C004F806CB94F8077
:10B2F0006CB94F806CB1000000000000000000003D
:10B30000000000000000000000000000000000003D
:10B31000000000000000000000000000000000002D
:10B32000000080040C00000000000001000000008C
:10B33000000000000000000000000000000000000D
:10B3400000000000000000000000008000284F8086
:10B3500060B94F8060B94F8060B94F8060B94F804D
:10B3600060B000000000000010001020120000007B
:10B37000000000000000000000000020120000009B
:10B3800000000000000000000000902412001020C7
:10B39000120080040000488060A84E8060B84E8093
:10B3A00060B84E8060B84E8060B1000000004E80F2
:10B3B00060B94E8060B94E8060B1000000000000AE
:10B3C000000000000000000000000000000000007D
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B400000000000008000000000000000000000034
:10B410000000000000000000000000000000FFFF2E
:10B420003333FF000F0F3333FF000F0F5555333306
:10B43000FF000F0FCCCC0010DFFF0E0FFC54BBF34E
:10B4400011F53100BFBF3F30FB01FFFFFFFF7FFF62
:10B4500000027F5FF00700000000DD11475505CFB7
:10B4600005DD05DDAFCFFFFFFFFF00FFF0F000FFC0
:10B47000F0F0F0F0CCCCAAAA00FFAAAA3333220045
:10B480000044EEFFFF55EEEE0F0FEEFF55550101A4
:10B49000FF055554DCCCFFFF001145FFFFFF000006
:10B4A000000000000000000000000000000000009C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000000000000000005C
:10B4F000000000000000205000920000000000004A
:10B500000000000000000000000000000004200017
:10B510000000010004000000000000000000000026
:10B52000000003000A0000002C0000080000800852
:10B530000026323014010020C84001000400000041
:10B540002800000000000000000080010000200032
:10B5500000220000000000000000000000000000C9
:10B5600000000000000000000000000000000000DB
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B59000000000000000000000000000000020008B
:10B5A0000004000000000000000000000000000097
:10B5B000000000000000000000000000000000008B
:10B5C0000000000000008020000000100086000045
:10B5D0000000000000000000000000000000C000AB
:10B5E0000800001040071100200000008400000047
:10B5F00000000000000011000000000004008000B6
:10B600000000200000025121200000048489110064
:10B610002000000004000000000000000000801076
:10B620000000001000020000000000000000000008
:10B63000000000000000000000000000000000000A
:10B6400000000000000000000000000000000000FA
:10B6500000000000000000000000000000000000EA
:10B66000000000000000000000000000000080005A
:10B670000000101000060000000000000000C000E4
:10B6800000000000000000000000000000000000BA
:10B6900000000000000000000000000000C0C00723
:10B6A000E0C000C00000004004000010A005200021
:10B6B0004100800100800180010000050423A303F4
:10B6C000C7000000A0C0000408000440A30003005D
:10B6D000000000C0040000030000A0CBE162C6032C
:10B6E000DB1320000083000000000004400000087D
:10B6F000C0000BC000000003000000290000000093
:10B70000C0000000000000050000008000004000B4
:10B71000C001000800000000000000000000000060
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B74000000000000000C000000000000000000039
:10B7500000001000000000000000000000000000D9
:10B760000000000000000000000000000000004099
:10B7700000841200021000400000004000000000A1
:10B7800008000000210188010302418241000004F9
:10B7900000004001400000000040000000020040A6
:10B7A000040004000010001008C000081000000190
:10B7B00040200401000400000005102000021000D9
:10B7C0000100000001200810032000080102000011
:10B7D0000000000009000000000000000800004018
:10B7E00000000500018000000000000000000000D3
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000010000000000018
:10B820000000000000008000000000000000000098
:10B830000000000000000000000000000000000008
:10B840000000000220000000E0784005D400000065
:10B8500001342000082C000004758042D300004908
:10B86000F2E2A84462000084C3320800200000060F
:10B870000030028061000060A67800A20100000094
:10B88000FA04A264000000404200008401000000AD
:10B8900030000E00100000010001000310010080C4
:10B8A00007302C90710000800204008050000006D8
:10B8B000017000446400009EF741000278000040DF
:10B8C00008B00000000000000000000000000000C0
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000010057
:10B8F0000000000000000000000100000000000047
:10B900000000000000000000000000000000000037
:10B9100000000000000000102002000000100614CB
:10B920002000001045F200056001000041182000D1
:10B93000870000070000080410004004411029009F
:10B940003001000B0060A0A06B00408001000040AF
:10B9500002000000003002040200004F2C020050E0
:10B9600000000000000000051000000020000002A0
:10B97000F000004002304104320100700038400500
:10B980000802001800100E108F0000442008021753
:10B9900060000040C06000000000000000000000E7
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000000002000000057
:10B9D0000000000000000000000000000000000067
:10B9E0000000000000000000000020001100000026
:10B9F00020440A000000005040040019000000002C
:10BA0000000004431802805040A02403200100FCE1
:10BA100084A8A0000000000800604818E001405021
:10BA2000C80C0059200000000300048100004048B9
:10BA30001004000000000000010040100800200079
:10BA40000000101180000000004208860000000085
:10BA50004200000022004000124202384200808072
:10BA600042090230910200100A02000000000000AA
:10BA700000000000000000000000000000000000C6
:10BA800000000000000000000000000000000000B6
:10BA900000000000000000000000000000000000A6
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC000000000C0050080008000000000784007F2
:10BAD00083000040007C3C8BC00000CBE07A02E099
:10BAE000C3000081E1E10000E00300000008002243
:10BAF0006A0000C1A07800A8830000C0A4B4A74DCC
:10BB000007000040A0B20000C02900000060000053
:10BB100002001C000008800823000016D11C024807
:10BB2000700000664068100260000000A0181083DA
:10BB300067000006A000A60388000080D16800000E
:10BB400000000000000000000000000000000000F5
:10BB500000000000000000000000000000000000E5
:10BB600000000000000000000000000000000000D5
:10BB700000000000000010000000000000000000B5
:10BB800000000000000000000000000000000000B5
:10BB900000000000F0000000000086050400000026
:10BBA00020101227580100C0050000070000808EF9
:10BBB000E11090000000009AC1300E0070000016E5
:10BBC0000000894760000005C0110080000000806F
:10BBD000B13206450100001404100000008000008E
:10BBE0000004000C00000000003000136001002081
:10BBF0000530268270018007A2928E802900000005
:10BC00002215064400018087C26100477000009E33
:10BC100002C0000000000000000000000000000062
:10BC20000000000000000000000000000000000014
:10BC30000000000000000000000000000000000004
:10BC40000000000000000000000070000000000084
:10BC500008000000000000000000000000000000DC
:10BC600000000000000000000040204108008C0897
:10BC70002009000A520100411020402131122804FD
:10BC800051040100104911022220800012220220DA
:10BC90003080208180104480000024500440181A15
:10BCA0001000910414318C020214883208880412A6
:10BCB000004810028C0240280000104824208C44C8
:10BCC000444C00004040044904440000010010803E
:10BCD0002A2008444020042A0C028482049C0C0080
:10BCE0000000000804000002000000020000000044
:10BCF0000000000000000000000000000000000044
:10BD000000000000000000000000004000000000F3
:10BD100000002000010000000000000000002001E1
:10BD2000000000000800000000000000000000000B
:10BD30000000000000000000000000000000000003
:10BD400008000C0C0C08080C000009002000000082
:10BD50000D2D080D1D0E6040095818104000004AB6
:10BD6000000E00001C090000001E6C4250500830FC
:10BD70009C0D1C1C2C591402002E04067E095C32FA
:10BD800000BC521D00043C0D7C000C18000C303C23
:10BD900000BD14BD060C004E59B0005C08500000F8
:10BDA000900010B10800B8000000000C1C1000004A
:10BDB000B8185CB00050505800009000901000007F
:10BDC00000309000000000000000000000000000B3
:10BDD0000000000000000000000000000000000063
:10BDE000000000000000000000000000000009004A
:10BDF00000006000080000000000000000000000DB
:10BE00000000000000000000000000000000000032
:10BE1000001020080000140001080104240C02286E
:10BE200000303A381C483E002C500C000A180D8097
:10BE300008303A0000280810033010381A5A15301C
:10BE40000E003D360130000801501D0C003C3454FA
:10BE50000C08300000300C0C00100B7C385C11B06A
:10BE6000000100A000109C181C2C000010100C0CED
:10BE70000D200000080000000C30003C20300C3881
:10BE8000D8D81838093C0C00000000000800000851
:10BE9000008000080080000000000000000000009A
:10BEA0000000000000000000000000000000000092
:10BEB000000000300000000000002000040000002E
:10BEC00000000000000000800800000000000000EA
:10BED0000000000000000000003000000000000032
:10BEE0000000000000000000000000000000000052
:10BEF000020000000000000000000000000400003C
:10BF00000000020C000000000000080040000000DB
:10BF100000000000000000000000000020200000E1
:10BF20000000A00404000006000002000000000061
:10BF30000000000040000000001000002000000091
:10BF400000000000000400000000000000000000ED
:10BF500000000000000000000000000000040020BD
:10BF600000000000000400000000000000000000CD
:10BF700000000000000000000000000000000000C1
:10BF800000000000000000000000000000000000B1
:10BF90000000000000000000000000800000000021
:10BFA0000000000000000000000000000080000011
:10BFB0000000000000000000000000010000008000
:10BFC0000000000000000001000000000000000070
:10BFD0000000000000000402000000000400010056
:10BFE000000000010000000000000100000020002F
:10BFF0000080000100009001020000000020040009
:10C000000000000000000000200000000090000080
:10C010000000000000000000000000000000000020
:10C02000000000000000000000000000000100000F
:10C030000000008000000000000000000000000080
:10C0400000000000000000000000000000000000F0
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000300000A0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C0900000000000000000000000000000000000A0
:10C0A0000000000000080000000000000000000088
:10C0B000040000000000000000000000000000007C
:10C0C0000000200010080000000000000000000830
:10C0D0000020000000000000000000000000000040
:10C0E0000020000010000000000000000008000018
:10C0F0000000000000000000000000000000000040
:10C10000000000000008000000008201000800009C
:10C110000000000000080000000000000000000017
:10C12000000000000000000000000000000000000F
:10C1300000000000000000000000000000000000FF
:10C14000002080000000000000000000000000004F
:10C150000000000000000000000000000000FFFFE1
:10C16000FFCCCCFF00CE3733151515153F15F3FF67
:10C170000504FFFF3F15BFBAFBAB80FFEEEFFAFEF1
:10C18000DCFFFFFFFEFF6666CC33CC33AA55CC3311
:10C190006666CC33666655AA33CC55AA33CC99660D
:10C1A0009966996699666969696969696969FDFF4E
:10C1B000FF500F4F3333555533338F0FFF20D55575
:10C1C000FF403373FFAF0505FFFDFFD5FF3F1100B3
:10C1D000FF7F7F7FFFEF80015FFF3FFFFEFF0000DB
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C2000000000000000000000000000000008008A6
:10C21000000000000000000000000000000000001E
:10C22000000000000000000000000000000000000E
:10C23000000000000C0000000C0000201E009024F4
:10C240001E0000001C0000000C0000000C0000009C
:10C250000C0000000C0000806CA94C804CB84C8095
:10C260004CB94C804CB94C804CB148804CA84D80A6
:10C270004CB84D804CB94C804CB84C804CB84D807B
:10C280004CB000A01E28DFA47EB9DFA47EB9DFA4D5
:10C290007EB9DFA47EB9DF046C9000000C000000C2
:10C2A0000C0000000C0000000C0000000C0000005E
:10C2B0000C00000000000000000000000000000072
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000080000000000000004001000000008D
:10C2F000000000000000000000000000000000003E
:10C300000000000000008004000000000000002089
:10C3100012000020120000000000000000000000D9
:10C320000000000000000000000090241200002027
:10C3300012004D8040B94D8040B84D8040B84D80CE
:10C3400040B94C8040B0902402004F8060B94F80CB
:10C3500060B94F8060B100000000000000000000E4
:10C360000000008060A94F8060B94F8060B100007C
:10C370000000000000004800002000000000000055
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B000000000000000000000000000000000007D
:10C3C0000800000000000000000000000000000065
:10C3D00000000000000000000000FFFFFFFF000061
:10C3E0000000FF7F770733137707FFBFFFCFFFF70B
:10C3F0000501FF5F0700FFBF33130F077707BBF38C
:10C40000BBF3FDFD336666666666AA5566666666BC
:10C41000666666665A5A66663C3C5599FFFFCCFFD5
:10C42000DE7BF66FBE7DDE7BBE7D000000005F5FC1
:10C43000F777FFF7FFCF3313F66FDE7BF66FF66FFC
:10C440007DBEFFFFFFFDFF3FFF777F3F55DD010111
:10C450000100FF5FFFB37F7FFFBF0000000000000F
:10C460000000FFFFFFFF00000000000000000000D0
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B0000A000000240000000A042050448B000001
:10C4C000000000500000000000000000000010000C
:10C4D00010010000400000000A0000000C000000F5
:10C4E000000000000000000000000000000000004C
:10C4F0000A0000000800000000000000000000002A
:10C50000000020C000640000000020C000A2000065
:10C51000000020C0009200000000000000000000A9
:10C52000000000000000000000000000000000000B
:10C5300000000000000000000000000000000000FB
:10C5400000000000000000000000000000000000EB
:10C5500000000000000000000000000000000000DB
:10C5600000000000000000000000000000000000CB
:10C5700000000000000000000000000000000000BB
:10C5800000000100000000000400C12008000010AD
:10C59000848B0020000000000090000000000000DC
:10C5A0000000400000000000000101000000000049
:10C5B00084000000000000000000000000000000F7
:10C5C00000000100000000008400000000000000E6
:10C5D0000000802000000008009280200000000879
:10C5E000009280200000000800860000000000008B
:10C5F000000000000000000000000000000000003B
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000500000000000AA
:10C6400000000000000000000000000000000000EA
:10C65000000000000000000000002004C000C343F0
:10C660000100C76281800062024046400250000023
:10C6700000000000020000DBD880400093000000B2
:10C6800000E1C400C0000000000000000000000540
:10C690000000000000000000C30040C30180000053
:10C6A0004000A0000000002203C00062440002C756
:10C6B000E3C34440122200C3E2C0C02247C00000CE
:10C6C000C000040001800800040000000000010018
:10C6D000040020004000000000000000000000C036
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C700000000000000000000000000000000000029
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000405
:10C730008000182840C00820C08200400420042047
:10C7400084200000000200201200000000021000FF
:10C750008000000000200000010000000000000038
:10C760000000000020000000000000008400012103
:10C770000404100001000000000002000810032063
:10C7800002000404201802A00140000C0410100054
:10C790002004800401100000200C001000000000A4
:10C7A0000000201020000000010000000000000038
:10C7B0000000004000000000000000000000000039
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000C00000000000000000000000000089
:10C7F0000000000000000000000000000000000039
:10C800000000000002404CA095008084F3150C80CD
:10C810000000808E030000431200008BC2328C8A1D
:10C8200000000061E2600004000000A003008C0032
:10C8300000010080014400036000008EA2304883A4
:10C8400029020040310807841B01801A06020002F9
:10C8500050000000F0702860580180883372AC905E
:10C8600059010081210060431000000002340104DE
:10C87000540200A0F161020300008009F8F20000F8
:10C8800000000000000000000000000000000000A8
:10C890000000000000000000000000000000000098
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000000000000000000000078
:10C8C0000000000000000000000000000000000068
:10C8D00000000000C00000000064B004C3000000BD
:10C8E000034820200201004840006093E1038007D4
:10C8F00000642C85000000E0E0800C00C000000017
:10C9000000100100E00000000068000000020008C4
:10C91000000001752230006000641293C5000046DB
:10C9200002100025020000C021080F00C000000412
:10C9300020680185C900008341738E04100000083F
:10C9400040E212B4C10800512064A10B600000074E
:10C95000207800000000000000000000000000003F
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C990000000000000000000000000000000000097
:10C9A000000000000000000000050000012420201D
:10C9B000A900002210006858010200E02C30244237
:10C9C0000C0000A0208248000F034000280018013E
:10C9D000180000A440410000080000211104004498
:10C9E000080000402106000018004000100400105C
:10C9F0000200400180280014C000002008080084C4
:10CA00008002408240402844200000A8000801081D
:10CA10002500000001800150E000003004020028E1
:10CA20000C010005100100000000000000000000E3
:10CA300000000000000000000000000000000000F6
:10CA400000000000000000000000000000000000E6
:10CA500000000000000000020000000000000000D4
:10CA600000000000000000000000000000000000C6
:10CA70000000000000000000000000008030000006
:10CA800000780E976B000004450000AF60000007BF
:10CA900021000C00E0018093417808880300408663
:10CAA000A90A084003000003A0300640020000C1AC
:10CAB000A440010002004001403800C0030000967D
:10CAC0000508BE8DD3010C000002008B0000008021
:10CAD00001323C206A0000012000421363027CC640
:10CAE00029B40608830000100078120862000006CE
:10CAF00020092CC2F10000D6D43000000000000054
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB5000040000C00110128371000036E069004239
:10CB600001008080D96080400800009ED612208D90
:10CB700001000046A57026C0010000472105208065
:10CB80000100800600010E400100808A09321CC0AD
:10CB900001000080210006A5510040065000002C35
:10CBA000000000C03980004000000000A03E02E309
:10CBB000610080C6A53100526B000089B1100210DF
:10CBC00050008054E110008275000086C2F0000021
:10CBD0000000000000000000000000000000000055
:10CBE0000000000000000000000000000000000045
:10CBF0000000000000000000000000000000000233
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC2000000000402048000000004A014008004881
:10CC3000088000504200302400414C00180440811C
:10CC40001031000132042040221140003150884848
:10CC50000851001088481800200228412904413852
:10CC600009412001201404400041908810000480F4
:10CC700000104909000C444A3C414850324041945C
:10CC80003C5428812202205408188100248020204E
:10CC900041422220200221208020800A0100000041
:10CCA000040200000000000000000000000000007E
:10CCB0000000000000000000000000000000000074
:10CCC0000000000000000000000000000000000064
:10CCD000000000000000000000000000000000094B
:10CCE0000000000000000000000000000000000044
:10CCF000B00000000000000900040000000D040660
:10CD00000064000000700010500A100000000C00C9
:10CD10002070B0B0002A08763010700C0E290C007C
:10CD200010100C7C7C3DB010B804380D0D196C004F
:10CD30006C699C1C7C7060BD0C09484C520D10182D
:10CD40002C0C1299102498B86058A050000D74094A
:10CD50002D10903200220492BD7A0D1EB028BD6CB9
:10CD6000BE060000004D020A790009300E3270083C
:10CD7000000509BA704A09700510000000000D0096
:10CD80000000000000002000000000000000000083
:10CD90000000000000000000000000000000000093
:10CDA0000000000000000000000000000000008003
:10CDB000080000000000000000000000000000006B
:10CDC0000000000000000000000000002020000023
:10CDD0000000305820000E00003000000400201435
:10CDE0000E0C38000E003A38001C01082484301163
:10CDF000080C310008103030003841003A100A06A3
:10CE000036EC103C3C0C3D300C1830502F040D31EA
:10CE1000001C3AB00B0F881A8A0728785A081C0C95
:10CE20000018302004B0181C0028301C0C0C2008FE
:10CE300000003C5F08303A300C281030300C38B01D
:10CE40001090100C0C0000000C0800000080000086
:10CE500000000E0000000000000080000000000044
:10CE600000000000000000000000000000000000C2
:10CE700000000000000000000000000000000000B2
:10CE8000000000000800000000000000000000009A
:10CE90000000000000300000000000000000000062
:10CEA0000000000000000000000000004000020040
:10CEB0000000000000000000000000000000000072
:10CEC0000000400C00000000040000000000000012
:10CED000000000000000000000040000000000004E
:10CEE00000040000080000004004000200000420CC
:10CEF0000002000200100400000000028400200074
:10CF00000000000000000000000000000000000021
:10CF10000000000000000000000000000000000011
:10CF20000000000000000000000000000000000001
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF500000000000000000000000000000000000D1
:10CF60000000000000000000008000000000000041
:10CF700000000000000000000000000000000001B0
:10CF8000000004000000000000000001000000009C
:10CF9000000000000000240200000000010000006A
:10CFA0000000000000400000000000000000000041
:10CFB0000000000000000000010000000000000070
:10CFC000000000400004000000900000000000008D
:10CFD00011008000000000000000000000000000C0
:10CFE00000000080000000000000000000000000C1
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000000000020
:10D01000000000000002000000000000000000000E
:10D020000000000000000000000000000000000000
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000008000000000000D8
:10D0500000000010000000000000000000000000C0
:10D0600000080000000000000000040000000000B4
:10D070000000001000000000000800000000000098
:10D080000008000010000000000800000000000080
:10D090000008000000000800200000000020080038
:10D0A0000000000010000000000000200000000050
:10D0B0000000000000000000000000000000000070
:10D0C00000000000000081010000000000000000DE
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000000000000001F
:10D11000000000000000000000000000000000000F
:10D120000000FF3FF73300403F2AFF00FF000F0FD2
:10D130003333A5A555AA9999C3C3999933CC33CC58
:10D1400033CC93995DA20F0F555533333333FF0022
:10D15000333333330F0FFF00AAAA6633BBB1EAFAA9
:10D16000DE7BDE7BDE7BDE7BF66F0F11FFFFFFFFDA
:10D17000FFFFDBB745EFAAAACCCCF0F000FFCCCC88
:10D1800000FF00FF00FF00FF333302044002666629
:10D19000F606000000000303FEFFFF555F5F5F5FC0
:10D1A0007777DDDD7777FF555F5F000000000000D7
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D000000000000000000000000000000000004F
:10D1E000000000000000000000000000000000003F
:10D1F00000000000000000000C0000000C0048804F
:10D200006CA94F806CB94D804CB94C804CB84D80A6
:10D210004CB84C004C9090241E0048806CA94F8064
:10D220006CB94F806CB94F806CB94F006C9048005E
:10D230000C2000806CA94F806CB94F806CB19004B9
:10D240000C0000000C0000000C0048806CA84E8010
:10D250006CB84E806CB84E806CB84E806CB1480093
:10D260000C2000000C000000000000000C009024C6
:10D270001E0090241E0090241E0090241E0000001A
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A000000000000000000000000000000000007E
:10D2B000000000000000000000000000000000006E
:10D2C000000000000000000000000000000000005E
:10D2D000000000000000008060A84F8060B84F8010
:10D2E00060B100201200802402008024020000208F
:10D2F00012001024120000000000100000004C80FA
:10D3000040B84C8040B94C8040B94C8040B94C800A
:10D3100040B110241000488060A94F8060B94F8050
:10D3200060B94F8060B94F8060B0902412000080D7
:10D3300060A94F8060B94F8060B1008000284F80A5
:10D3400060B94F8060B94F8060B94F8060B94F803D
:10D3500060B00000000000000000000000000000BD
:10D3600000000000000000000000000000000000BD
:10D370000000000000000000000000800800000025
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000000008D
:10D3A0000000000000003F3FDFDCFFFFFCFC5555A4
:10D3B000FCFCFF5FFF7F330087A5FFFFFFFFFFFF40
:10D3C000FFFFFEFF333C0511AFBBFFCC47CFBBBB1C
:10D3D000FFFF3C3C3C3C3C3C5A5A66665A5A6666E7
:10D3E0005A5ACC3366661302230155550F0FFF00BE
:10D3F0000F0F0F0F5555FF00FF000F0FAAAAFF5583
:10D40000FF3377FFBE7DDE7BF66FDE7BF66FFFFFBF
:10D41000FF00555555550F0F3333FF005555333326
:10D42000FF005555F0F00000000000000000000073
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000000000000000000000000000000000AC
:10D480000000000000000000000050001A01000031
:10D490004000000004040050CCC100000A0000005D
:10D4A0002C000100040000000C000000000000003F
:10D4B0000000000000000000000003080A008028AF
:10D4C00008640000000000000000000000000000F0
:10D4D0000000000004020000040000000000000042
:10D4E0000000000000000000000000000000C0205C
:10D4F000000800000000C02000CE00000000000076
:10D50000000000000000000000000000000000001B
:10D51000000000000000000000000000000000000B
:10D52000000000800000000000000000000000007B
:10D5300000000000000000000000000000000000EB
:10D5400000000000000000000000000000000000DB
:10D55000000000000000000000000000000041008A
:10D56000000400008401512029000000848911007A
:10D570000000000004001100210000002400000051
:10D5800000000000000000000000000000009128E2
:10D5900000000004249200000000000000000000D1
:10D5A00000000000000001000000000084000000F6
:10D5B00000000000000000000000000000008020CB
:10D5C00000000001008A802000000001008A0000A5
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D61000000000000000000000000000000000000A
:10D6200040000000C0C0480D00C400000000000021
:10D63000000001CA0080C401041383C3E2C045C0D6
:10D64000E08103050303C02304400005000301003B
:10D65000C0400000000000000200000000000000C8
:10D6600000000307C3E3E000E30000000000000542
:10D6700000E000000100080000C2000000004B8034
:10D68000C02300000000000000000000A000000017
:10D6900000000000C2C34400C00000C0C000E3227C
:10D6A0004400000000000000000000000000000036
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000003A
:10D6F00000000000010000000140000000400000A8
:10D700000000000000002080200200010000C00393
:10D710000420400400054000041180000440000083
:10D720000005040080400000000000001800000018
:10D730000000000000000400502000204001000014
:10D7400008000002004000002002000020C000008D
:10D750000000040CA000000000000000000800040D
:10D760000020000000000040140C00801000001099
:10D770001240200000000000000000000000000037
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B0000000000000000000000000000000000069
:10D7C0000000000064000080027000000000000AF9
:10D7D000E0688004780000044035000300280094CD
:10D7E00002C80002000200C0F30028800100000906
:10D7F000F24000000000000030000002100080082D
:10D80000C000804B1100009A05C006070000800888
:10D8100000008E0B2000000802602E000030000087
:10D8200000002E8001000000C0480CA32901000B5D
:10D83000C4600000000000000088000770000000C5
:10D8400000788004182D00C0E300000000000000F4
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000004002C00200E00374280005
:10D8A0000000800B000000120B000000E040301070
:10D8B000000000C001600082C03800004100080084
:10D8C0000200004006000000E0000040400040036D
:10D8D000E00300C604B00004D00000900160020024
:10D8E000000010C10400100300020040A400080062
:10D8F0000080000000400E800100000000003E4358
:10D9000023000006406008000000000000400007FF
:10D91000E000000700000004800000C001000000DB
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000000000000000000000C7
:10D960000000000000000000000000002000000097
:10D9700000022000000000018200000004020002FA
:10D980009402200000300020010000760D050060A8
:10D990000800048006008004002000000A01002026
:10D9A00008000004200004023140800801014010FA
:10D9B00000B0620C00000206200000080000001207
:10D9C00019020400000000000809040005004000DE
:10D9D000200080140000000C9F4202008001000023
:10D9E0000000201441018000000010010000002010
:10D9F0002080000000000000000000000000000087
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA30000000000000000000000000000000C00224
:10DA4000200000C000081C0004000000010020109D
:10DA5000820000074000100000000C0002380000A7
:10DA6000000000C0E1002E80000040060830018D5B
:10DA7000010010060010010288024050D4104043FB
:10DA8000820000010001120000004003A000000815
:10DA90000000004000000000000000100001002015
:10DAA0000A000041C2156E53C00102CE2080000062
:10DAB000600100000001000B000000C00500100024
:10DAC00060010000C07000000000000000000000C5
:10DAD0000000000000000000000000000000000046
:10DAE0000000000000000000000000000000000036
:10DAF0000000000000000000000000000000000026
:10DB00000000000000000000000000000000000015
:10DB100000000003800000C005900C008000008021
:10DB2000090000140000804001000E000000018583
:10DB30000344008290000000040110600000000611
:10DB400000040E800000C00000808E80110010864E
:10DB5000C298029385000041C13002070000000A0C
:10DB600008010004000000400200000D0000000059
:10DB70004260014000000080CA0106A30000108836
:10DB80000630000010000000C0190000F000000086
:10DB9000D0000000000000000002000000000000B3
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC000000000000000000000000800000000004D
:10DBD0000000000000000000000000000000000045
:10DBE0000000000040010000000400000000008070
:10DBF000044020224408040400048400190000406A
:10DC00000180040004000000408881003040409002
:10DC10000048244050401C2A40420008348494406C
:10DC20000209083440008481240884008401092109
:10DC30000252800800429002281C444140004009E2
:10DC40004440840189504040210022003059140290
:10DC50000040408008101804040001020420000461
:10DC600002000001040400000200000000000000A7
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000800000000000040010
:10DC9000000000000000000000000000000008007C
:10DCA0000000000000000000000000000000000074
:10DCB000000000000000000000000A02000006044E
:10DCC000000000B000000000000C90000870B00ED2
:10DCD0001C00080D097D00094D0A60209A240800E7
:10DCE000167600160004000A3C061C7C0000BE18D4
:10DCF000120C1EBA0DB808359D0E89000C08005A8A
:10DD00005C306416690D000070BD3DB6B0089CA083
:10DD10007D6EB008000959109C300000A000BE3094
:10DD2000161810B9B80AAD0C1C201CB20040000037
:10DD30007DBDB00020200005002070000000000024
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000090000000000000008000000A2
:10DD700000000000000000000000000000000000A3
:10DD80000000000000000A00000000001008000071
:10DD90000A040051000000300C102E0C1C000C0C6A
:10DDA000410C38000800011008300C00084000D673
:10DDB00030B03D001020203050002C3031300C1C91
:10DDC00030380080383D14320C00021C1A00143C1C
:10DDD0000D7A180011FC08BC0C382000002C100C27
:10DDE00020082D082A0A205838203C883C20003082
:10DDF0000C001C800028080C0130303F8000000C13
:10DE00000C0E080C0830000084800004008C000018
:10DE100004000000000000000000000000000000FE
:10DE200000000000000000000000000000000000F2
:10DE30001000000000000C000000000000000000C6
:10DE400000000000000000000000000000000000D2
:10DE50000030000000000000000000000000000092
:10DE60000000000000000C00000000000000400066
:10DE7000000000000000000008001000000040004A
:10DE800008200000400200200020000500000000E3
:10DE9000040002004000000000010000000000013A
:10DEA0000C000000200000000000000400304004CE
:10DEB00000100200000000300000000100B000006F
:10DEC0000000000000010000000002000000000C43
:10DED000000000000000000002000C040C00000024
:10DEE000000000040C020C000C0000000000000008
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF10000000008000000000000000000000000081
:10DF20000000000000800000000000000000000071
:10DF300000000000000000000000000000000000E1
:10DF40000000200000000000000000000000800031
:10DF50000000200000802000200C0040000000098C
:10DF6000000000000100040000000000000C0000A0
:10DF70004000000C0200000000000000000000054E
:10DF8000004020000090040000000000000000009D
:10DF90000070000000000000000C00000000040001
:10DFA00000008002002000000000000000010001CD
:10DFB0000000000100000001000C00010000000052
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000300000000000000000000001
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000008080000
:10E0100000000000000800000000000000000000F8
:10E0200008000000000000000800200000000000C0
:10E03000001000000400400200000000000000008A
:10E0400000000000000020000000000010000000A0
:10E050000000000400000008002000000000001084
:10E0600000000000000000000000000000000000B0
:10E070000000002000000000002000000000000060
:10E080000000C800080000000000000008000800B0
:10E090000800000000000000000000000000000078
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000002000000000000040
:10E0C0000000000000000000000000000000000050
:10E0D0000000FF00CCCCAAAA00FF3333F0F0555566
:10E0E0000F0F3333CCCC05A0F6095AA5FFFF31F54D
:10E0F000FF53FFFFFFFFEEFEEEEFFFFFFEFF666642
:10E10000AA55CC3366665A5A6666CC33CC33FFFFC9
:10E11000FFFFF303353300A0DFFFFF5F33DFAA55B6
:10E12000F6065A5ADE12AAF33305FFFEF66FF66FB3
:10E13000DE7BDE7BDE7B7227AF50F0F0CCCCAAAA70
:10E140000F0F3333CCCC00FF55553333CCCC00000C
:10E15000000010018000000000000000000000002E
:10E1600000000000000000000000000000000000AF
:10E17000000000000000000000000000000000009F
:10E18000000000000000000000000000000000008F
:10E19000000000000000000000000000000000007F
:10E1A0000000000000004E806CB94F806CB94E80BA
:10E1B0006CB94E806CB84E806CB100201E0090246B
:10E1C0000E0000000C0080040C0000000C00008019
:10E1D0006CA84D804CB94C804CB84D804CB94C80EB
:10E1E0004CB080240E0000000C0000201E00000037
:10E1F0000C0000000C0000000C0010001C0000804F
:10E200006CA94F806CB94F806CB100000C004F803E
:10E210006CB94F806CB84E806CB94F806CB84E8032
:10E220006CB10000000000000C00000000000000C5
:10E2300000000000000000000000000000000000DE
:10E2400000000000000000000000000000000000CE
:10E2500000000000000000000000000000000000BE
:10E2600000000000000000000000000000000000AE
:10E27000000000000000000000004F8040B94C800A
:10E2800040B94F8040B84F8060B94F8060B1000006
:10E290000000900400000000000000000000488022
:10E2A00060A84E8060B94F8060B84F8060B94F80E1
:10E2B00060B84E8060B1488000A8488000B84880AF
:10E2C00000B8488000B8488000B8488040B0480096
:10E2D0001020488060A84E8060B84E8060B84E80A4
:10E2E00060B84E8060B10000000000201200000005
:10E2F0000000802402000000000000000000000078
:10E30000000000000000000000000000000000000D
:10E3100000000000000000000000000000000000FD
:10E3200000000000000000000000000000000000ED
:10E3300000000000000000000800000000000000D5
:10E340000000000000000000000000000000555523
:10E35000AAAACCCCAAAA0F0FCCCCFF0033330F0F44
:10E360000F0F3301FBEA7E815F1377077F2FFFBF1B
:10E370007FFFCCCC00FFAAAA0F0F0F0F00FF0F0FDB
:10E380000F0F333300FFCCCC0F0F23DC72CC27CC24
:10E3900072CC4E5A4E5A2C3D2E3CC5C33A3C5C5A68
:10E3A0009966FF72CC50CCCCF0F0AAAACCCC00FF7E
:10E3B00000FF00FFF0F0AAAA333355AA353A330024
:10E3C00033C935355754FFFFFFFF474757541D1DCD
:10E3D0005754000000000000000000000000000092
:10E3E000000000000000000000000000000000002D
:10E3F000000000000000000000000000000000001D
:10E40000000000000000000000000000000000000C
:10E4100000000000000000000000000000000000FC
:10E4200000000000000000000000000000000000EC
:10E43000000000000000D0280004205000208008C8
:10E440000000800800000000000000000000000044
:10E45000000000000000000000000000000000209C
:10E460000000002000C0003000000050004000000C
:10E4700000000000000003340400002008400000F9
:10E48000000000000000000000000000000000008C
:10E490000004000040011000100100000000000016
:10E4A000000000000000000000000000000000006C
:10E4B000000000000000000000000000000000005C
:10E4C000000000000000000000000000000000004C
:10E4D00000000000000000000000000000000080BC
:10E4E000000000000000000000000000000000002C
:10E4F000000000000000000000000000000000001C
:10E5000000000000000000000000C028080000100B
:10E510000A938000000020000006000000000000B8
:10E5200000000000000000000000000000000000EB
:10E530000000002800000084009000280000000077
:10E5400000880000000000000000112020000004EE
:10E550002490000000000000000000000000000007
:10E56000000040000800000000014000000400001E
:10E570000801000000000000000000000000000092
:10E58000000000000000000000000000000000008B
:10E59000000000000000000000000000000000007B
:10E5A000000000000000000000000000000000006B
:10E5B000000000000000000000000000000000005B
:10E5C0000000000000000000000000210100000029
:10E5D0000000000000000000000000000000C82251
:10E5E00013C0C0000022000000000000070300006C
:10E5F00002000000420000080000040000000008C3
:10E60000000004000000000300004607E0000000D6
:10E610004450440042000000008300000000C42277
:10E62000E0E3422307220000000000000000001089
:10E6300003800100000000810100000000000000D4
:10E640001380000000000020000000000000000017
:10E6500000000000000000000000000000000000BA
:10E6600000000000000000000000000000000000AA
:10E67000000000000000000000000000000000009A
:10E68000000000000000000000000000000000008A
:10E69000000000000000000000000000000000007A
:10E6A0000200000000000000000000000000000068
:10E6B000000000400010108001000800000000026F
:10E6C000020800000800000009000000000000002F
:10E6D00000000000000000000000008400000400B2
:10E6E000001000040020400005000000008400200D
:10E6F0001000000200201400200000200000000094
:10E70000800004008240020000000003400000007E
:10E710008000000000020000000000020000000075
:10E7200000000000000000000000000000000000E9
:10E7300000000000000000000000000000000000D9
:10E7400000000000000000000000000000000000C9
:10E7500000000000000000000000000000000000B9
:10E7600000000000000000000000000000000000A9
:10E7700000000000000000040000000000000E0780
:10E7800000000000008158800200000AE201A2A3FC
:10E7900001000004AA01000500000040E678200006
:10E7A00000008000C8F1200000000080C2F000409E
:10E7B00000321C00C0C808825178002AC240198368
:10E7C000212A008A03300C0B28020006C1000080B9
:10E7D00020000080014482030000001804600087CC
:10E7E000000000001030000000300016006400003F
:10E7F000100000252035000000000000000000008F
:10E800000000000000000000000000000000000008
:10E8100000000000000000000000000000000000F8
:10E8200000000000000000000000000000000000E8
:10E8300000000000000000000000000000000000D8
:10E8400000000000000000000008000000000000C0
:10E850000000100000000000A0682002030040003B
:10E8600020000E8201000C14C8004804000100C002
:10E870000800880080010043C8788800000000106C
:10E88000007802200A88800EA04208AD18344001AA
:10E89000C002483323824013A0821C04002880DE7B
:10E8A00004088080C1020060001001100000008098
:10E8B000010010100A000000006060030040000327
:10E8C0000040200060020000C8400000000000007E
:10E8D0000000000000000000000000000000000038
:10E8E0000000000000000000000000000000000028
:10E8F0000000000000000000000000000000000018
:10E900000000000000000000000000000000000007
:10E9100000000000000000000000000014040801D6
:10E920000802000008082024000000002000280C35
:10E93000C600C011230440840A00900200000A09A6
:10E94000000000000001001000000000000008109E
:10E950000200000020014340080308006010420943
:10E96000A0014001212080809206000C44109110EB
:10E9700002010000200C0098040300000120002088
:10E9800008000000000210200400400300420000C4
:10E990000020000C00208000340000010002000074
:10E9A0000000000000000000000000000000000067
:10E9B0000000000000000000000000000000000057
:10E9C0000000000000000000000000000000000047
:10E9D0000000000000000000000000000000000037
:10E9E0000000000000000000000000000000000027
:10E9F000204000806100000000000E0700000000C1
:10EA00000000008804000C81C1307613020000066B
:10EA10000000000AE000008041000000000000103B
:10EA2000000080000000000000601C006200000385
:10EA3000A0146DA4893C004104C16003833000161A
:10EA4000410042146000000400006E03600000C337
:10EA500005B08084110000505400086202000000DC
:10EA600000000900000040C304002E80CF00000316
:10EA7000A86A000000000000000000000000000084
:10EA80000000000000000000000000000000000086
:10EA90000000000000000000000000000000000076
:10EAA0000000000000000000000000000000000066
:10EAB0000000000000000000000000000000000056
:10EAC00000000000000008000000004501000000F8
:10EAD00000000000000008000000010A10020243CC
:10EAE0000000805E18020803040000C005710000E9
:10EAF00000000000028008450000000000640EC015
:10EB00008150A81AA0610684115000C8C1E10E20EE
:10EB10001078008726600284E50000650838000749
:10EB2000900080C0017400075000000002002080A7
:10EB300001000000D0002E000000000A00000C8040
:10EB400029008008A09000000000000000000000E4
:10EB500000000000000000000000000000000000B5
:10EB600000000000000000000000000000000000A5
:10EB70000000000000000000000000000000000095
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000002000100045
:10EBA0001010000000181400004850805080010030
:10EBB00040400150010140000842000088428109A4
:10EBC0008004004180018981080011320120200168
:10EBD00092010008008421091A108852410421047E
:10EBE00018089109082800018841100080141400B9
:10EBF000404A3848315008040400100001840034B1
:10EC00008800202299848C000080480092000040F7
:10EC100000000904000200080400000001000000D8
:10EC200001000400000400000000000000000000DB
:10EC300000000000000000000000000000000000D4
:10EC400000000000000000000000000000000000C4
:10EC500000000000000000000000000000000000B4
:10EC6000000000000000000000000A00000000009A
:10EC7000000030001030000900343000000C300675
:10EC80003008700070000030600060B050500056D6
:10EC90005010700C00A018000AB00CAE08003830FC
:10ECA000081008003A000C5C4809000C00207C01A8
:10ECB0005E790D9D1C0C190C9074793C08A01070A5
:10ECC0000D363D064D681C7C10307C5C70003810A1
:10ECD0007090063078106D0A381E0C100000085035
:10ECE000200D990A904E0805007A600C0000001073
:10ECF000000000A5007000000000000000000000FF
:10ED00000000000000000000000000000000000003
:10ED100000000000000000000500000000000000EE
:10ED200000000000000000000800000000000000DB
:10ED300000000000000000000000000000000000D3
:10ED40000000000000000000000000000000084279
:10ED50007010201000310C5010200930404C300051
:10ED6000422C0A00109C3C4C10088F18105C3C1878
:10ED70000E004D1C41B01158380C51405F14100C5E
:10ED8000044000200C5C2C7C005A380C00100A89CE
:10ED9000300C0A001A5808002A2C30300C100009D8
:10EDA0000C000F8008180018308030181CB01C8E22
:10EDB00000301A501C000A3000000C080008000047
:10EDC000080000800C0000000400080000000000A3
:10EDD0000000000000000000000000000000000033
:10EDE0000000000000000000000000000000000023
:10EDF0000000000000000000000000000000000013
:10EE000000000000000000000000000000300000D2
:10EE100000000000000000000000000000000000F2
:10EE200000000000000001000000200000000000C1
:10EE300000000000002000000000000000000000B2
:10EE4000404000000000000000060020000000001C
:10EE5000000400080000864C040000300000B000F0
:10EE60002000000100000400000440000010080021
:10EE700040000000004000002000000000000000F2
:10EE8000000000000000200004000000000000005E
:10EE9000000000000000000000040000000100006D
:10EEA0000000000000000000000000000000000062
:10EEB0000000000000000000000000000000000052
:10EEC00000000000000000000000000000000080C2
:10EED0000000000000000000000000000000000032
:10EEE0000080000000000080000000000000000022
:10EEF0000000000000000000000000000000800191
:10EF00000000000000000000008000000000000081
:10EF100000000000000000000000000000040040AD
:10EF20000000000000000005000008004200004052
:10EF3000000040000000000C0000000000002080E5
:10EF40000090010000000000002080000000000090
:10EF5000000000200000000000008000020000000F
:10EF60000000008000000000000000000000000021
:10EF70000000000000000000000000000000000091
:10EF80000000000000000000000000000000000081
:10EF90000000000000000000000000000000000071
:10EFA0000000000000000000000000000000000061
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE000000000000400000000000000000000001D
:10EFF00000080000000000000008002400000808CD
:10F0000000000000000000001020000010000800B8
:10F0100000080024002000000000000000000000A4
:10F020001000000000000020000000000020000090
:10F0300000000000000000000000000000000101CE
:10F0400000080000000000000008000000000000B0
:10F0500000000000000000000000000000000000B0
:10F0600000000000000000000000000000000000A0
:10F070000000000000000000000000000000000090
:10F080000000000000000000000000000000000080
:10F090000000000000000000000000000000000070
:10F0A0000000FF3F0FF700000000FFFFFFFFFF37EA
:10F0B000CCECFFFFFFFF8080DFFFAAFE02003305DC
:10F0C000EEE4FFFFFFFFEEFF5F5FF0FF3333EEFF85
:10F0D000A5A5FCCC5F135A3377077788FB01FEFFA9
:10F0E000DE7BDE7BF66FBE7DDE7BF00F93993C3CD2
:10F0F000AA555A5A3C3C3C3C666666663C3CCC335E
:10F100006666FFFFFFFF55AADE7BDE7BF66FDE7BC8
:10F11000BE7D00000000FFF0FFF0000000000000D6
:10F1200000000000000000000000000000000000DF
:10F1300000000000000000000000000000000000CF
:10F140000000000000001081080000000000000026
:10F1500000000000000000000000000000000000AF
:10F16000000000000000000000000000000000009F
:10F1700000000000000000000C00000000008004FF
:10F180000C0000000C0080240E0000201E00000077
:10F190000C0090241E0000000C004E806CB94E80C4
:10F1A0006CB94E006C9100201E0000201E00000073
:10F1B0000C0000806CA94F806CB94F806CB10000CE
:10F1C0000C004C804CB94D804CB84C804CB94D80F3
:10F1D0004CB84C804CB180240E0000806CA94F804C
:10F1E0006CB94F806CB10000000090241E0000003C
:10F1F000000000000000000000000000000000000F
:10F2000000000000000000000000000000000000FE
:10F21000000000000000000000000081000000006D
:10F2200000000000000000000000000000000000DE
:10F2300000000000000000000000000000000000CE
:10F24000000000000000000000000020120000008C
:10F250000000800400000000000090240200000074
:10F260000000488060A94F8060B94C8040B84C8055
:10F2700040B84D8040B94D8040B08004000000008F
:10F28000000000000000000000001000000000006E
:10F29000000090241200488060A94F8060B94F8020
:10F2A00060B94F8060B94F006090902402004D809B
:10F2B00040B94C8040B84C8040B94D8040B94D8039
:10F2C00040B100000000000000000000000000004D
:10F2D000000000000000000000000000000000002E
:10F2E000000000000000000000000000000000001E
:10F2F0000000000008000000000000000000000006
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000008080ED
:10F32000FFFD00000000FFFFFFFF000000005599F7
:10F33000FFFFFF3FDD9D333333335555333333CC3C
:10F340009999999933CCA5A59999A5A5FF00FFFF97
:10F35000FFFF535327330088A20045550555CCF5D0
:10F36000FFFF04FBF00F95A565A5FF000F0F3333DA
:10F3700055555555FF005555FF003333AAAA33FFA5
:10F38000CC3366665A5A6666CC336666AA5566669C
:10F39000AA556666AA55FFFFFF7F00000000000027
:10F3A000000000000000000000000000000000005D
:10F3B000000000000000FFFFFFFF00000000000051
:10F3C000000000000000000000000000000000003D
:10F3D000000000000000000000000000000000002D
:10F3E000000000000000000000000000000000001D
:10F3F000000001000A0000000000020004000020DC
:10F40000201080290A002020000800080000800841
:10F41000000E00100000002000D0000000000000DE
:10F420000000803904008028084C00000000000023
:10F430000000010004000000080000000A000000B5
:10F4400004000000000000000000000000000000B8
:10F45000000000300A000050000000000000000022
:10F4600000008009000020000008000000000000EB
:10F47000000000000000000000000000000000008C
:10F48000000000000000000000000000000000007C
:10F4900000000000000000000000008000000000EC
:10F4A000000000000000000000000000000000005C
:10F4B000000000000000000000000000000000004C
:10F4C00000000000000009000000000024001128D6
:10F4D000210000840480812800000094848A800038
:10F4E000000000000002002800000004008000006E
:10F4F000000000000000912820000004848A000021
:10F5000000000000000011002000000024000100A5
:10F5100000000000240000000000000000000000C7
:10F5200000000000000011280000000024900000EE
:10F530000000000000008000000000100002000039
:10F5400000000000000000000000000000000000BB
:10F5500000000000000000000000000000000000AB
:10F560000000000000000000000000000000C000DB
:10F57000000000000000000000000000000000008B
:10F58000000000000000000000000000000000007B
:10F5900000000000000000000000000000C040006B
:10F5A000030000000000C000000700C0C4074403BF
:10F5B0004722C04300C3C00800030000C1C30020AD
:10F5C000140000000003000000100062C000402290
:10F5D000A0000400000040000200C0C3C3000003FC
:10F5E00008000003C6A300C0C0000000000008001F
:10F5F00000000000000008000000032347E241C7AC
:10F60000C623000000000000000000C0C30000008E
:10F6100000000000000000000000000000000000EA
:10F6200000000000000000000000000000000000DA
:10F6300000000000000000030000000000000000C7
:10F6400000001000000000000000000000000000AA
:10F6500000000000000000000000000000000000AA
:10F66000000000000000000000000000000000009A
:10F6700008400100040000040000800000000004B5
:10F6800004000201400010480190100103800000B6
:10F690000384000000010000008000000000004022
:10F6A0008010012002800000001001000802800389
:10F6B000C000808000000021024000208000002067
:10F6C00000000000000000200000000000002000FA
:10F6D000200214020400000000000000000002905C
:10F6E00018400000000000000000000000000000C2
:10F6F000000000000000000000000000000000000A
:10F700000000000000000000000000800000000079
:10F710000000000000008000000000000000000069
:10F7200000000000000000000000000000000000D9
:10F7300000000000000000000000000000000000C9
:10F7400000000000000000800300000078000C00B2
:10F750000030008008001C00F005000260000080FE
:10F760000374060058001200000000000040000072
:10F770000182002060000080F3600C8C6001009624
:10F78000A330888291008000A0B012800000002980
:10F79000A18000000000008003C00000002800805D
:10F7A00003C0020010001400C048A0256000000043
:10F7B00006300000000000000000200000000001F2
:10F7C0000000000000000000000000000000000039
:10F7D0000000000000000000000000000000000029
:10F7E0000000000000000000000000000000000019
:10F7F0000000000000000000000000000000000009
:10F8000000000000000000000000000000000000F8
:10F8100000000000000048020000408605000000D3
:10F820000000000000401080610000002040000047
:10F83000C0020010017000800501000000100020CF
:10F840009001000000700083110000C004E061A27C
:10F85000E80200C0ACB200D465000043E003020738
:10F860000800001108708080030000000078860006
:10F8700000800040007008002037010000000604EE
:10F88000C20200C002902E00000000000000020032
:10F890000000000000000000000000000000000068
:10F8A0000000000000000000000000000000000058
:10F8B0000000000000000000000000004000000008
:10F8C0000000000000000000000000000000000038
:10F8D0000000000000000000000000000000000028
:10F8E0000000000000000000000022080000009955
:10F8F00002000000A0010800003C00008709080089
:10F900000002081110000020002141400800000101
:10F910000008000200008081008000102A0500908D
:10F92000084408008002008040410400220100B029
:10F930000203821080010000000000020401008127
:10F9400000001040000100A0002487000202000017
:10F9500080818021020100001018000006000000D4
:10F960004000010000000001000000000000000055
:10F970000000000000000000000000000000000087
:10F980000000000000000000000000000000000077
:10F99000080010000000000000000000000000004F
:10F9A0000000000000000000000000000000000057
:10F9B0000000000000000000000000000000100730
:10F9C0000000000400000000601000000040010082
:10F9D000020000060808C80327000080C14012800A
:10F9E000E1010000D0702C80200080C7417040935E
:10F9F00073000010E009260280004014051440073F
:10FA000090000044F060860D000040800108500422
:10FA1000100000004000000F0000800708000200F6
:10FA2000800000005060AE07800000D7A162010096
:10FA3000D0014001D0B00C00000000000000000028
:10FA400000000000000000000000000000000000B6
:10FA500000000000000000000000000000000000A6
:10FA60001000000000001000000000000000000076
:10FA70000000000000000000000000000000000086
:10FA80000000000000000000000000000000000076
:10FA900000008E07000080000100000060020000EE
:10FAA000003006E07500122000100D07280100400C
:10FAB0000406008000000006000400200001000A87
:10FAC000040100C361000000411140806200002673
:10FAD000010212CD510000A001042205700000EACD
:10FAE00009400E0270000008000100C00100000083
:10FAF000000002400000120010600C820C008080A8
:10FB000006B00E005000002AC034000000000006BD
:10FB100000000000000000000000000000000000E5
:10FB200000000000000000000000000000000000D5
:10FB30000000000060000000000000000000000065
:10FB400000000000080000000000000000000000AD
:10FB500000000000000000000000000000000100A4
:10FB600080000044000000080800080100000808A8
:10FB70000409000104090802110814501011900929
:10FB8000414022220A4040111112143A9822324870
:10FB90001A1448420229244A3A0942422C0081227E
:10FBA0003201004104814030090C44048182095231
:10FBB00020008081040A000124110002000080005E
:10FBC0003120408024114801400001824101004061
:10FBD00038000400141000020004004004820000F9
:10FBE0000000000000000000000000000000000015
:10FBF0000000000000000000000000000000000005
:10FC000000000000000000000400000000004001AF
:10FC100000000000000000000800000000000000DC
:10FC200000000000000000000000000008000000CC
:10FC300000000000000000000000000C0CB00C00F0
:10FC40000009080C70080D0D9008B80E31B41010A2
:10FC500000203C0C5C0A600D00107C361C193D3CF9
:10FC6000180A3C0C2C1008007D08B80C185C700AAF
:10FC7000506A0CBA2E9199059DBA583E4C740D826B
:10FC80000010883DA005567170187080AC0509B54C
:10FC90009A1D950A25500DB008200C0601000CADE8
:10FCA00055A005502800505C0C2A00007900000087
:10FCB00050000000000000000000000000000000F4
:10FCC0000000000000000000000000000000000034
:10FCD0000000000000000000000000000000000024
:10FCE000000000000800000000000000000000000C
:10FCF0000000000000000000000000000000000004
:10FD000001000000000000001000003C00010000A5
:10FD10000000000800000E420C08000C010C020458
:10FD200040000C00430C11880900200404903100AD
:10FD3000015008343A1019100800202804103D1C06
:10FD40002C501D00380E1D380C04003C0C2C2B30A0
:10FD500008541C0C1CA8041C3E00220C088C00003B
:10FD60001904000C0A803A50381030201D0C200C69
:10FD700030000D20140C0010000008010900000CD8
:10FD8000000C001008380000000000000000000017
:10FD90000000000000000000000000000000000063
:10FDA0000000000000000000000000000000000053
:10FDB0000C00000000000000000000000000000037
:10FDC0000000000000000000003000000000000003
:10FDD0000000000000000000000000000000000023
:10FDE0000000200000000000000000004000400073
:10FDF000022000000000000000200400000040007D
:10FE0000022000004000000000200020000000242C
:10FE100000800000000004000020008000010000BD
:10FE20000C00200020000000001000400000000036
:10FE30000C00000000000030000000000000000086
:10FE4000000000000000200200010200000000008D
:10FE5000000000040000000000000000000020007E
:10FE60000000000000000000000000000000000092
:10FE70000000000000000000000000000000000082
:10FE800000000000000000000000408100000000B1
:10FE900000000000000000000000000000800000E2
:10FEA0000000000000000000000000000000000052
:10FEB0000000000000008000000000000000008042
:10FEC0000000200000800000000000000080000012
:10FED0002100200000000000000120800080008040
:10FEE000000000810010000000000280008000106F
:10FEF00000000104420080040000000000900000A7
:10FF000000000000020000000000000000000000EF
:10FF1000000000000000000000008000000004005D
:10FF200000000000000000010000000000000000D0
:10FF30000000800000000000000000000000000041
:10FF400000000000000000000000000000000000B1
:10FF500000000000000000000000000000000000A1
:10FF60000000000000000000000000000000000091
:10FF70000000000000000000000000000000000081
:10FF80000000000000000000000000000000000071
:10FF90000000000000000000000000000000000061
:10FFA0000000080024000000001400000C002000E5
:10FFB000000000000000000000000000000000043D
:10FFC0000000000008000004000000041800000009
:10FFD00010200000000000000000000000000010E1
:10FFE0000000000000000000000000080000040005
:10FFF00000000000000000001000020000000000EF
:020000040001F9
:1000000000000000000000000000000000000000F0
:1000100000000000000000000000000000000000E0
:1000200000000000000000000000000000000000D0
:10003000000080010000000000000000000000003F
:1000400000000000000000000000000000000000B0
:1000500000000000000000000000000000000000A0
:10006000000000000000FFFFFFFF2E2EFEFFCC333C
:10007000AA55CC3366665A5A5A5A3C3CCC33FDFFDB
:10008000F3F35A5ADE120400FEFFF0FF33FFFFFFC6
:10009000FFFFFF003333FF00555555550F0FFF008D
:1000A0000F0F3333F0F0FEFF5959333333335555C7
:1000B0000F0F0F0F333355555555FF00CCCCFFFFB5
:1000C000FFFFF3F3FFF0000000000000000000005D
:1000D0000000FFFFFF7F00000000000000000000A4
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000800878
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:100130000000000000000000000080240E0000808D
:100140006CA84C804CB94C804CB84D804CB94C805C
:100150004CB000201E0000000C0000000C009004B9
:100160001C0000000C0048806CA94F806CB94F80C7
:100170006CB94F806CB94F006C9000201E00488015
:100180006CA94F806CB94F806CB94F806CB94F80AF
:100190006CB080240E0090241E00000000000000BF
:1001A00000000000000000000C0000000000000043
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D000000080000000000000004001000000005E
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000000000000000000FF
:10020000000000000000000000000000000080046A
:100210000000802402000000000080240200000092
:1002200010008024020090040000488060A94F80E4
:1002300060B94F8060B0802402004D8040B94C808E
:1002400040B84D8040B94C8040B84D8040B100204E
:100250001200008060A94F8060B94F8060B1902487
:100260000200902410000000000010241000000084
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B0000800000000000000000000000000000036
:1002C000000000000000000000000000000000002E
:1002D000000000000000000000000000000000001E
:1002E0000000FFFFFFFFFFFFFFFF3F3FFFFFFFFF9C
:1002F000FFFF00331BBBFFFFFFFF738CFFFFFF00FF
:100300000F0F33330F0FFF00AAAAFFFFFFFF666630
:100310005A5A6666CC3366665A5A6666CC335A5A5F
:100320006666F3FFA5550002F66FBE7DDE7BBE7DDF
:10033000EBD7DDDDFFFFDDDD111100000000111145
:1003400003030000000000000000000000000000A7
:10035000000000000000000000000000000000009D
:10036000000000000000000000000000000000008D
:10037000000000000000000000000000000000007D
:10038000000000000000000000000000000000006D
:10039000000000000000000000000000000000005D
:1003A000000000000000000000000000000000004D
:1003B00000000000000070201A0100202010100032
:1003C000100100004000500014010000CC0100307A
:1003D0000A0000202CD0800800008008002602308F
:1003E0000A0000200840000000000000000000009B
:1003F00000000000000000000A000050048000001F
:1004000000000000000013000A0400004401D0387E
:100410000004202000200000000000000000000078
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000008000000000000000001C
:10046000000000000000000000000000000000008C
:10047000000000000000000000000000000000007C
:100480000000000000000000000049280004008473
:1004900044814000000400000001510020040000DD
:1004A00084010128000000840480800000002000F6
:1004B00000021128000000048488000000000000F1
:1004C000000000000000000000000120000000000B
:1004D000249000000000000000005100080000000F
:1004E0008401C02808000094089300000000000068
:1004F00000000000000000000000000000000000FC
:1005000000000000000000000000000000000000EB
:1005100000000000000000000000000000000000DB
:10052000000000000000000000005000000000007B
:1005300000000000000000000000000000000000BB
:1005400000000000000000000000000000000000AB
:10055000000000000000000000000000000000C0DB
:10056000C0C845C202C300000080C80000C9D30053
:1005700000A38323C0D3C040C103442AC0020000AB
:1005800000C00000C0000000E080C000A323000005
:1005900000000000A000000000000000A000C40057
:1005A000C240442312230040000000000000081352
:1005B000C1E3C1030802031382034713C08700008D
:1005C000000000000000000000000100000000002A
:1005D000000000000000000000000000000000001B
:1005E000000000000000000000000000000000000B
:1005F00000000000000000000000000000000000FB
:1006000000000000000000000000000000000000EA
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:10063000000000048000400A0803000000020000DF
:10064000000101000002840001408020A0010000A0
:100650008080004008100100100000000020800091
:10066000400000000010000000000000001000002A
:1006700000000000054000000000004000000000F5
:1006800000002002C020C00100800800844808004B
:100690001004000000000000000000000000200026
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D0000000C0000000000000000000000000005A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:10070000000000000000000060001C000008000065
:100710000028000000B028030014000900E00E00CB
:10072000600000C0036000070068009601780000C8
:1007300000001600C010800028000000200001000A
:100740000000000000000C8C01000041A8050082A0
:1007500001008018A010000300000000004000000D
:1007600000001C00C00000000000000000000000AD
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:100790000000000000000000000000000000000059
:1007A0000000000000000000000000000000000049
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D00000000000000000000000000060020000B7
:1007E00000000002E000804300000F1B000000003A
:1007F0000010000070010000000000100000000068
:10080000010000000028000008002C00000000008B
:10081000000006000000000020006023000080406F
:10082000203810100B000007000000050000000039
:100830000008000000000000003000000000000080
:100840000000000060000000000000000000000048
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0001036080000080010003100700002200807
:1008C00080200000000204040100402820200810BD
:1008D00081000030011000000240000004022000EE
:1008E0000200000024002020000020100C0840001E
:1008F0000B00000030008200060000012C200014D4
:1009000000080000000000008A020800805000007B
:100910000000000000000000200100000020000096
:1009200000000000000000000000000000000000C7
:1009300000000000000000000000000000000000B7
:10094000000000000000000800000000000000009F
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:1009800000000000E0020000000010000000000075
:10099000003047040000000000801008D00100C3B0
:1009A000040800180000008001700000002800000A
:1009B00040400100600180C1400001A301001C44CF
:1009C0004000810802000000C1000C020700000086
:1009D000200001C005000C04C0740080610000000C
:1009E00000000000000000000000000060000000A7
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A500000000000000000006010160000180E00EA
:100A60000000000002040E035000000700300C0AD2
:100A70005000000000700C03000000C0D17400C0E2
:100A800001020000D004004001000000D40000007A
:100A900004000020D00000420000000948018380CB
:100AA0009100002430000C800100012050010080E2
:100AB00061000A0000320000000000000000000099
:100AC000000000000008000000000000000000001E
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000204
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B10000000000000000000000040008000000015
:100B200000000000100000400000044900004140A7
:100B3000400441012401500100040100001C210473
:100B400034444001041C00002004440104442104F6
:100B50002C04804100021204004004402240200086
:100B600000000020008188401001010100011042B6
:100B7000505000000438000000040801000C30024E
:100B80005404001100044020000001010001000095
:100B900000000100000000800000000000000000D4
:100BA00000008000000000000000000000000000C5
:100BB0000000000020000000000000000000000015
:100BC0000000000000000000000000000000000025
:100BD0000000000000000000000000000000000015
:100BE0000000000000000000000000000000000005
:100BF0000C0000000009090010000000000D0008B2
:100C00000800000E0CB0700E700D100D9C00000A54
:100C10009C180CB20D0002B0383C000E00063906DC
:100C20000AB059060C00090A90703DBC0D0D0DBEAE
:100C30007C010A0D05A00DA9A00D0C95050D050A56
:100C4000005A3DA01D3008707C3490000C0E040644
:100C5000506434BD006A080A0E0C02450500005DB0
:100C60000E20000E7D0000A0000E000D0D00009073
:100C700000002090000000000000000000000000C4
:100C80000000000000000000000000000000000064
:100C90000000000000000000000000000000000054
:100CA000080000000000000000000000000000003C
:100CB0000000000000000000000000000000000034
:100CC000000030001100000A0000000100000030A8
:100CD0000040081001000030310830000C08100CF2
:100CE0000108C100010421480CAD2008FD04C20028
:100CF0000058AC58081438083C0C9A1C000D0C091C
:100D000000A008300936300000000030000C2E3002
:100D10000E0C0408000C0018102A01000910000035
:100D2000010C000C0A082100100C710C0005301099
:100D30000000080000E8060070000000000000103D
:100D40000000000000008000000010000000000013
:100D50000000000000000000000000001000000083
:100D60000000000000000000000000000000000083
:100D7000000000000000000000000000000C000067
:100D80000000000000300000000000000000000033
:100D90000000000000000000000000000000000053
:100DA0000000000000000000000000000020002003
:100DB000400040800020020000000000000002000F
:100DC00000000002000100000400000200000040DA
:100DD0000000400000400000000200000001003060
:100DE0000000000000102000400000000000000093
:100DF0006000000000000000000000000000200073
:100E0000004000000000020000000008000000098F
:100E1000000000000008000000000000000C0200BC
:100E200000000000000000000000000000000000C2
:100E300000000000000000000000000000000000B2
:100E40000000000000000080000000000000000022
:100E50000001000000000000008000000000000011
:100E60000000000000000000000000000000000082
:100E700000000000080000000000000000000080EA
:100E8000008000802000201000800C0040002080A6
:100E900000008C0001000000000C010001000000B7
:100EA000000000000000200000100000000C000006
:100EB0000000000040000000009080002080000042
:100EC00000200000000040000000000000000000C2
:100ED000000080000000000000200800000000006A
:100EE00000000008000000000002000000000000F8
:100EF00000010800000000000000000000000000E9
:100F000000000000000000000000000000000000E1
:100F100000000000000000000000000000000000D1
:100F200000000000000000000000000000000000C1
:100F300000000000000000000000000000000000B1
:100F4000000000000000000004000000000000009D
:100F50000000000000000000000000100000000081
:100F6000000020040000000000000000000000005D
:100F70000000000008000000000000100000000059
:100F80000000000000000010000000000020000031
:100F90000000002000200000100000000000000001
:100FA0000000000400000000000000000820000015
:100FB000000000080000820800000000000000009F
:100FC0000000000000000000000000000000000021
:100FD0000000000000000000000000000000000011
:100FE0000000000000000000000000000000000001
:100FF00000000000000000000000000000000000F1
:1010000000000000000000000000000000000000E0
:10101000000000000000000000005F77EAEE3333BC
:10102000FF00FF00555533330F0F55550F0F333366
:10103000FF000F0F5555333300FFEFABBFAEFFFF7F
:101040007447EFFF0F07FEFFFEFA0505FFF0CCCC5B
:101050006666AA553C3C5A5A3C3CCC335A5AAA556F
:101060003C3CF0F23F3F7F7F13000100FF7F010017
:10107000FF7FF00FAA55CC33CC33666666663C3CE6
:10108000A5A50000000055FFFFFF00000000FFFFC6
:10109000FF7F000000000100004000000000000091
:1010A0000000000000000000000000000000000040
:1010B000000000000000000000000000000010819F
:1010C0000800000000000000000000000000000018
:1010D0000000000000000000000000000000000010
:1010E0000000000000000000000000000000000000
:1010F0000C00D8A47EA9DFA47EB9DFA47EB9DFA44A
:101100007EB9DFA47EB9DFA47EB9DF247E9090246F
:101110001E0000000C0000000C0000000C00102459
:101120001E004F804CB94D804CB84D804CB84C805F
:101130004CB94D804CB000000C0000000C000000C9
:101140000C0000000C00D8A45EA9DCA45EB8DCA4EE
:101150005EB8DCA45EB10000000000000C000000DE
:10116000000000000C000000000000000C00000067
:10117000000000000000000000000000000000006F
:10118000000000000000000000000000000000005F
:1011900000000081000000000000000000000000CE
:1011A000000000000000000000000000000000003F
:1011B000000000000000000000000000000000002F
:1011C00000000000000000000000000000004800D7
:1011D00000200020120000000000000000004F80EE
:1011E00060B84F8060B94E8060B100000000000020
:1011F0000000902412004D8040B84D8040B84C80D3
:1012000040B84D8040B84D8040B0102012004C8056
:1012100040B94C8040B84D8040B94D8040B84C80BA
:1012200040B1000000000000000080040000902495
:10123000120000000000000000000000000000009C
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:1012600000000000000000000000008000000000FE
:10127000000000000000000000000000000000006E
:10128000000000000000000000000000000000005E
:10129000000000000000000000007F7523FFFBF14C
:1012A0001BFFF5F3F7F25F5F1303474747553535EB
:1012B0001F0EFCFC5555CCCCFEFF3333FEEF5F50C8
:1012C000EF015F50EF01CFCFDDDDAAAACC33AA55E5
:1012D0003C3CCC333C3C5A5ACC33AA553C3CC0EA4B
:1012E000FF333C3C6666CC333C3C66665A5AAA5592
:1012F000666666665A5A0000000000000000FFFFA4
:10130000FFFF0D0FC10500000000000000000000FD
:1013100000000000000000000000000000000000CD
:101320000000000000000000000000000000FFFFBF
:10133000FFFF0000000000000000000000000000AF
:10134000000000000000000000000000000000009D
:10135000000000000000000000000000000000008D
:101360000000000000000000000000000000200459
:10137000000C000000008023005400000A002044FC
:10138000086400000000802300A20010000000504C
:101390000090000000000000000000300A00005033
:1013A000000000000000000000000000000000003D
:1013B00000000200040200000C0000000000000019
:1013C000000080280000205000E6803900002050F6
:1013D000004C000014010000A80000000000000004
:1013E00000000000000000000000000000000000FD
:1013F00000000000000000000000000000000000ED
:1014000000000000000000000000000000000000DC
:10141000000000800000000000000000000000004C
:1014200000000000000000000000000000000000BC
:10143000000000000000000000000000000080002C
:1014400000000000000280200000000000868120D3
:101450000000000224928020000000000092002181
:1014600000000000008000000000000000000928CB
:1014700000000000249000000000000000000000B8
:1014800000000000000011000000000084000000C7
:10149000000000000000802800000010009280285A
:1014A00000000010028A51002104000044010000E5
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E000000000000000C00000000000000000003C
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:10151000000000000000C000000000400800C007FC
:10152000E00000C30340C3000000014300C0C2004C
:10153000012100400007E022000000000008000335
:10154000010008C303C1C2E387E2000000000000FD
:101550000000000000000000000000C084C30B2356
:10156000C0000000200000000000036247C7C00068
:1015700000000003E000E022000000C9C013C80022
:1015800080000000000000000000000000000000DB
:10159000010000000000000000000000000000004A
:1015A0000000000000000000000000000000000338
:1015B000000000000000000000001000000000001B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000002100003000420C2
:1015F000004010000000020C04203000010004280C
:10160000010414000500002000000000000000009C
:10161000000000802000000340C08404202000005F
:101620000000000000000000000000000000002892
:1016300008030400800800000000000000002020D3
:1016400008041280000000480200020000000001AF
:10165000A00000008000000000000000000000006A
:101660000004000020000000000000000000000056
:10167000000000000000000000000000000000006A
:10168000000000800000000000000000000080005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B00000000000000000000000008700000000A3
:1016C000000120800048002E1002200000000029A8
:1016D000F0010000000000010000002300000049AC
:1016E0002830228321010010FA0400230001002089
:1016F00000F0004010000008A26041C0710000002E
:1017000020400803100080000AF202057801000959
:10171000E2640C0000000000E00080030000000014
:101720000000002000000000E000000000000000B9
:101730000008000050010000001000000000000040
:101740000000000000000000000000000000000099
:101750000000000000000000000000000000000089
:101760000000000000000000000000000000000079
:101770000000000000000000000000000000000069
:1017800000000000000000000000000000000085D4
:10179000030000C0017012000300000000700E0082
:1017A000030000042070200000000000307022833D
:1017B00080000000000002A399000006C06A8000BB
:1017C00000000003001800000802001008000802D2
:1017D000000000C70508081000020040406800052E
:1017E000E000004000610000000000000071000304
:1017F000000000C00160000000000000E0000000E8
:101800006001000000000000000200000070000005
:1018100000000000000000000000000000000000C8
:1018200000000000000000000000000000000000B8
:101830000000000040000000000000000000000068
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000005C0D0000303508289006020002E0
:10187000040800240400000010082018000040089C
:1018800000080934320000003002241C80000008E7
:1018900011042028000000000000040120000002C4
:1018A000000201004902000820006A0080010010C7
:1018B00011004914820200A00024401802004000D8
:1018C0000028000100010020014000C0408000000D
:1018D00028000000000200000000000000000000DE
:1018E00000520000000000000000000000000000A6
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000800100000000000BF
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000080000004022000E002F
:101940000000008401002C200A00C001A0020D004C
:101950000800100100000C1223000048000002885B
:101960002700400023081CA301000008A00000601D
:10197000820000C701004C0382000004C13080C80F
:101980006700008601080204E00000E0A3092C00C3
:1019900000004001C000000060002C0000000000BA
:1019A00002300000C030000080000000000800008D
:1019B000C000000000400000000000000000000027
:1019C0000000000000000000000000000000000017
:1019D00000000000000000000002000000001000F5
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000008700000080CF
:101A1000233808200000002558000080010000083D
:101A20000038068001004010B038089401000048DA
:101A300028080003700100262A120C030000004849
:101A40000001A08081008000040000000000002050
:101A500008050C8210010020C010488C7100008025
:101A6000C3020080010000445889000068010000A2
:101A70000000006000000000D082000000000000B4
:101A80000030000058010000004400000000000089
:101A90000000000000000000000000000000000046
:101AA00000000000000000000000000050000000E6
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000100000080000000000004000081
:101AE00000000080000000401411400000000111BF
:101AF000328000401100100030108440404080448B
:101B0000208000844401401110080140200440411D
:101B10003100308244504020304000000000400A34
:101B20003000240280212134301021400241040180
:101B30000000400080000050145C104010000002C3
:101B40000001004C000440100020000000000000D4
:101B5000000100040400001000010000000000006B
:101B60000000000000000000000000000000000075
:101B70000040000000000000000000000000000025
:101B80000000000000004001000000000000000014
:101B90000000000000000000000000000000000045
:101BA000000000000000000000000000080000002D
:101BB0000000000E020006000000700928009002DC
:101BC000007D7C0E2C00000900703A08100E980071
:101BD0007000000000B900020CB009391274709155
:101BE00076B009BA3C5A3E725C1088001070090049
:101BF00058BE090829005019B0B090323818005C5E
:101C000000B0000E09010D005000680A2D081040B8
:101C100000006C0A0010004C0DB0603600804E00D1
:101C200000000000000000000000000000A0000014
:101C300000000E0000000000000000000000000096
:101C40000000000000000000000000000000000094
:101C5000000000000000000000000000080000007C
:101C60000000000000000100000000000000000073
:101C70000000000000000000000000000000003034
:101C800001000000000C000040000E1000000E20BB
:101C9000090030000000010035300E100100005B2B
:101CA0002100393032209A0900300A3C9908305816
:101CB000000A0C003A0C20180D40203009203B305F
:101CC0000A1000000F00104C10401C88301818102B
:101CD00031011C010028040C0E001A003E00011006
:101CE0000834000000000F0C008C000800083A00C7
:101CF00000300050005000000000000C0800000000
:101D000000000000000000000000000000000000D3
:101D100000000000000000000010000000000000B3
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000080000000000003
:101D60000000000000000000000020000000000053
:101D7000001004000000000000000000000000024D
:101D80000000040004000400000030800000000295
:101D900000000000000000010000000000800000C2
:101DA0000000200020200000000000000000800053
:101DB00000000000000000000000000000400000E3
:101DC000000080000000000000040000004000004F
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E00000000408100000000000000000000000011
:101E100000000000000000000000000000000000C2
:101E20000000000000000000000000000000300082
:101E30000000000000000000000000000000800022
:101E400000000000009000000000200000000000E2
:101E5000000000800000000002000100000040308F
:101E600040000080000000000000000C00000000A6
:101E70000030000000008000808000000000800032
:101E800000000000800000000000000000000000D2
:101E90000000000000000000000000000001000041
:101EA0000000000000300000000000000000000002
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000000002
:101EE00000000000000000000000000000000000F2
:101EF00000000000000000000000000000000000E2
:101F000000000000000000000000000000000000D1
:101F10000000000020000000002008000000200059
:101F200000000000000000000000080000000000A9
:101F300000000000000000000000000000000000A1
:101F40000000000000000000100000000000000081
:101F50001000002000000000000000000000000051
:101F60000000000000000000000000000000000071
:101F700000000020000000404020000000000000A1
:101F80000000000000000000000000000000000051
:101F90000000000000000000000000000000000041
:101FA00000000000000000000000800100000000B0
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD0000000000000000000000000000000000001
:101FE0000000000000000000000000000000FFFFF3
:101FF000FFFFFFFFFFFF0305070200000000FFFFD8
:10200000FFFFFFFFFFFF000F74FCCCCCAA556666F4
:102010003C3C5A5ACC33AA553C3CCC33CC33FFFF22
:10202000FFFFFFFFFFFFFFFF0DDD0B00012300009F
:102030000000FFFFFFFFC50466AA98103377FF7FFB
:10204000FF01FFFFFF00CCCC0F0FAAAAFF00AAAA36
:102050000F0FFF7FCCFFFFFF0400002001000000F6
:102060000000000000000000000000000000000070
:1020700000000000000080080000000000000000D8
:102080000800000000000000000000000000000048
:102090000000000000000000000000000000000040
:1020A0000000000000000000000000000000000030
:1020B0000000000000000000000000000000000020
:1020C000000080040C0080040C0010241C000000A0
:1020D000000080040C0080040C0000201E004C80D6
:1020E0004CB94D804CB84D804CB84D804CB84C80AC
:1020F0004CB080240E0080240E0000000C00000074
:102100000C000000000080240E0090241E004800F7
:102110000C2000000C0000806CA84F806CB84F8031
:102120006CB84F806CB84E806CB100000C000000A1
:102130000C00000000000000000000000000000093
:10214000000000000000000000008000000000000F
:10215000000040010000000000000000000000003E
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000008000284E8060B94E80E2
:1021A00060B84F8060B94F8060B94F8060B18024C3
:1021B00002004F8040B94D8040B94C8040B94D80FD
:1021C00040B84C8040B18024020090040000000020
:1021D000000080240200488040A84C8040B94D8017
:1021E00040B84C0040910000000000A01228DFA47D
:1021F00072B9DFA472B9DFA472B9DFA472B9DFA427
:1022000072B9DF247290000000000000000000009E
:1022100000000000000000000000000000000000BE
:102220000000000000000080080000000000000026
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:1022600000000000000000000000FFFF000000FF71
:10227000FF0000FFCCCC55550F0F55555555333346
:102280003333FFFFFFFFF0F0AA55AA556666CC3343
:102290005A5AAA553C3C66665A5AFFFFFFFF3FBF99
:1022A0003300F5C48B00FFFFFFFF0FF033CCC3C337
:1022B00099999999C3C3999955AAFE33030FFFFFC2
:1022C00055550F0F3333FF005555FF0033330F0FB4
:1022D000555533330F0F0F0FAAAA0000000000005E
:1022E00000000000000000000000FFFFFFFF0000F2
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:102330000000000000000000000000000000000994
:102340000000C02800A200300000005000900010E3
:1023500000008020008A00000402402000000000ED
:1023600000000000000000000000000000007000FD
:102370000403C020CCCF0000000100008001000059
:102380000000800000260000000000200040100037
:1023900000040000800032000A04000088001000E1
:1023A0000A040000040132000A04000024010000B5
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000808D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:102410000000802000000000009200280000000062
:102420000080802800000085008A01200000000054
:1024300024900000000000000000000000000000E8
:102440000000C12080000001848B4000800000005B
:102450004001800000000001000200200000008414
:102460000088400008000000400151000800000002
:102470002C015100080000002C0151000800000050
:102480004401000000000000000000000000000007
:10249000000000000000000000000000000000003C
:1024A00000005000000000000000000000000000DC
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E0000000000000000005A000C107E08000001F
:1024F0000000E0004422000000C000C50000008091
:102500000000C0E284220000000000000000000083
:102510000000000000000023C853E303041300136D
:102520008000330001000000C0030303000000C06E
:102530000043014047C0000000C04200810003DBAF
:1025400083C0C0C09300C8C300C0C8C08080C3534C
:1025500083C0C413801300000000000000000000CE
:10256000000000000000000000000003C3000000A5
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B00000000000000000000000000000003000EB
:1025C00000040000000000000000000000040010F3
:1025D0000400004000008020000000000000000017
:1025E00000000000000000000000010004200801BD
:1025F00000000000801000004000008010800808EB
:102600000180000400A020202004000008400900F0
:10261000C0004000842080028000000300200002EF
:102620008002C04084020000800000000000000022
:10263000000000000000000000000000000000801A
:1026400003000000000000000000C00000000000C7
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:1026800000000000000000000000000000000080CA
:10269000000014000000160400000080E1000000AB
:1026A00000001600000000100400000000050645B0
:1026B00018000080AA600E07000000180280009039
:1026C00002005400000008002808008603700E0075
:1026D000000001200000000000080098037036048C
:1026E0000000808B070000000000000000000000D8
:1026F00050000000001080007000008E0271000089
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000008009000D000000000700000010BC
:102770000000000000000C0000000000C30C00007E
:102780002040810000000050000010000000000008
:102790000068000003340800B0006003E000400659
:1027A000027C0C000000080030000080004000E0C7
:1027B00003F406050000000701000000000000C748
:1027C0000100100000000000E07000059001000012
:1027D0000070000000000000000000000000000089
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000040002000000011180
:1028400000000020220000000000500000000010E6
:10285000000000400100208000000004802020646F
:10286000000040102105000000000C0004000520BD
:102870008011000902811440000001001000005C7A
:102880004401000000812819000040800000202041
:102890004100802000002024400000000828002083
:1028A00000120002013800000000000000000000DB
:1028B0000000000000000000000000000000000216
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E00000000000000000000000000000000000E8
:1028F00000000000000000000000000000000000D8
:1029000000000000000000000000000000000000C7
:102910000000880D00000060C0000080080000007A
:102920000000001800100080010006451000400162
:1029300000810E0700000000A84000E000103C00ED
:10294000E000120300000006007008A0010014005F
:102950000000080000000C134D100004000000E708
:102960000100000000000000000000000000000066
:1029700000B01000D0000000003400000000000093
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C0000000000000000000000000000000000007
:1029D00000000000000000000000000000000000F7
:1029E000000000000000000500000080010200A0BF
:1029F0000100001600000002000200801B08404099
:102A000051000006401000C001000000000200401C
:102A10000102140000000C000450808601102040C8
:102A200000000000C0003C4090008100A11240075F
:102A3000000000C0D138000000000000D0380000C5
:102A400090000000D0F00000700000C0D100000035
:102A50000000000000000000000000000000000076
:102A60000000000000000000000000000000000066
:102A70000000000000000000000000000000000056
:102A800000000000000000000000000000800000C6
:102A90000000000000000080000480000000000230
:102AA00000000080008400000020004101140101AA
:102AB000140200020452405A2402000440840040E0
:102AC0000240000A00001422220220005200812449
:102AD000004000020000840000028040300000003E
:102AE00004000802520020085050044050002140C9
:102AF000104000004010014C0040400040100040D9
:102B00000040800004040102002140023400010062
:102B100020222002200000000002010000000140ED
:102B20000042000000000000000000000000000063
:102B30000000000000000000000004000000000091
:102B40000400000000000000000000000000000081
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000800000000000C000801080000005000E0
:102B8000000000090C000840000D081030000D1076
:102B9000099D0000700050780C8130500D00000934
:102BA00030010000700A00000009080070000000F9
:102BB00020007D000000540A20005040001000A0BA
:102BC00029000000000090AE0000004800600D9059
:102BD00000000D000000390000000000000000901F
:102BE0002000000000000000000000000000404045
:102BF00000000000000000000000000000000000D5
:102C000000010000000000000000000000000000C3
:102C100000000000000000000800000000000000AC
:102C200000000000000000000000000000000000A4
:102C30000000000000000000000000000000000094
:102C4000000C00000100000800000100010C010060
:102C50007E10003C0C0C0000010C010C7C081108DB
:102C60008C00008C000800100400000001000C1013
:102C7000345010003C003C140020000800003D00CF
:102C800000083A301000000000000A041C00300068
:102C90003030040030001810001000000000082C34
:102CA0000000300000000020000090000C0C0C041C
:102CB00000182A080C000C00001C3008000000005E
:102CC000000C080000000C000024000000000000C0
:102CD00000000000000000000000000000000000F4
:102CE000000004000000000004000080000000005C
:102CF00000000000000000000000000000000000D4
:102D000000000000000000000000000000000000C3
:102D100000000000000000000000000000000000B3
:102D20000000000000000000000000000010000093
:102D3000000000000000002000000002002004202D
:102D4000000000000000000040000000000800003B
:102D50000000000000010000000000000000000072
:102D60000000000000200000000000000000000043
:102D70000000000000000000000000000000000053
:102D80000000000000000000000000000030000013
:102D90000000000000000001000000000000000032
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000008093
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000800000000000000000008000000000C2
:102E100000800080000000000000000000000000B2
:102E20000002000000000000000C00000000000094
:102E30000000000000000000008000000000000012
:102E40000000000000000000000000000000000082
:102E50000000000000000000000000000000000072
:102E600000B00000000000000000000000000000B2
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC0000000000000000000000000000000000002
:102ED00000000000000000002000000000000000D2
:102EE00000000000080008000000000000000000D2
:102EF00000000001000000000000000000000000D1
:102F000000000000000000000000000000000000C1
:102F100000000000000000000000000000000000B1
:102F200000000000000000000000000000000000A1
:102F300000000080022000000000000000000000EF
:102F40000000000000000000000000000000000081
:102F50000000000000000000000000000000000071
:102F60000000000000000000000000000000100150
:102F70000000000000000000000000000000000051
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000000031
:102FA00000000000000000000000FFFF8068FFFF3D
:102FB000FFFF777713006EFD7FC3FD9CEFC83D7F59
:102FC0001F67FF331500FF0F1500FFFFFFFF5F5066
:102FD0000F33F5053F30F3033F30FFFF33335555D3
:102FE0000F0F5555FF00FF00333333335555FF00A6
:102FF000F0F00000000000000000000000000000F1
:1030000000000000000000000000000000000000C0
:1030100000000000000000000000000000000000B0
:1030200000000000000000000000000000000000A0
:103030000000000000000000000000000800000088
:10304000000000010000000000000000000000007F
:103050000000000000000000000000000000000070
:103060000000000000000000000000000000000060
:103070000000000000000000000000000000000050
:103080000C0000000C0000000C0000000C00000010
:103090000C0000000C0000000C0000000C0080245C
:1030A0000E0000000C0000000C0000000C0000A04E
:1030B0001E28DFA47EB9DFA47EB9DFA47EB9DFA419
:1030C0007EB9DF247E9000000000000000000000B8
:1030D00000000000000000000000000000000000F0
:1030E00000000000000000000000000000000000E0
:1030F00000000000000000000000000000000000D0
:1031000000000000000000000000000000000000BF
:1031100000000000000000000000000000000000AF
:10312000000000000000000000000000000000009F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:1031500000008004000000000000000000000000EB
:1031600000000000000000000000802402000000B9
:10317000000090A41228D8A412B8D8A412B8D8A4D9
:1031800012B8D8A412B8D8A452B18024020000000A
:10319000000000000000000000000000000000002F
:1031A000000000000000000000000000000000001F
:1031B000000000000000000000000000000000000F
:1031C00000000000000000000000000000000000FF
:1031D00000000000000000000000000000000000EF
:1031E000000000800000000000000000000000005F
:1031F00000000000000000000000000000000000CF
:1032000000000000000000000000000000000000BE
:1032100000000000000000000000000000000000AE
:10322000000000000000FFFFFFFF00000000ABB740
:10323000DB3E7FFFFFBFFF3313008FCE7F61FFFFB9
:10324000FFFFFD73EB9FFF554E1B4E1BBE142E1D43
:1032500074474E1B7447744774472E1D9A9AFFFF9C
:10326000FFFF4747774400000000FFFF79DFFFFFC3
:10327000FFFF000000000000000000000000000050
:10328000000000000000000000000000000000003E
:10329000000000000000000000000000000000002E
:1032A000000000000000000000000000000000001E
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000008C6
:103300000422000000000000000000000000000097
:103310000000000004020000000000000403402040
:103320002010000004030000400080390403C02086
:10333000CCCF83284000C0202CD680390000C0208C
:1033400000E6000000000000000000000000000097
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:10337000000000000000000000000000000000004D
:1033800000000000000000000000000000900000AD
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C000000000000000000000000000000081007C
:1033D0002200800024020000000000000000000025
:1033E0000000000000000100000000002400412057
:1033F0008000000044814100800000008401C12859
:1034000080000801848B9128020000010486802836
:103410000000000100920000000000000000000019
:10342000000000000000000000000000000000009C
:10343000000000000000000000000000000000008C
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000004000000000000000000000000000001C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A000000000C30003C4C3C0230000000040208C
:1034B00000000200000000000404004003C00000FF
:1034C000C70003220187C713E22200000280C0D395
:1034D000C501C0C0471344D3C503C0E34703C200BE
:1034E0004703C3434607E000C000000000C0C0001F
:1034F00000000000000000000000000000000000CC
:1035000000000000000000000000000000000000BB
:1035100000000000000000000000000000000000AB
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000000000000000000000000000006B
:10356000000000000000000000000000000000005B
:1035700000000000000000030041020A12000004E5
:1035800000000100000002000001000008000040EF
:1035900040200000400040044004400004000004BB
:1035A0000204800240011010084002024001502035
:1035B00020488480040830280440020010000000E5
:1035C00000400100000000000000000000000000BA
:1035D00000000000000000000000000000000000EB
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000010000000000000000000000000000A9
:10362000000000000000000000000000000000009A
:10363000000000000000000000000000000000008A
:1036400000000000000000000000000000000080FA
:1036500003000665510000A94B900025500000842E
:10366000A5340080050000C0236002D0A1000E0E2A
:10367000201A084500140044C1720840000C8098CC
:10368000A302000A0000000000000000000000008B
:10369000200000000000000000000000000000000A
:1036A000000000000000000000000000000000001A
:1036B000000000000000000000000000000000000A
:1036C00000000000000000000000000000000000FA
:1036D00000000000000000000000000000100000DA
:1036E00000000000000000000000000000000000DA
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:1037200000001C0000000608030200C141486015AB
:10373000C0020080A130008081300080210006801E
:103740000000000040000D300B00400740182D0025
:10375000082800044000000000280000E00000806D
:10376000030000C001000000000000000000000095
:103770000000000000000000000000000000000049
:103780000000000000000000000000000000000039
:103790000000000000000000000000000000000029
:1037A0000000000000000000000000000000000019
:1037B00000C0000000000000000000000000000049
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000000000E9
:1037E00000000000000000000000000000000000D9
:1037F00000000030000C108000004AC4000100806E
:10380000681041E8900200022B300030850800204B
:10381000282006000930400334002268880E80000A
:1038200060508100400A0080C00000000E080000C7
:10383000482000100402002080000000000000006A
:103840000000000000000000000000000000000078
:103850000000000000000000000000000000000068
:103860000000000000000000000000000000000058
:103870000000000000000000000000000000000048
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000000000000000008
:1038C000000000000000000000000C0000000607DF
:1038D00000000001E0401607E2000040004000C286
:1038E000E73C00C0D1492E40C201000120181C83D2
:1038F00061800011A0300CA009340000A00200007B
:103900000208000000000000030008000030000072
:1039100000000000000000000000000000000000A7
:103920000000000000000000000000000000000097
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000000000000047
:103980000000000000000000000000000000000037
:10399000000000000000000000000000000001C066
:1039A0000100662058018058E0750267700000072A
:1039B000164600C075000040C490004081002008F9
:1039C00020440C436138808706C20C800168800760
:1039D00020000040008000C0E10600100000400010
:1039E0003080000000000000000000000000000027
:1039F00000000000000000000000000000000000C7
:103A000000000000000000000000000000000000B6
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A600000000000000000000000000180000000D5
:103A7000004140204000404040404042808000449F
:103A80008000000020844902208A018029224020F1
:103A9000182000200800202108400000084044248D
:103AA00030400424204001822000004C00404002AD
:103AB00001000000001209000000200008010000C1
:103AC00000080000008C0000000000000000000062
:103AD00000000000000000020000000000000000E4
:103AE00000000000000000000000000000000000D6
:103AF00000000000000800000000000000000000BE
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B200000000000000000000000000000000C0089
:103B30000000000000005C00000D000000005000CC
:103B400000B000000000004009000000000100007B
:103B500000000000900160000000040690040000D6
:103B60000000005610000052000E500600000052E7
:103B700000B00C701D700002080A60064900004089
:103B8000096C0000490A0000002A940000000C00A3
:103B900008000000000850000008000000010000BC
:103BA0000D0000000001000000000C0000000000FB
:103BB00000005000000000000000000000000000B5
:103BC00000000000000000000008000000000000ED
:103BD0000000000000000000000000500000000095
:103BE00002000000000000000000000000000000D3
:103BF00000000000000000000000000000000002C3
:103C00000000010000000000000A010000000000A8
:103C10000000000C00000000002830000000100030
:103C20000E00202C1030003810000000303C1C0C1E
:103C300010380C303C282010001000000000301C10
:103C400000200A000A202D180E300C140120083420
:103C5000000000040021200C0800000000080800FB
:103C6000000021000004000000000000001C000013
:103C7000000000000000000000000000000001083B
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC00000000000001000000000000000000000E4
:103CD00000000000000000000000000000000000E4
:103CE00000000000200000000010000000000000A4
:103CF00000000000000000000000000000000000C4
:103D00000000000000300000000000000000000083
:103D10000020000000000000000000000000000083
:103D20000000000000000000000000000000000093
:103D30000000000000000000000000000000000083
:103D40000000000000000000000000000000000073
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D900000000000000000000080000000000000A3
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000080000083
:103DC00000000000000000000020000000000000D3
:103DD0000000000000000000008000000000000063
:103DE0000000000000800000000000000000000053
:103DF00000000000000000000000000000000000C3
:103E000000000000000000000000000000000000B2
:103E10000000000000000000000000000030000072
:103E20000000000000000000000000000000000092
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000000000052
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000001000000022
:103E90000000000000000000000002000020000000
:103EA0000000000000000000000000200000408131
:103EB00022000000000000000000000000000000E0
:103EC00000000000000000000000000000000000F2
:103ED00000000000000000000000000000000000E2
:103EE0000000000000000000000000000000004092
:103EF0004220000000000000000000000000000060
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000000000000000000000000091
:103F30000000000000000000000000000000000081
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F600000000000000000000000000000005555A7
:103F700055553333FF000F0F3333FF0000FFFF555C
:103F80000501FF0F150000000000FFFFFFFFFFFF0E
:103F9000FFFF000000005F0A5F0ACC33BE7DF66FB2
:103FA000BE7DDE7B6FF6FFFFFFFFFFFFFFFF000020
:103FB0000000000000000000000000000000444479
:103FC000FFFF0000000000000000000000000000F3
:103FD00000000000000000000000000000000000E1
:103FE0000000000000000000000000000000800849
:103FF00000000000000000000000000000000000C1
:1040000000000000000000000000000000000000B0
:1040100000000000000000000000000000000000A0
:104020000000000000000000000000000000000090
:104030000000000000000000000000000000000080
:104040000000D8A47EA9DFA47EB9DFA47EB9DF2456
:104050007E9000000C0000000C00000000008004B6
:104060000C0080240E000000000000000C004F80B7
:104070006CB94F806CB94F806CB100008C02802409
:104080000E00000000000000000000000000000022
:10409000000000000C000000000000000000000014
:1040A0000000000000000000000000000000000010
:1040B0000000000000000000000000000000000000
:1040C000000080000000000000004001000000002F
:1040D00000000000000000000000000000000000E0
:1040E00000000000000000000000000000000000D0
:1040F00000000000000000000000000000000000C0
:1041000000000000000000000000000000000000AF
:10411000000000A01228DFA472B9DFA472B9DFA4E6
:1041200072B9DF247290000000000000000000005F
:1041300000000000000080240200802402000080B3
:1041400000284E8060B84E8060B84E8060B84E80C7
:1041500060B84E8060B00000000000000000000069
:10416000000000000000000000000000000000004F
:10417000000000000000000000000000000000003F
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000000000000000FF
:1041C00000000000000000000000000000000000EF
:1041D00000000000000000000000000000000000DF
:1041E000000000000000FFFF55555555333355556D
:1041F0003333FF00FF00555500FFBEF6DB76770036
:1042000015150000000000000000FFFFFFFFFFFF8A
:10421000FFFFFFFF333300FFF0F000FFAAAAAAAAB6
:10422000CCCCCCCCF0F000FFAAAAFFFFFFFF00002F
:10423000000000000000000000000000000000007E
:104240000000FFFFFFFF00000000FFFFFFFF000076
:1042500000000000000000000000FFFFFFFF000062
:104260000000FFFFFFFF0000000000000000000052
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000002004000000010000D9
:1042C000C401205024930000C4012050448B0000FE
:1042D000000000000000800900000004000032100F
:1042E000100000004000000000000000000000007E
:1042F0000000000000008008000000080026000008
:1043000000000000000000000000000000000000AD
:10431000000000000000000000000000000000009D
:10432000000000000000000000000000000000008D
:10433000000000000000000000000000000000007D
:10434000000000000000000000000000000000006D
:10435000000000000000000000000000000000005D
:10436000000000000000000000000000000000004D
:10437000000000000000000000000000000000003D
:1043800000000000000000000000400000000000ED
:104390000801C120000000104487C1200000001067
:1043A000848B00000000000000008000000040003E
:1043B0000006512824020024848900000000000027
:1043C00000000000000000000000800000008000ED
:1043D00000020000000000000000000000000000DB
:1043E00000000000000000000000000000000000CD
:1043F00000000000000000000000000000000000BD
:1044000000000000000000000000000000000000AC
:10441000000000000000000000005000000000004C
:10442000000000000000000000000000000000008C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:10445000000000000000000000000000000000005C
:10446000000000008000C4400380C0C1CBC3C8C1AD
:1044700003C04403C393000800C000000000C00054
:10448000000000000300E001CB40C09347C30000E0
:1044900000008000004000000008C0000000000391
:1044A000D0400000C0000300C00303000000000073
:1044B00000000000000000000000000040000000BC
:1044C000C000030000000000000300000000000026
:1044D00000000000000000000003000000000000D9
:1044E00000000000030000000000000000000000C9
:1044F00000000000000000000000000000000000BC
:1045000000000000000000000000000000000000AB
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:104530000000000000000000800000202102102187
:104540000403000305040001140200000040000001
:10455000800010000000000008000001042080021C
:104560002021000000100100804000200000010018
:10457000000040C0104000001080020001800200D6
:10458000000000000000000000000000000000002B
:104590000100000001000200000000000080000097
:1045A000000000000000000000000000008000008B
:1045B00000000000000000000200000000000000F9
:1045C0000000C0000000000000000000000000002B
:1045D00000000000000000000000000000000000DB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:104600000000000000000000000000000000000AA0
:1046100000000000000001100030008003008C400A
:1046200000010084313000000000000000000000A4
:1046300000780C00000080440500000040640E0378
:10464000780000C003740000002800010000000092
:10465000000000000000000000000000000000005A
:104660000000000008000000000000000000000042
:10467000000000000000000000000000000000003A
:10468000000000000000000000000000000000002A
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000000000000000000000000000000000FA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E0000000000000000000007018800180000041
:1046F00000000C0480000014000000000000140002
:10470000000000000010010000000004C0000000D4
:1047100000C00110C00000C0019000000000340083
:104720000000000000000000000000000000000089
:104730000000000000000040000000000000000039
:104740000000000000000000000000000000000069
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:104780000000000000000000000000000000000029
:104790000000000000000000000000000000000019
:1047A0000000000000000000000000000000000009
:1047B00000000000000001100800000000090008CF
:1047C0000000008000014A84820080020202000092
:1047D00000001000000000000060000000000083E6
:1047E000000000502080001800030030028000000C
:1047F0000110200000000000000000000000000088
:1048000000000000000000010000000000000000A7
:104810000000000000000000000000000000000098
:104820000000000000000000000000000000000088
:104830000000000000000002000000000000000076
:104840000000000000000000000000000000000068
:104850000000000000000000000000000000000058
:104860000000000000000000000000000000000048
:104870000000000000000000000000000000000038
:104880000000000000000000000002000000000026
:10489000C000000000000800003000A8E10100078F
:1048A00041180000000000000000000000000000AF
:1048B00000006E02E000000000704082670040C00F
:1048C000D190100000001C0000000000000000005B
:1048D00000000000000000000000000000000000D8
:1048E00000000000000000000000000000000000C8
:1048F00000000000000000000000000000000000B8
:1049000000000000000000000000000000000000A7
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:104930000000000000000000000000000000000077
:104940000000000000000000000000000000000067
:10495000000000000000000000000000D000000087
:1049600000008000006200000000010000E1200063
:104970005000809ED992000000000000000000005E
:1049800000B00E00000000070400008002380000A4
:10499000900000860270060000000000D0000000B9
:1049A0000000000000000000000000000000000007
:1049B00000000000000000000000000000000000F7
:1049C00000000000000000000000000000000000E7
:1049D00000000000000000000000000000000000D7
:1049E00000000000000000000000000000000000C7
:1049F00000000000000000000000000000000000B7
:104A00000000000000000000000000000000400264
:104A10000000000000000000000000000000000096
:104A20000000000000000000000000002004000062
:104A30000C000000000800000C40000028002004CA
:104A4000004000002800000000000001200C0000D1
:104A50000000400020002000284000042010000832
:104A60000000000000102040101000002010010085
:104A70001040000000000000800000000000400026
:104A800000000000000000003000200002000000D4
:104A900001000000000000000000000020000000F5
:104AA00000000040010000000000000000000100C4
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000000E6
:104AD00000000000000000000000000000000000D6
:104AE0000000000000000000000000000C000000BA
:104AF000000000005D000000000000000000000059
:104B0000000008000000000601040000000000068C
:104B10000000008200000000000000000000000A09
:104B20000004000C00000000000000020000000073
:104B300050000D70080D70BD000000000C200070CA
:104B4000700009000060750009090000000E0000F7
:104B5000000000000C09000A0000500000000000E6
:104B60000000000C000090000000000000000000A9
:104B70000000000000000000000000000000000035
:104B80000000000000000000000A0000000000001B
:104B9000080000000000000000000000000000000D
:104BA00000000000004000000000000000000000C5
:104BB00000000000000010080000000000000000DD
:104BC00001000000000000000000000000000000E4
:104BD00000000000200801000000000000000000AC
:104BE000002000002000100800200000000000004D
:104BF000000000082000000100002A000000100052
:104C0000002000080000000001000000000030301B
:104C1000010000002000080000100000000000005B
:104C20002000000000001000010000000000000053
:104C3000000010000C00000108000000000000004F
:104C40000000000010000000000000200400000030
:104C50000000000000000C00000000000000000048
:104C60000000000000000000000000000000000044
:104C70000000000000000000000000000000000034
:104C80000000000000000000000000000000000024
:104C90000000000000000000000000000000000014
:104CA00000000000001000000040000000000000B4
:104CB00000000000000000000000000000200000D4
:104CC00000300000000000000000000000000000B4
:104CD00000000000000000000000000000000000D4
:104CE0000000000000000000000000000000003094
:104CF0004000001000000000000000000000000064
:104D000000000000000000000000000000000000A3
:104D10000000000000000000000000000000000093
:104D20000000000000000000000000000000000083
:104D30000000000000000000000000000000000073
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D700000000000000000000080000000000000B3
:104D8000000000040000008000000000000000009F
:104D90000080008000800000000000000000000093
:104DA0000000000000000000000000000000000003
:104DB00000000000000000000000000000000000F3
:104DC0000000000030000040000000000000000073
:104DD00000000000000000000030000000000000A3
:104DE00000000000000000000000000000000000C3
:104DF00000000000000000000000000000000000B3
:104E000000000000000000000000000000000000A2
:104E10000000000000000000000000000000000092
:104E20000000000000000000000000000000000082
:104E30000000000000000000000000000000000072
:104E40000000000000000000000000000000000062
:104E5000000000000000000400000000000000004E
:104E600000000000000000002000000063000000BF
:104E70000000000000000000000000000000000032
:104E80000000000000000000000000000000000022
:104E90000000000000000010000000000000000002
:104EA00000000000000000000000004000200000A2
:104EB00000000000000000000000000000000000F2
:104EC00000000000000000000000000000000000E2
:104ED00000000000000000000000000000000000D2
:104EE00000000000000000000000000000000000C2
:104EF000000000000000008008000000000000002A
:104F00000000000000001081080000000000000008
:104F100000000000000000800800000000001001F8
:104F200000000000000000800800000000001001E8
:104F30000000000000000000000000000000000071
:104F40000000000000000000000000000000000061
:104F50000000000000000000000000000000000051
:104F600000000000000000000000000000001081B0
:104F70000800000000000000000000000000000029
:104F80000000000000000081000000000000008020
:104F900008000000000010810800000000001081DF
:104FA0000800000000000080080000000000000071
:104FB00000000000000000000000000000000000F1
:104FC0000000000000000000000000800000000061
:104FD0000000000000000000000000810000000050
:104FE0000000000000000000000000800000000041
:104FF0000000000100000000000000800000000030
:10500000000000010000000000000000000000009F
:105010000000000000000000000000000000000090
:105020000000000000000000000000000000000080
:105030000000000000000000000000000000000070
:1050400000000081000000000000000000000000DF
:1050500000000000000000000000008100000000CF
:10506000000000800000000000000081000000003F
:10507000000000810000000000000080000000002F
:105080000000000000000000000000000000000020
:105090000000000000000000000000000000000010
:1050A0000000000000000000000000000000000000
:1050B00000000000000000000000000000000000F0
:1050C00000000000000000000000000000000000E0
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000000000000000000C0
:1050F00000000000000000000000000000000000B0
:10510000000000000000000000000000000000009F
:10511000000000000000000000000000000000008F
:10512000000000000000000000000000000000007F
:10513000201100000000000000000000000000003E
:10514000000000000000000000000000000000005F
:10515000000000000000000000000000000000004F
:10516000000000000000000000000000000000003F
:10517000000000000000000000000000000000002F
:10518000000000000000000000000000000000001F
:10519000000000000000000000000000000000000F
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000000000EF
:1051C00000000000000000000000000000000000DF
:1051D00000000000000000000000000000000000CF
:1051E00000000000000000000000000000000000BF
:1051F00000000000000000000000000000000000AF
:10520000000000000240000000000000000000005C
:10521000000000000000000000000000000000008E
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:10524000000000000000000000000000000000005E
:10525000000000000000000000000000000000004E
:10526000000000000000000000000000000000003E
:10527000000000000000000000000000000000002E
:10528000000000000000000000000000000000001E
:10529000000000000000000000000000000000000E
:1052A00000000000000000000000000000000000FE
:1052B00000000000000000000000000000000000EE
:1052C00000000000000000000000000000000000DE
:1052D00000000000000000110002000000000000BB
:1052E00000000000000000000000000000000000BE
:1052F00000000000000000000000000000000000AE
:10530000000000800000000000000000000000001D
:10531000000000000000000000000000000000008D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:10534000000000000000000000000000000000005D
:10535000000000000000000000000000000000004D
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:10539000000000000000000000000000000000000D
:1053A00000000000000000000000000000220000DB
:1053B00000000000000000000000000000000000ED
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000000BD
:1053F00000000000000000000000000000000000AD
:10540000000000000000000000000000000000009C
:10541000000000000000000000000000000000008C
:10542000000000000000000000000000000000007C
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:105460000000000000000000000000000000000438
:10547000000000000000000000000000000000002C
:10548000000000000000000000000000000000001C
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B00000000000000000000000000000000000EC
:1054C00000000000000000000000000000000000DC
:1054D00000000000000000000000000000000000CC
:1054E00000000000000000000000000000000000BC
:1054F00000000000000000000000000000000000AC
:10550000000000000000000000000000000000009B
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:105540000000000400000000000000000000000057
:10555000000001000000000000000000000000004A
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000000000000001000000000000FA
:1055B00000000000000000000000000000000000EB
:1055C00000000000000000000000000000000000DB
:1055D00000000000000000000000000000000000CB
:1055E00000000000000000000000000000000000BB
:1055F00000000000000000000000000000000000AB
:10560000000000000000000000000000000000009A
:10561000000000000000000000000000000000008A
:10562000000000006000000000000000000000001A
:105630000000000000000000000100000000000069
:10564000000000000000000000000000000000005A
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:10567000000000000000000000000000200000000A
:10568000000000000000000000000000000000001A
:1056900000000000300000000000000000000000DA
:1056A00000000000300000000000000000000000CA
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E00000000000B000000000000000000000000A
:1056F000000000000000000010000000B0000000EA
:10570000000000000000000000000000A0000000F9
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:1057300000000000000000000000000080000000E9
:1057400000000000000000000000000080000000D9
:105750000000000000000000000000000000000049
:105760000000000000000000000000000000000039
:105770000000000000000000000000000000000029
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B00000000000000000000000000000000000E9
:1057C0000000000000000000000000008002000057
:1057D00000000000000000000000000000000000C9
:1057E00000000000000000000800000000000000B1
:1057F00000000000000000000000000000000000A9
:105800000000000000000000000000000000000098
:105810000000000000000000000000000000000088
:105820000000000000000000000000000000000078
:105830000000000000000000000000000000000068
:105840000000000000000000000000000000000058
:105850000000000000000000000000000000000048
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000200000000000016
:105890000000000000000000000000000000000008
:1058A000E0000000C0000000000200000000000056
:1058B00010000000000000000002000000000000D6
:1058C00010000000000000000000000000000000C8
:1058D00000000000000000000000000000000000C8
:1058E00000000000100000000000000000000000A8
:1058F0000000000070000000000000000000000038
:1059000000000000E00000000000000000000000B7
:1059100000000000E00000000000000000000000A7
:105920000000000000000000000000000000000077
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000002000000027
:105960000000000000000000000000000000000037
:1059700000000000100000000001000020000000F6
:105980000000000060000000000000002000000097
:105990000000000070000000000000000000000097
:1059A00000000000000000000000000000000000F7
:1059B0000080000000000000000000000000000067
:1059C00000000000000000000000000000000000D7
:1059D00000000000000000000000000000000000C7
:1059E0000000000000000000000000004000000077
:1059F000000000004000000000080000000000005F
:105A00000000000000000000004000000000000056
:105A100000000080000000000000002000000000E6
:105A2000000000000000000008000000000000006E
:105A30000080000000004000000000400000400026
:105A400000200000000020004000000000220000B4
:105A50000000000000000000010001000020000024
:105A600040000000000000000000000000000000F6
:105A70000000000000000000000000000000000026
:105A80000001000000000000000000000000000015
:105A90000000000000000000000000000000000006
:105AA00000000000500000000000000000000000A6
:105AB00000000000000000000000000000000000E6
:105AC00000000000000D00000900000000180000A8
:105AD00009000000000000000000000000000000BD
:105AE00000000009000000000000000000000000AD
:105AF0000000000050000000000A000A080000003A
:105B0000000A000000000000000001000000000189
:105B10000000000100000000000000000000000084
:105B20000000010050000000000000000000000024
:105B300000000000000000000000000000007000F5
:105B40000000000A0000000000000000000000004B
:105B50000000000000000000003000000000000015
:105B60000000000000000000000000000000000035
:105B70000000000000000000000000000000000025
:105B80000000000000000000000000000000000015
:105B900000000000300000000000000030000000A5
:105BA0000080000000000000000000000000000075
:105BB0000030000000000000000000300050000035
:105BC00000000010000000000000000000000000C5
:105BD00000000000000000000010000000001000A5
:105BE0000000000000001000003000000000300045
:105BF000300000000018000000000000000000005D
:105C00000C000C000030000030000000000000001C
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000000000000000044
:105C60000000000000000000000000000000000034
:105C70000000000000000000000000000000000024
:105C80000000000000010000000000000000000013
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB00000000000000000000000000000000000E4
:105CC00000000000000000020000000000000000D2
:105CD00000000000000000000000000000000000C4
:105CE00000000000000000000000000000000000B4
:105CF00000000000000000000000000000000000A4
:105D00000000000000000000000000000000000093
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D30000000000000000000000000000000000063
:105D40000000000000000000000000000000000053
:105D5000000000000000000000040000000000003F
:105D60000000000000000000000000000000000033
:105D70000000000000000000000001000000000022
:105D80000000000000000000000081000000000C86
:105D90000000000000000000000000000000000003
:105DA00000000000000000000000000000000000F3
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000000000000000000000000000B3
:105DF00000000000000000000000000000000000A3
:105E00000000000000000000000000000000000092
:105E10000000000000000000000000000000000082
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E40000000000000000000000000000000000052
:105E50000000000000000000000000000000000042
:105E600000000004004100000000000000040000E9
:105E70000000000000000000000000000000000022
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA00000000000000000000000000000000000F2
:105EB00000000000000000000000000000000000E2
:105EC00000000000000000000000000000000000D2
:105ED00000000000000000000000000000000000C2
:105EE00000000000000000000000000000000000B2
:105EF00000000000000000000000000000000000A2
:105F00000000000000000000000000000000000091
:105F10000000000000000000000000000000000081
:105F20000000200000000000000000000000000051
:105F30000000200000000000000000000000000041
:105F40000000000000000000000000000000000051
:105F50000000000000000000000000000000000041
:105F60000000000000000000000000000000000031
:105F70000000000000000000000000000000000021
:105F800000000000000005002818000000000000CC
:105F90000000050C00A0050028180000000000000B
:105FA00000000000000005002818050C00A00000FB
:105FB00000000000000005002818050C00A00000EB
:105FC00000000000000000000000000000000000D1
:105FD00000000000000000000000000000000000C1
:105FE00000000000000000000000000000000000B1
:105FF00000000000000080390010050C00A0050022
:106000002818000000008039001000000000000087
:106010000000050C86A005312818000000000500CE
:106020002818050C00A005002818050C00A0050084
:1060300028180000000005002818000000000000DB
:10604000040000000000000000000000000000004C
:106050000000000000000000000000000000000040
:106060000000000000000000000000000000FDB380
:10607000210BC022EC0000003A84C70E0000CCDDEA
:106080008C5823F2FA01008D1823B6771100000016
:106090000000000000000000000000000000000000
:1060A00000000000000000000000000000000000F0
:1060B00000000000000000000000000000000000E0
:1060C00000000000000000000000000000000000D0
:1060D00000000000000000000000000000000000C0
:1060E00000000000000000000000000000000000B0
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:10612000000000000000000000000000000000006F
:10613000000000000000000000000000000000005F
:106140000000155E029E80CD7D00408296804760F3
:10615000000050BC9811F2A45A0100C81167D491F4
:10616000020000000000000000000000000000002D
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A00000000000000000000000000000000000EF
:1061B00000000000000000000000000000000000DF
:1061C00000000000000000000000000000000000CF
:1061D00000000000000000000000000000000000BF
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000000000009F
:10620000000000000000000000000000000000008E
:106210000000000000003F1F0684003D780000429F
:106220008410BFFD0000F8B49163C4E8C1030010FE
:106230006284F8CF020800000000000000000000A7
:10624000000000000000000000000000000000004E
:10625000000000000000000000000000000000003E
:10626000000000000000000000000000000000002E
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000000000000000000000000000FE
:1062A00000000000000000000000000000000000EE
:1062B00000000000000000000000000000000000DE
:1062C00000000000000000000000000000000000CE
:1062D00000000000000000000000000000000000BE
:1062E00000000000000000000000BE7DD418315EF8
:1062F000A80040A418217AD60002F07741569044B5
:106300009D010042D290D55E0B0800000000000005
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000000000000000000000005D
:10634000000000000000000000000000000000004D
:10635000000000000000000000000000000000003D
:10636000000000000000000000000000000000002D
:10637000000000000000000000000000000000001D
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B000000000000000000000000000000015DAEE
:1063C000E174129D6400C051F45555600010D474FE
:1063D0002176A81F5201003974883DC78140000012
:1063E00000000000000000000000000000000000AD
:1063F000000000000000000000000000000000009D
:10640000000000000000000000000000000000008C
:10641000000000000000000000000000000000007C
:10642000000000000000000000000000000000006C
:10643000000000000000000000000000000000005C
:10644000000000000000000000000000000000004C
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:10648000000000000000000000000000000000000C
:10649000000041D7803D0AA74200402010401C1454
:1064A0000000101D27940B654203000E8523015048
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D00000000000000000000000000000000000BC
:1064E00000000000000000000000000000000000AC
:1064F000000000000000000000000000000000009C
:10650000000000000000000000000000000000008B
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:10655000000000000000000000000000000000003B
:10656000000000000000958505E101D8C4008049C5
:1065700024434D1B00003C048C2021691A0100E4D7
:10658000147910220000000000000000000000004C
:1065900000000000000000000000000000000000FB
:1065A00000000000000000000000000000000000EB
:1065B00000000000000000000000000000000000DB
:1065C00000000000000000000000000000000000CB
:1065D00000000000000000000000000000000000BB
:1065E00000000000000000000000000000000000AB
:1065F000000000000000000000000000000000009B
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:10663000000000000000000000001F012F484B5C1C
:106640008500000D0007680C10006C20D82934323A
:10665000CD0100D015F523C140000000000000006E
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:10668000000000000000000000000000000000000A
:1066900000000000000000000000000000000000FA
:1066A00000000000000000000000000000000000EA
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:106700000000000000000000000000000000810008
:10671000000008000200002000080402000004003D
:1067200000400000000000014020100000000000B8
:106730000000000000000000000000000000000059
:106740000000000000000000000000000000000049
:106750000000000000000000000000000000000039
:106760000000000000000000000000000000000029
:106770000000000000000000000000000000000019
:106780000000000000000000000000000000000009
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000000000000B9
:1067E00000008000200008040200002010000002C9
:1067F000000000028000200000000080400000082F
:106800000000000000000000000000000000000088
:106810000000000000000000000000000000000078
:106820000000000000000000000000000000000068
:106830000000000000000000000000000000000058
:106840000000000000000000000000000000000048
:106850000000000000000000000000000000000038
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B0000000000000000140201000040200400021
:1068C000000004000000000081002000080000809B
:1068D0000020000000000000000000000000000098
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000000000000000000000000000087
:106910000000000000000000000000000000000077
:106920000000000000000000000000000000000067
:106930000000000000000000000000000000000057
:106940000000000000000000000000000000000047
:106950000000000000000000000000000000000037
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000081000010000472
:1069900000004000100004020000040280402000BB
:1069A000000000814000100800000000000000000E
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D00000000000000000000000000000000000B7
:1069E00000000000000000000000000000000000A7
:1069F0000000000000000000000000000000000097
:106A00000000000000000000000000000000000086
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000000000000000000000000000000046
:106A50000000000000000000000000000000000036
:106A60000000000000000000000000000000000026
:106A70000000000000000000000000000000000016
:106A80000000000000000000000000000000000006
:106A900000000000000000000000000000000000F6
:106AA00000000000000000000000000000000000E6
:106AB00000000000000000000000000000000000D6
:106AC00000000000000000000000000000000000C6
:106AD00000000000000000000000000000000000B6
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B20000000000000000000000000000000000065
:106B30000000000000000000000000000000000055
:106B40000000000000000000000000000000000045
:106B50000000000000000000000000000000000035
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B80000000000000000000000000000000000005
:106B900000000000000000000000000000000000F5
:106BA00000000000000000000000000000000000E5
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD00000000000000000000000000000000000B5
:106BE00000000000000000000000000000000000A5
:106BF0000000000000000000000000000000000095
:106C00000000000000000000000000000000000084
:106C10000000000000000000000000000000000074
:106C20000000000000000000000000000000000064
:106C30000000000000000000000000000000000054
:106C40000000000000000000000000000000000044
:106C50000000000000000000000000000000000034
:106C60000000000000000000000000000000000024
:106C70000000000000000000000000000000000014
:106C80000000000000000000000000000000000004
:106C900000000000000000000000000000000000F4
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000000000D4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE00000000000000000000000000000000000A4
:106CF0000000000000000000000000000000000094
:106D00000000000000000000000000000000000083
:106D10000000000000000000000000000000000073
:106D20000000000000000000000000000000000063
:106D30000000000000000000000000000000000053
:106D40000000000000000000000000000000000043
:106D50000000000000000000000000000000000033
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D80000000000000000000000000000000000003
:106D900000000000000000000000000000000000F3
:106DA00000000000000000000000000000000000E3
:106DB00000000000000000000000000000000000D3
:106DC00000000000000000000000000000000000C3
:106DD00000000000000000000000000000000000B3
:106DE00000000000000000000000000000000000A3
:106DF0000000000000000000000000000000000093
:106E00000000000000000000000000000000000082
:106E10000000000000000000000000000000000072
:106E20000000000000000000000000000000000062
:106E30000000000000000000000000000000000052
:106E40000000000000000000000000000000000042
:106E50000000000000000000000000000000000032
:106E60000000000000000000000000000000000022
:106E70000000000000000000000000000000000012
:106E80000000000000000000000000000000000002
:106E900000000000000000000000000000000000F2
:106EA00000000000000000000000000000000000E2
:106EB00000000000000000000000000000000000D2
:106EC00000000000000000000000000000000000C2
:106ED00000000000000000000000000000000000B2
:106EE00000000000000000000000000000000000A2
:106EF0000000000000000000000000000000000092
:106F00000000000000000000000000000000000081
:106F10000000000000000000000000000000000071
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F40000000000000000000000000000000000041
:106F50000000000000000000000000000000000031
:106F60000000000000000000000000000000000021
:106F70000000000000000000000000000000000011
:106F80000000000000000000000000000000000001
:106F900000000000000000000000000000000000F1
:106FA00000000000000000000000000000000000E1
:106FB00000000000000000000000000000000000D1
:106FC00000000000000000000000000000000000C1
:106FD00000000000000000000000000000000000B1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000000000000000000000000080
:107010000000000000000000000000000000000070
:107020000000000000000000000000000000000060
:107030000000000000000000000000000000000050
:107040000000000000000000000000000000000040
:107050000000000000000000000000000000000030
:107060000000000000000000000000000000000020
:107070000000000000000000000000000000000010
:107080000000000000000000000000000000000000
:1070900000000000000000000000000000000000F0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000000000000000000D0
:1070C00000000000000000000000000000000000C0
:1070D00000000000000000000000000000000000B0
:1070E00000000000000000000000000000000000A0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:10711000000000000000000000000000000000006F
:10712000000000000000000000000000000000005F
:10713000000000000000000000000000000000004F
:10714000000000000000000000000000000000003F
:10715000000000000000000000000000000000002F
:10716000000000000000000000000000000000001F
:10717000000000000000000000000000000000000F
:1071800000000000000000000000000000000000FF
:1071900000000000000000000000000000000000EF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000000000000000000000000000000000009F
:1071F000000000000000000000000000000000008F
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:10722000000000000000000000000000000000005E
:10723000000000000000000000000000000000004E
:10724000000000000000000000000000000000003E
:10725000000000000000000000000000000000002E
:10726000000000000000000000000000000000001E
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:1072900000000000000000000000000000000000EE
:1072A00000000000000000000000000000000000DE
:1072B00000000000000000000000000000000000CE
:1072C00000000000000000000000000000000000BE
:1072D00000000000000000000000000000000000AE
:1072E000000000000000000000000000000000009E
:1072F000000000000000000000000000000000008E
:10730000000000000000000000000000000000007D
:10731000000000000000000000000000000000006D
:10732000000000000000000000000000000000005D
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:10736000000000000000000000000000000000001D
:10737000000000000000000000000000000000000D
:1073800000000000000000000000000000000000FD
:1073900000000000000000000000000000000000ED
:1073A00000000000000000000000000000000000DD
:1073B00000000000000000000000000000000000CD
:1073C00000000000000000000000000000000000BD
:1073D00000000000000000000000000000000000AD
:1073E000000000000000000000000000000000009D
:1073F000000000000000000000000000000000008D
:10740000000000000000000000000000000000007C
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000000000000004C
:10744000000000000000000000000000000000003C
:10745000000000000000000000000000000000002C
:10746000000000000000000000000000000000001C
:10747000000000000000000000000000000000000C
:1074800000000000000000000000000000000000FC
:1074900000000000000000000000000000000000EC
:1074A00000000000000000000000000000000000DC
:1074B00000000000000000000000000000000000CC
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:10750000000000000000000000000000000000007B
:10751000000000000000000000000000000000006B
:10752000000000000000000000000000000000005B
:10753000000000000000000000000000000000004B
:10754000000000000000000000000000000000003B
:10755000000000000000000000000000000000002B
:10756000000000000000000000000000000000001B
:10757000000000000000000000000000000000000B
:1075800000000000000000000000000000000000FB
:1075900000000000000000000000000000000000EB
:1075A00000000000000000000000000000000000DB
:1075B00000000000000000000000000000000000CB
:1075C00000000000000000000000000000000000BB
:1075D00000000000000000000000000000000000AB
:1075E000000000000000000000000000000000009B
:1075F000000000000000000000000000000000008B
:10760000000000000000000000000000000000007A
:10761000000000000000000000000000000000006A
:10762000000000000000000000000000000000005A
:10763000000000000000000000000000000000004A
:10764000000000000000000000000000000000003A
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C00000000000000000000000000000000000BA
:1076D00000000000000000000000000000000000AA
:1076E000000000000000000000000000000000009A
:1076F000000000000000000000000000000000008A
:107700000000000000000000000000000000000079
:107710000000000000000000000000000000000069
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:1077800000000000000000000000000000000000F9
:1077900000000000000000000000000000000000E9
:1077A00000000000000000000000000000000000D9
:1077B00000000000000000000000000000000000C9
:1077C00000000000000000000000000000000000B9
:1077D00000000000000000000000000000000000A9
:1077E0000000000000000000000000000000000099
:1077F0000000000000000000000000000000000089
:107800000000000000000000000000000000000078
:107810000000000000000000000000000000000068
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840000000000000000000000000000000000038
:107850000000000000000000000000000000000028
:107860000000000000000000000000000000000018
:107870000000000000000000000000000000000008
:1078800000000000000000000000000000000000F8
:1078900000000000000000000000000000000000E8
:1078A00000000000000000000000000000000000D8
:1078B00000000000000000000000000000000000C8
:1078C00000000000000000000000000000000000B8
:1078D00000000000000000000000000000000000A8
:1078E0000000000000000000000000000000000098
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000000000077
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000000000000000000000000047
:107940000000000000000000000000000000000037
:107950000000000000000000000000000000000027
:107960000000000000000000000000000000000017
:107970000000000000000000000000000000000007
:1079800000000000000000000000000000000000F7
:1079900000000000000000000000000000000000E7
:1079A00000000000000000000000000000000000D7
:1079B00000000000000000000000000000000000C7
:1079C00000000000000000000000000000000000B7
:1079D00000000000000000000000000000000000A7
:1079E0000000000000000000000000000000000097
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000000000000000076
:107A10000000000000000000000000000000000066
:107A20000000000000000000000000000000000056
:107A30000000000000000000000000000000000046
:107A40000000000000000000000000000000000036
:107A50000000000000000000000000000000000026
:107A60000000000000000000000000000000000016
:107A70000000000000000000000000000000000006
:107A800000000000000000000000000000000000F6
:107A900000000000000000000000000000000000E6
:107AA00000000000000000000000000000000000D6
:107AB00000000000000000000000000000000000C6
:107AC00000000000000000000000000000000000B6
:107AD00000000000000000000000000000000000A6
:107AE0000000000000000000000000000000000096
:107AF0000000000000000000000000000000000086
:107B00000000000000000000000000000000000075
:107B10000000000000000000000000000000000065
:107B20000000000000000000000000000000000055
:107B30000000000000000000000000000000000045
:107B40000000000000000000000000000000000035
:107B50000000000000000000000000000000000025
:107B60000000000000000000000000000000000015
:107B70000000000000000000000000000000000005
:107B800000000000000000000000000000000000F5
:107B900000000000000000000000000000000000E5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC00000000000000000000000000000000000B5
:107BD00000000000000000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF0000000000000000000000000000000000085
:107C00000000000000000000000000000000000074
:107C10000000000000000000000000000000000064
:107C20000000000000000000000000000000000054
:107C30000000000000000000000000000000000044
:107C40000000000000000000000000000000000034
:107C50000000000000000000000000000000000024
:107C60000000000000000000000000000000000014
:107C70000000000000000000000000000000000004
:107C800000000000000000000000000000000000F4
:107C900000000000000000000000000000000000E4
:107CA00000000000000000000000000000000000D4
:107CB00000000000000000000000000000000000C4
:107CC00000000000000000000000000000000000B4
:107CD00000000000000000000000000000000000A4
:107CE0000000000000000000000000000000000094
:107CF0000000000000000000000000000000000084
:107D00000000000000000000000000000000000073
:107D10000000000000000000000000000000000063
:107D20000000000000000000000000000000000053
:107D30000000000000000000000000000000000043
:107D40000000000000000000000000000000000033
:107D50000000000000000000000000000000000023
:107D60000000000000000000000000000000000013
:107D70000000000000000000000000000000000003
:107D800000000000000000000000000000000000F3
:107D900000000000000000000000000000000000E3
:107DA00000000000000000000000000000000000D3
:107DB00000000000000000000000000000000000C3
:107DC00000000000000000000000000000000000B3
:107DD00000000000000000000000000000000000A3
:107DE0000000000000000000000000000000000093
:107DF0000000000000000000000000000000000083
:107E00000000000000000000000000000000000072
:107E10000000000000000000000000000000000062
:107E20000000000000000000000000000000000052
:107E30000000000000000000000000000000000042
:107E40000000000000000000000000000000000032
:107E50000000000000000000000000000000000022
:107E60000000000000000000000000000000000012
:107E70000000000000000000000000000000000002
:107E800000000000000000000000000000000000F2
:107E900000000000000000000000000000000000E2
:107EA00000000000000000000000000000000000D2
:107EB00000000000000000000000000000000000C2
:107EC00000000000000000000000000000000000B2
:107ED00000000000000000000000000000000000A2
:107EE0000000000000000000000000000000000092
:107EF0000000000000000000000000000000000082
:107F00000000000000000000000000000000000071
:107F10000000000000000000000000000000000061
:107F20000000000000000000000000000000000051
:107F30000000000000000000000000000000000041
:107F40000000000000000000000000000000000031
:107F50000000000000000000000000000000000021
:107F60000000000000000000000000000000000011
:107F70000000000000000000000000000000000001
:107F800000000000000000000000000000000000F1
:107F900000000000000000000000000000000000E1
:107FA00000000000000000000000000000000000D1
:107FB00000000000000000000000000000000000C1
:107FC00000000000000000000000000000000000B1
:107FD00000000000000000000000000000000000A1
:107FE0000000000000000000000000000000000091
:107FF0000000000000000000000000000000000081
:108000000000000000000000000000000000000070
:108010000000000000000000000000000000000060
:108020000000000000000000000000000000000050
:108030000000000000000000000000000000000040
:108040000000000000000000000000000000000030
:108050000000000000000000000000000000000020
:108060000000000000000000000000000000000010
:108070000000000000000000000000000000000000
:1080800000000000000000000000000000000000F0
:1080900000000000000000000000000000000000E0
:1080A00000000000000000000000000000000000D0
:1080B00000000000000000000000000000000000C0
:1080C00000000000000000000000000000000000B0
:1080D00000000000000000000000000000000000A0
:1080E0000000000000000000000000000000000090
:1080F0000000000000000000000000000000000080
:10810000000000000000000000000000000000006F
:10811000000000000000000000000000000000005F
:10812000000000000000000000000000000000004F
:10813000000000000000000000000000000000003F
:10814000000000000000000000000000000000002F
:10815000000000000000000000000000000000001F
:10816000000000000000000000000000000000000F
:1081700000000000000000000000000000000000FF
:1081800000000000000000000000000000000000EF
:1081900000000000000000000000000000000000DF
:1081A00000000000000000000000000000000000CF
:1081B00000000000000000000000000000000000BF
:1081C00000000000000000000000000000000000AF
:1081D000000000000000000000000000000000009F
:1081E000000000000000000000000000000000008F
:1081F000000000000000000000000000000000007F
:10820000000000000000000000000000000000006E
:10821000000000000000000000000000000000005E
:10822000000000000000000000000000000000004E
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000002E
:10825000000000000000000000000000000000001E
:10826000000000000000000000000000000000000E
:1082700000000000000000000000000000000000FE
:1082800000000000000000000000000000000000EE
:1082900000000000000000000000000000000000DE
:1082A00000000000000000000000000000000000CE
:1082B00000000000000000000000000000000000BE
:1082C00000000000000000000000000000000000AE
:1082D000000000000000000000000000000000009E
:1082E000000000000000000000000000000000008E
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:10831000000000000000000000000000000000005D
:10832000000000000000000000000000000000004D
:10833000000000000000000000000000000000003D
:10834000000000000000000000000000000000002D
:10835000000000000000000000000000000000001D
:10836000000000000000000000000000000000000D
:1083700000000000000000000000000000000000FD
:1083800000000000000000000000000000000000ED
:1083900000000000000000000000000000000000DD
:1083A00000000000000000000000000000000000CD
:1083B00000000000000000000000000000000000BD
:1083C00000000000000000000000000000000000AD
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F000000000000000000000000000000000007D
:10840000000000000000000000000000000000006C
:10841000000000000000000000000000000000005C
:10842000000000000000000000000000000000004C
:10843000000000000000000000000000000000003C
:10844000000000000000000000000000000000002C
:10845000000000000000000000000000000000001C
:10846000000000000000000000000000000000000C
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000000EC
:1084900000000000000000000000000000000000DC
:1084A00000000000000000000000000000000000CC
:1084B00000000000000000000000000000000000BC
:1084C00000000000000000000000000000000000AC
:1084D000000000000000000000000000000000009C
:1084E000000000000000000000000000000000008C
:1084F000000000000000000000000000000000007C
:10850000000000000000000000000000000000006B
:10851000000000000000000000000000000000005B
:10852000000000000000000000000000000000004B
:10853000000000000000000000000000000000003B
:10854000000000000000000000000000000000002B
:10855000000000000000000000000000000000001B
:10856000000000000000000000000000000000000B
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:1085900000000000000000000000000000000000DB
:1085A00000000000000000000000000000000000CB
:1085B00000000000000000000000000000000000BB
:1085C00000000000000000000000000000000000AB
:1085D000000000000000000000000000000000009B
:1085E000000000000000000000000000000000008B
:1085F000000000000000000000000000000000007B
:10860000000000000000000000000000000000006A
:10861000000000000000000000000000000000005A
:10862000000000000000000000000000000000004A
:10863000000000000000000000000000000000003A
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:1086700000000000000000000000000000000000FA
:1086800000000000000000000000000000000000EA
:1086900000000000000000000000000000000000DA
:1086A00000000000000000000000000000000000CA
:1086B00000000000000000000000000000000000BA
:1086C00000000000000000000000000000000000AA
:1086D000000000000000000000000000000000009A
:1086E000000000000000000000000000000000008A
:1086F000000000000000000000000000000000007A
:108700000000000000000000000000000000000069
:108710000000000000000000000000000000000059
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:108740000000000000000000000000000000000029
:108750000000000000000000000000000000000019
:108760000000000000000000000000000000000009
:1087700000000000000000000000000000000000F9
:1087800000000000000000000000000000000000E9
:1087900000000000000000000000000000000000D9
:1087A00000000000000000000000000000000000C9
:1087B00000000000000000000000000000000000B9
:1087C00000000000000000000000000000000000A9
:1087D0000000000000000000000000000000000099
:1087E0000000000000000000000000000000000089
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000000000000000000000000000058
:108820000000000000000000000000000000000048
:108830000000000000000000000000000000000038
:108840000000000000000000000000000000000028
:108850000000000000000000000000000000000018
:108860000000000000000000000000000000000008
:1088700000000000000000000000000000000000F8
:1088800000000000000000000000000000000000E8
:1088900000000000000000000000000000000000D8
:1088A00000000000000000000000000000000000C8
:1088B00000000000000000000000000000000000B8
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000000000000000000088
:1088F0000000000000000000000000000000000078
:108900000000000000000000000000000000000067
:108910000000000000000000000000000000000057
:108920000000000000000000000000000000000047
:108930000000000000000000000000000000000037
:108940000000000000000000000000000000000027
:108950000000000000000000000000000000000017
:108960000000000000000000000000000000000007
:1089700000000000000000000000000000000000F7
:1089800000000000000000000000000000000000E7
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C00000000000000000000000000000000000A7
:1089D0000000000000000000000000000000000097
:1089E0000000000000000000000000000000000087
:1089F0000000000000000000000000000000000077
:108A00000000000000000000000000000000000066
:108A10000000000000000000000000000000000056
:108A20000000000000000000000000000000000046
:108A30000000000000000000000000000000000036
:108A40000000000000000000000000000000000026
:108A50000000000000000000000000000000000016
:108A60000000000000000000000000000000000006
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A900000000000000000000000000000000000D6
:108AA00000000000000000000000000000000000C6
:108AB00000000000000000000000000000000000B6
:108AC00000000000000000000000000000000000A6
:108AD0000000000000000000000000000000000096
:108AE0000000000000000000000000000000000086
:108AF0000000000000000000000000000000000076
:108B00000000000000000000000000000000000065
:108B10000000000000000000000000000000000055
:108B20000000000000000000000000000000000045
:108B30000000000000000000000000000000000035
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000000000000000000000005
:108B700000000000000000000000000000000000F5
:108B800000000000000000000000000000000000E5
:108B900000000000000000000000000000000000D5
:108BA00000000000000000000000000000000000C5
:108BB00000000000000000000000000000000000B5
:108BC00000000000000000000000000000000000A5
:108BD0000000000000000000000000000000000095
:108BE0000000000000000000000000000000000085
:108BF0000000000000000000000000000000000075
:108C00000000000000000000000000000000000064
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C40000000000000000000000000000000000024
:108C50000000000000000000000000000000000014
:108C60000000000000000000000000000000000004
:108C700000000000000000000000000000000000F4
:108C800000000000000000000000000000000000E4
:108C900000000000000000000000000000000000D4
:108CA00000000000000000000000000000000000C4
:108CB00000000000000000000000000000000000B4
:108CC00000000000000000000000000000000000A4
:108CD0000000000000000000000000000000000094
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D10000000000000000000000000000000000053
:108D20000000000000000000000000000000000043
:108D30000000000000000000000000000000000033
:108D40000000000000000000000000000000000023
:108D50000000000000000000000000000000000013
:108D60000000000000000000000000000000000003
:108D700000000000000000000000000000000000F3
:108D800000000000000000000000000000000000E3
:108D900000000000000000000000000000000000D3
:108DA00000000000000000000000000000000000C3
:108DB00000000000000000000000000000000000B3
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000000093
:108DE0000000000000000000000000000000000083
:108DF0000000000000000000000000000000000073
:108E00000000000000000000000000000000000062
:108E10000000000000000000000000000000000052
:108E20000000000000000000000000000000000042
:108E30000000000000000000000000000000000032
:108E40000000000000000000000000000000000022
:108E50000000000000000000000000000000000012
:108E60000000000000000000000000000000000002
:108E700000000000000000000000000000000000F2
:108E800000000000000000000000000000000000E2
:108E900000000000000000000000000000000000D2
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000000000B2
:108EC00000000000000000000000000000000000A2
:108ED0000000000000000000000000000000000092
:108EE0000000000000000000000000000000000082
:108EF0000000000000000000000000000000000072
:108F00000000000000000000000000000000000061
:108F10000000000000000000000000000000000051
:108F20000000000000000000000000000000000041
:108F30000000000000000000000000000000000031
:108F40000000000000000000000000000000000021
:108F50000000000000000000000000000000000011
:108F60000000000000000000000000000000000001
:108F700000000000000000000000000000000000F1
:108F800000000000000000000000000000000000E1
:108F900000000000000000000000000000000000D1
:108FA00000000000000000000000000000000000C1
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000000000000000000000000091
:108FE0000000000000000000000000000000000081
:108FF0000000000000000000000000000000000071
:109000000000000000000000000000000000000060
:109010000000000000000000000000000000000050
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000000000000000000000000
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000000000000000000000D0
:1090A00000000000000000000000000000000000C0
:1090B00000000000000000000000000000000000B0
:1090C00000000000000000000000000000000000A0
:1090D0000000000000000000000000000000000090
:1090E0000000000000000000000000000000000080
:1090F0000000000000000000000000000000000070
:10910000000000000000000000000000000000005F
:10911000000000000000000000000000000000004F
:10912000000000000000000000000000000000003F
:10913000000000000000000000000000000000002F
:10914000000000000000000000000000000000001F
:10915000000000000000000000000000000000000F
:1091600000000000000000000000000000000000FF
:1091700000000000000000000000000000000000EF
:1091800000000000000000000000000000000000DF
:1091900000000000000000000000000000000000CF
:1091A00000000000000000000000000000000000BF
:1091B00000000000000000000000000000000000AF
:1091C000000000000000000000000000000000009F
:1091D000000000000000000000000000000000008F
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000000000000005E
:10921000000000000000000000000000000000004E
:10922000000000000000000000000000000000003E
:10923000000000000000000000000000000000002E
:10924000000000000000000000000000000000001E
:10925000000000000000000000000000000000000E
:1092600000000000000000000000000000000000FE
:1092700000000000000000000000000000000000EE
:1092800000000000000000000000000000000000DE
:1092900000000000000000000000000000000000CE
:1092A00000000000000000000000000000000000BE
:1092B00000000000000000000000000000000000AE
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000000000000000000000000007E
:1092F000000000000000000000000000000000006E
:10930000000000000000000000000000000000005D
:10931000000000000000000000000000000000004D
:10932000000000000000000000000000000000003D
:10933000000000000000000000000000000000002D
:10934000000000000000000000000000000000001D
:10935000000000000000000000000000000000000D
:1093600000000000000000000000000000000000FD
:1093700000000000000000000000000000000000ED
:1093800000000000000000000000000000000000DD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B00000000000000000000000000000000000AD
:1093C000000000000000000000000000000000009D
:1093D000000000000000000000000000000000008D
:1093E000000000000000000000000000000000007D
:1093F000000000000000000000000000000000006D
:10940000000000000000000000000000000000005C
:10941000000000000000000000000000000000004C
:10942000000000000000000000000000000000003C
:10943000000000000000000000000000000000002C
:10944000000000000000000000000000000000001C
:10945000000000000000000000000000000000000C
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000000000000000DC
:1094900000000000000000000000000000000000CC
:1094A00000000000000000000000000000000000BC
:1094B00000000000000000000000000000000000AC
:1094C000000000000000000000000000000000009C
:1094D000000000000000000000000000000000008C
:1094E000000000000000000000000000000000007C
:1094F000000000000000000000000000000000006C
:10950000000000000000000000000000000000005B
:10951000000000000000000000000000000000004B
:10952000000000000000000000000000000000003B
:10953000000000000000000000000000000000002B
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:1095600000000000000000000000000000000000FB
:1095700000000000000000000000000000000000EB
:1095800000000000000000000000000000000000DB
:1095900000000000000000000000000000000000CB
:1095A00000000000000000000000000000000000BB
:1095B00000000000000000000000000000000000AB
:1095C000000000000000000000000000000000009B
:1095D000000000000000000000000000000000008B
:1095E000000000000000000000000000000000007B
:1095F000000000000000000000000000000000006B
:10960000000000000000000000000000000000005A
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:10963000000000000000000000000000000000002A
:10964000000000000000000000000000000000001A
:10965000000000000000000000000000000000000A
:1096600000000000000000000000000000000000FA
:1096700000000000000000000000000000000000EA
:1096800000000000000000000000000000000000DA
:1096900000000000000000000000000000000000CA
:1096A00000000000000000000000000000000000BA
:1096B00000000000000000000000000000000000AA
:1096C000000000000000000000000000000000009A
:1096D000000000000000000000000000000000008A
:1096E000000000000000000000000000000000007A
:1096F000000000000000000000000000000000006A
:109700000000000000000000000000000000000059
:109710000000000000000000000000000000000049
:109720000000000000000000000000000000000039
:109730000000000000000000000000000000000029
:109740000000000000000000000000000000000019
:109750000000000000000000000000000000000009
:1097600000000000000000000000000000000000F9
:1097700000000000000000000000000000000000E9
:1097800000000000000000000000000000000000D9
:1097900000000000000000000000000000000000C9
:1097A00000000000000000000000000000000000B9
:1097B00000000000000000000000000000000000A9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000000000089
:1097E0000000000000000000000000000000000079
:1097F0000000000000000000000000000000000069
:109800000000000000000000000000000000000058
:109810000000000000000000000000000000000048
:109820000000000000000000000000000000000038
:109830000000000000000000000000000000000028
:109840000000000000000000000000000000000018
:109850000000000000000000000000000000000008
:1098600000000000000000000000000000000000F8
:1098700000000000000000000000000000000000E8
:1098800000000000000000000000000000000000D8
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B00000000000000000000000000000000000A8
:1098C0000000000000000000000000000000000098
:1098D0000000000000000000000000000000000088
:1098E0000000000000000000000000000000000078
:1098F0000000000000000000000000000000000068
:109900000000000000000000000000000000000057
:109910000000000000000000000000000000000047
:109920000000000000000000000000000000000037
:109930000000000000000000000000000000000027
:109940000000000000000000000000000000000017
:109950000000000000000000000000000000000007
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000000000000000000000000C7
:1099A00000000000000000000000000000000000B7
:1099B00000000000000000000000000000000000A7
:1099C0000000000000000000000000000000000097
:1099D0000000000000000000000000000000000087
:1099E0000000000000000000000000000000000077
:1099F0000000000000000000000000000000000067
:109A00000000000000000000000000000000000056
:109A10000000000000000000000000000000000046
:109A20000000000000000000000000000000000036
:109A30000000000000000000000000000000000026
:109A40000000000000000000000000000000000016
:109A50000000000000000000000000000000000006
:109A600000000000000000000000000000000000F6
:109A700000000000000000000000000000000000E6
:109A800000000000000000000000000000000000D6
:109A900000000000000000000000000000000000C6
:109AA00000000000000000000000000000000000B6
:109AB00000000000000000000000000000000000A6
:109AC0000000000000000000000000000000000096
:109AD0000000000000000000000000000000000086
:109AE0000000000000000000000000000000000076
:109AF0000000000000000000000000000000000066
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B30000000000000000000000000000000000025
:109B40000000000000000000000000000000000015
:109B50000000000000000000000000000000000005
:109B600000000000000000000000000000000000F5
:109B700000000000000000000000000000000000E5
:109B800000000000000000000000000000000000D5
:109B900000000000000000000000000000000000C5
:109BA00000000000000000000000000000000000B5
:109BB00000000000000000000000000000000000A5
:109BC0000000000000000000000000000000000095
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C00000000000000000000000000000000000054
:109C10000000000000000000000000000000000044
:109C20000000000000000000000000000000000034
:109C30000000000000000000000000000000000024
:109C40000000000000000000000000000000000014
:109C50000000000000000000000000000000000004
:109C600000000000000000000000000000000000F4
:109C700000000000000000000000000000000000E4
:109C800000000000000000000000000000000000D4
:109C900000000000000000000000000000000000C4
:109CA00000000000000000000000000000000000B4
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD0000000000000000000000000000000000084
:109CE0000000000000000000000000000000000074
:109CF0000000000000000000000000000000000064
:109D00000000000000000000000000000000000053
:109D10000000000000000000000000000000000043
:109D20000000000000000000000000000000000033
:109D30000000000000000000000000000000000023
:109D40000000000000000000000000000000000013
:109D50000000000000000000000000000000000003
:109D600000000000000000000000000000000000F3
:109D700000000000000000000000000000000000E3
:109D800000000000000000000000000000000000D3
:109D900000000000000000000000000000000000C3
:109DA00000000000000000000000000000000000B3
:109DB00000000000000000000000000000000000A3
:109DC0000000000000000000000000000000000093
:109DD0000000000000000000000000000000000083
:109DE0000000000000000000000000000000000073
:109DF0000000000000000000000000000000000063
:109E00000000000000000000000000000000000052
:109E10000000000000000000000000000000000042
:109E20000000000000000000000000000000000032
:109E30000000000000000000000000000000000022
:109E40000000000000000000000000000000000012
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E700000000000000000000000000000000000E2
:109E800000000000000000000000000000000000D2
:109E900000000000000000000000000000000000C2
:109EA00000000000000000000000000000000000B2
:109EB00000000000000000000000000000000000A2
:109EC0000000000000000000000000000000000092
:109ED0000000000000000000000000000000000082
:109EE0000000000000000000000000000000000072
:109EF0000000000000000000000000000000000062
:109F00000000000000000000000000000000000051
:109F10000000000000000000000000000000000041
:109F20000000000000000000000000000000000031
:109F30000000000000000000000000000000000021
:109F40000000000000000000000000000000000011
:109F50000000000000000000000000000000000001
:109F600000E0F70700004020E0FF070001000000CC
:109F70000080FF1F00000001C0FF1F000000000064
:109F800000000000000000000000000000000000D1
:109F900000000000000000000000000000000000C1
:109FA00000000000000000000000000000000000B1
:109FB00000000000000000000000000000000000A1
:109FC0000000000000000000000000000000000091
:109FD0000000000000000000000000000000000081
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A020000000000000000000000000000000000030
:10A030000000000000F0F70302000020F0F703002A
:10A04000000000000080DF1F0800008180FF1F006B
:10A0500004000000000000000000000000000000FC
:10A0600000000000000000000000000000000000F0
:10A0700000000000000000000000000000000000E0
:10A0800000000000000000000000000000000000D0
:10A0900000000000000000000000000000000000C0
:10A0A00000000000000000000000000000000000B0
:10A0B00000000000000000000000000000000000A0
:10A0C0000000000000000000000000000000000090
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A10000000000000000000000F0FF03000000203D
:10A11000F0F703808000000000C0FF0F0000000087
:10A12000C0DF0F000002000000000000000000007F
:10A13000000000000000000000000000000000001F
:10A14000000000000000000000000000000000000F
:10A1500000000000000000000000000000000000FF
:10A1600000000000000000000000000000000000EF
:10A1700000000000000000000000000000000000DF
:10A1800000000000000000000000000000000000CF
:10A1900000000000000000000000000000000000BF
:10A1A00000000000000000000000000000000000AF
:10A1B000000000000000000000000000000000009F
:10A1C000000000000000000000000000000000008F
:10A1D00000000000000000000000000000F0FF038D
:10A1E00000000000F0FF03000000000000C0FF0FAF
:10A1F00000000000C0FF0F00000000000000000091
:10A20000000000000000000000000000000000004E
:10A21000000000000000000000000000000000003E
:10A22000000000000000000000000000000000002E
:10A23000000000000000000000000000000000001E
:10A24000000000000000000000000000000000000E
:10A2500000000000000000000000000000000000FE
:10A2600000000000000000000000000000000000EE
:10A2700000000000000000000000000000000000DE
:10A2800000000000000000000000000000000000CE
:10A2900000000000000000000000000000000000BE
:10A2A00000000000000000000000000000000000AE
:10A2B00000F0FF0300004000E0FF03010100000088
:10A2C00000C0FF0F0000000080FF1F000000000022
:10A2D000000000000000000000000000000000007E
:10A2E000000000000000000000000000000000006E
:10A2F000000000000000000000000000000000005E
:10A30000000000000000000000000000000000004D
:10A31000000000000000000000000000000000003D
:10A32000000000000000000000000000000000002D
:10A33000000000000000000000000000000000001D
:10A34000000000000000000000000000000000000D
:10A3500000000000000000000000000000000000FD
:10A3600000000000000000000000000000000000ED
:10A3700000000000000000000000000000000000DD
:10A380000000000000F0FB0180000010F0FF0181E0
:10A390000000000000C0EF0704020000E0FF0F040F
:10A3A000008000000000000000000000000000002D
:10A3B000000000000000000000000000000000009D
:10A3C000000000000000000000000000000000008D
:10A3D000000000000000000000000000000000007D
:10A3E000000000000000000000000000000000006D
:10A3F000000000000000000000000000000000005D
:10A40000000000000000000000000000000000004C
:10A41000000000000000000000000000000000003C
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A44000000000000000000000000000000000000C
:10A45000000000000000000000F0FB0101000010FF
:10A46000F8FF01800020000000C0EF0F0000004056
:10A47000C0EF0F040000000000000000000000001A
:10A4800000000000000000000000000000000000CC
:10A4900000000000000000000000000000000000BC
:10A4A00000000000000000000000000000000000AC
:10A4B000000000000000000000000000000000009C
:10A4C000000000000000000000000000000000008C
:10A4D000000000000000000000000000000000007C
:10A4E000000000000000000000000000000000006C
:10A4F000000000000000000000000000000000005C
:10A50000000000000000000000000000000000004B
:10A51000000000000000000000000000000000003B
:10A5200000000000000000000000000000F0FF0339
:10A5300000000000F8FF01000020000000C0FF073D
:10A5400000000000E0FF0F0002000000000000001B
:10A5500000000000000000000000000000000000FB
:10A5600000000000000000000000000000000000EB
:10A5700000000000000000000000000000000000DB
:10A5800000000000000000000000000000000000CB
:10A5900000000000000000000000000000000000BB
:10A5A00000000000000000000000000000000000AB
:10A5B000000000000000000000000000000000009B
:10A5C000000000000000000000000000000000008B
:10A5D000000000000000000000000000000000007B
:10A5E000000000000000000000000000000000006B
:10A5F000000000000000000000000000000000005B
:10A6000000F0FB0181000000F0FB01800000000071
:10A6100000C0EF0704020000E0EF07000280000026
:10A62000000000000000000000000000000000002A
:10A63000000000000000000000000000000000001A
:10A64000000000000000000000000000000000000A
:10A6500000000000000000000000000000000000FA
:10A6600000000000000000000000000000000000EA
:10A6700000000000000000000000000000000000DA
:10A6800000000000000000000000000000000000CA
:10A6900000000000000000000000000000000000BA
:10A6A00000000000000000000000000000000000AA
:10A6B000000000000000000000000000000000009A
:10A6C000000000000000000000000000000000008A
:10A6D0000000000000E0FF0580004000F8FF01805E
:10A6E000002000000080FF1700000001E0FF1F00B5
:10A6F0000200000000000000000000000000000058
:10A700000000000000000000000000000000000049
:10A710000000000000000000000000000000000039
:10A720000000000000000000000000000000000029
:10A730000000000000000000000000000000000019
:10A740000000000000000000000000000000000009
:10A7500000000000000000000000000000000000F9
:10A7600000000000000000000000000000000000E9
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A000000000000000000000E0F707000040206B
:10A7B000F0FF0700810000000080FF1F0000008004
:10A7C00080DF1F0800000000000000000000000003
:10A7D0000000000000000000000000000000000079
:10A7E0000000000000000000000000000000000069
:10A7F0000000000000000000000000000000000059
:10A800000000000000000000000000000000000048
:10A810000000000000000000000000000000000038
:10A820000000000000000000000000000000000028
:10A830000000000000000000000000000000000018
:10A840000000000000000000000000000000000008
:10A8500000000000000000000000000000000000F8
:10A8600000000000000000000000000000000000E8
:10A8700000000000000000000000000000F0FD8368
:10A8800000000008F0FF0342A000000000C0FF0F1E
:10A890000000000180FF0F00000000000000000029
:10A8A00000000000000000000000000000000000A8
:10A8B0000000000000000000000000000000000098
:10A8C0000000000000000000000000000000000088
:10A8D0000000000000000000000000000000000078
:10A8E0000000000000000000000000000000000068
:10A8F0000000000000000000000000000000000058
:10A900000000000000000000000000000000000047
:10A910000000000000000000000000000000000037
:10A920000000000000000000000000000000000027
:10A930000000000000000000000000000000000017
:10A940000000000000000000000000000000000007
:10A9500000F0FF0300000000F0FF03000000000013
:10A9600000C0FF0F00000000C0FF0F00000000004B
:10A9700000000000000000000000000000000000D7
:10A9800000000000000000000000000000000000C7
:10A9900000000000000000000000000000000000B7
:10A9A00000000000000000000000000000000000A7
:10A9B0000000000000000000000000000000000097
:10A9C0000000000000000000000000000000000087
:10A9D0000000000000000000000000000000000077
:10A9E0000000000000000000000000000000000067
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000000000000046
:10AA10000000000000000000000000000000000036
:10AA20000000000000F0FF0300000000F0FF8300C2
:10AA30000000000000C0FF0F00000000C0FF0F007A
:10AA40000000000000000000000000000000000006
:10AA500000000000000000000000000000000000F6
:10AA600000000000000000000000000000000000E6
:10AA700000000000000000000000000000000000D6
:10AA800000000000000000000000000000000000C6
:10AA900000000000000000000000000000000000B6
:10AAA00000000000000000000000000000000000A6
:10AAB0000000000000000000000000000000000096
:10AAC0000000000000000000000000000000000086
:10AAD0000000000000000000000000000000000076
:10AAE0000000000000000000000000000000000066
:10AAF000000000000000000000F0FF030000000064
:10AB0000F0FF03000000000000C0FF0F0000000085
:10AB1000C0FF0F0000000000000000000000000067
:10AB20000000000000000000000000000000000025
:10AB30000000000000000000000000000000000015
:10AB40000000000000000000000000000000000005
:10AB500000000000000000000000000000000000F5
:10AB600000000000000000000000000000000000E5
:10AB700000000000000000000000000000000000D5
:10AB800000000000000000000000000000000000C5
:10AB900000000000000000000000000000000000B5
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000000000000000000095
:10ABC00000000000000000000000000000F0FF0393
:10ABD00000000000F0FF03000000000000C0FF0FB5
:10ABE00000000000C0FF0F00000000000000000097
:10ABF0000000000000000000000000000000000055
:10AC00000000000000000000000000000000000044
:10AC10000000000000000000000000000000000034
:10AC20000000000000000000000000000000000024
:10AC30000000000000000000000000000000000014
:10AC40000000000000000000000000000000000004
:10AC500000000000000000000000000000000000F4
:10AC600000000000000000000000000000000000E4
:10AC700000000000000000000000000000000000D4
:10AC800000000000000000000000000000000000C4
:10AC900000000000000000000000000000000000B4
:10ACA00000F0FF0300000000F0FF03400000000080
:10ACB00000C0FF0F00000000C0FF0F0000000000F8
:10ACC0000000000000000000000000000000000084
:10ACD0000000000000000000000000000000000074
:10ACE0000000000000000000000000000000000064
:10ACF0000000000000000000000000000000000054
:10AD00000000000000000000000000000000000043
:10AD10000000000000000000000000000000000033
:10AD20000000000000000000000000000000000023
:10AD30000000000000000000000000000000000013
:10AD40000000000000000000000000000000000003
:10AD500000000000000000000000000000000000F3
:10AD600000000000000000000000000000000000E3
:10AD70000000000000F0FF0300000000F0FF0300EF
:10AD80000000000000C0FF0F00000000C0FF0F0027
:10AD900000000000000000000000000000000000B3
:10ADA00000000000000000000000000000000000A3
:10ADB0000000000000000000000000000000000093
:10ADC0000000000000000000000000000000000083
:10ADD0000000000000000000000000000000000073
:10ADE0000000000000000000000000000000000063
:10ADF0000000000000000000000000000000000053
:10AE00000000000000000000000000000000000042
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE30000000000000000000000000000000000012
:10AE4000000000000000000000F0FF030000000010
:10AE5000F0FF03000000000000C0FF0F0000000032
:10AE6000C0FF0F0000000000000000000000000014
:10AE700000000000000000000000000000000000D2
:10AE800000000000000000000000000000000000C2
:10AE900000000000000000000000000000000000B2
:10AEA00000000000000000000000000000000000A2
:10AEB0000000000000000000000000000000000092
:10AEC0000000000000000000000000000000000082
:10AED0000000000000000000000000000000000072
:10AEE0000000000000000000000000000000000062
:10AEF0000000000000000000000000000000000052
:10AF00000000000000000000000000000000000041
:10AF100000000000000000000000000000F0FF033F
:10AF200000000000F0FF03000000000000C0FF0F61
:10AF300000000000C0FF0F00000000000000000043
:10AF40000000000000000000000000000000000001
:10AF500000000000000000000000000000000000F1
:10AF600000000000000000000000000000000000E1
:10AF700000000000000000000000000000000000D1
:10AF800000000000000000000000000000000000C1
:10AF900000000000000000000000000000000000B1
:10AFA00000000000000000000000000000000000A1
:10AFB0000000000000000000000000000000000091
:10AFC0000000000000000000000000000000000081
:10AFD0000000000000000000000000000000000071
:10AFE0000000000000000000000000000000000061
:10AFF00000F0FF0300000000F0FF0300000000006D
:10B0000000C0FF0F00000000C0FF0F0000000000A4
:10B010000000000000000000000000000000000030
:10B020000000000000000000000000000000000020
:10B030000000000000000000000000000000000010
:10B040000000000000000000000000000000000000
:10B0500000000000000000000000000000000000F0
:10B0600000000000000000000000000000000000E0
:10B0700000000000000000000000000000000000D0
:10B0800000000000000000000000000000000000C0
:10B0900000000000000000000000000000000000B0
:10B0A00000000000000000000000000000000000A0
:10B0B0000000000000000000000000000000000090
:10B0C0000000000000F0FF0300000000F0FF03009C
:10B0D0000000000000C0FF0F00000000C0FF0F00D4
:10B0E0000000000000000000000000000000000060
:10B0F0000000000000000000000000000000000050
:10B10000000000000000000000000000000000003F
:10B11000000000000000000000000000000000002F
:10B12000000000000000000000000000000000001F
:10B13000000000000000000000000000000000000F
:10B1400000000000000000000000000000000000FF
:10B1500000000000000000000000000000000000EF
:10B1600000000000000000000000000000000000DF
:10B1700000000000000000000000000000000000CF
:10B1800000000000000000000000000000000000BF
:10B19000000000000000000000F0FF0300000000BD
:10B1A000F0FF43000000000000C0FF0F000000009F
:10B1B000C0FF0F00000000000000000000000000C1
:10B1C000000000000000000000000000000000007F
:10B1D000000000000000000000000000000000006F
:10B1E000000000000000000000000000000000005F
:10B1F000000000000000000000000000000000004F
:10B20000000000000000000000000000000000003E
:10B21000000000000000000000000000000000002E
:10B22000000000000000000000000000000000001E
:10B23000000000000000000000000000000000000E
:10B2400000000000000000000000000000000000FE
:10B2500000000000000000000000000000000000EE
:10B2600000000000000000000000000000F0FF03EC
:10B2700000000000F0FF03000000000000C0FF0F0E
:10B2800000000000C0FF0F000000000000000000F0
:10B2900000000000000000000000000000000000AE
:10B2A000000000000000000000000000000000009E
:10B2B000000000000000000000000000000000008E
:10B2C000000000000000000000000000000000007E
:10B2D000000000000000000000000000000000006E
:10B2E000000000000000000000000000000000005E
:10B2F000000000000000000000000000000000004E
:10B30000000000000000000000000000000000003D
:10B31000000000000000000000000000000000002D
:10B32000000000000000000000000000000000001D
:10B33000000000000000000000000000000000000D
:10B3400000F0FF0300000000F0FF03000000000019
:10B3500000C0FF0F00000000C0FF0F000000000051
:10B3600000000000000000000000000000000000DD
:10B3700000000000000000000000000000000000CD
:10B3800000000000000000000000000000000000BD
:10B3900000000000000000000000000000000000AD
:10B3A000000000000000000000000000000000009D
:10B3B000000000000000000000000000000000008D
:10B3C000000000000000000000000000000000007D
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B40000000000000000000000000000000000003C
:10B410000000000000F0FF0300000000F0FF032028
:10B420000000000000C0FF0F00000000C0FF0F0080
:10B43000000000000000000000000000000000000C
:10B4400000000000000000000000000000000000FC
:10B4500000000000000000000000000000000000EC
:10B4600000000000000000000000000000000000DC
:10B4700000000000000000000000000000000000CC
:10B4800000000000000000000000000000000000BC
:10B4900000000000000000000000000000000000AC
:10B4A000000000000000000000000000000000009C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000F0FF03000000006A
:10B4F000F0FF03000000000000C0FF0F000000008C
:10B50000C0FF0F000000000000000000000000006D
:10B51000000000000000000000000000000000002B
:10B52000000000000000000000000000000000001B
:10B53000000000000000000000000000000000000B
:10B5400000000000000000000000000000000000FB
:10B5500000000000000000000000000000000000EB
:10B5600000000000000000000000000000000000DB
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B5900000000000000000000000000000000000AB
:10B5A000000000000000000000000000000000009B
:10B5B00000000000000000000000000000F0FF0399
:10B5C00000000000F0FF03000000000000C0FF0FBB
:10B5D00000000000C0FF0F0000000000000000009D
:10B5E000000000000000000000000000000000005B
:10B5F000000000000000000000000000000000004B
:10B60000000000000000000000000000000000003A
:10B61000000000000000000000000000000000002A
:10B62000000000000000000000000000000000001A
:10B63000000000000000000000000000000000000A
:10B6400000000000000000000000000000000000FA
:10B6500000000000000000000000000000000000EA
:10B6600000000000000000000000000000000000DA
:10B6700000000000000000000000000000000000CA
:10B6800000000000000000000000000000000000BA
:10B6900000F0780000000000F078000000000000DA
:10B6A00000C0E30100000000C0E301000000000052
:10B6B000000000000000000000000000000000008A
:10B6C000000000000000000000000000000000007A
:10B6D000000000000000000000000000000000006A
:10B6E000000000000000000000000000000000005A
:10B6F000000000000000000000000000000000004A
:10B700000000000000000000000000000000000039
:10B710000000000000000000000000000000000029
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B7400000000000000000000000000000000000F9
:10B7500000000000000000000000000000000000E9
:10B760000000000000F0780000000000F078000009
:10B770000000000000C0E30100000000C0E3010081
:10B7800000000000000000000000000000000000B9
:10B7900000000000000000000000000000000000A9
:10B7A0000000000000000000000000000000000099
:10B7B0000000000000000000000000000000000089
:10B7C0000000000000000000000000000000000079
:10B7D0000000000000000000000000000000000069
:10B7E0000000000000000000000000000000000059
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000000000000000028
:10B820000000000000000000000000000000000018
:10B83000000000000000000000F0780000000000A0
:10B84000F07800000000000000C0E30100000000EC
:10B85000C0E3010000000000000000000000000044
:10B8600000000000000000000000000000000000D8
:10B8700000000000000000000000000000000000C8
:10B8800000000000000000000000000000000000B8
:10B8900000000000000000000000000000000000A8
:10B8A0000000000000000000000000000000000098
:10B8B0000000000000000000000000000000000088
:10B8C0000000000000000000000000000000000078
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000000058
:10B8F0000000000000000000000000000000000048
:10B9000000000000000000000000000000F07800CF
:10B9100000000000F07820000000000000C0E301FB
:10B9200000000000C0E30100000000000000000073
:10B930000000000000000000000000000000000007
:10B9400000000000000000000000000000000000F7
:10B9500000000000000000000000000000000000E7
:10B9600000000000000000000000000000000000D7
:10B9700000000000000000000000000000000000C7
:10B9800000000000000000000000000000000000B7
:10B9900000000000000000000000000000000000A7
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000000000000000077
:10B9D0000000000000000000000000000000000067
:10B9E0000000000000000000000000000000000057
:10B9F0000000000000000000000000000000000047
:10BA00000000000000000000000000000000000036
:10BA10000000000000000000000000000000000026
:10BA20000000000000000000000000000000000016
:10BA30000000000000000000000000000000000006
:10BA400000000000000000000000000000000000F6
:10BA500000000000000000000000000000000000E6
:10BA600000000000000000000000000000000000D6
:10BA700000000000000000000000000000000000C6
:10BA800000000000000000000000000000000000B6
:10BA900000000000000000000000000000000000A6
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC0000000000000000000000000000000000076
:10BAD0000000000000000000000000000000000066
:10BAE0000000000000000000000000000000000056
:10BAF0000000000000000000000000000000000046
:10BB00000000000000000000000000000000000035
:10BB10000000000000000000000000000000000025
:10BB20000000000000000000000000000000000015
:10BB30000000000000000000000000000000000005
:10BB400000000000000000000000000000000000F5
:10BB500000000000000000000000000000000000E5
:10BB600000000000000000000000000000000000D5
:10BB700000000000000000000000000000000000C5
:10BB800000000000000000000000000000000000B5
:10BB900000000000000000000000000000000000A5
:10BBA0000000000000000000000000000000000095
:10BBB0000000000000000000000000000000000085
:10BBC0000000000000000000000000000000000075
:10BBD0000000000000000000000000000000000065
:10BBE0000000000000000000000000000000000055
:10BBF0000000000000000000000000000000000045
:10BC00000000000000000000000000000000000034
:10BC10000000000000000000000000000000000024
:10BC20000000000000000000000000000000000014
:10BC30000000000000000000000000000000000004
:10BC400000000000000000000000000000000000F4
:10BC500000000000000000000000000000000000E4
:10BC600000000000000000000000000000000000D4
:10BC700000000000000000000000000000000000C4
:10BC800000000000000000000000000000000000B4
:10BC900000000000000000000000000000000000A4
:10BCA0000000000000000000000000000000000094
:10BCB0000000000000000000000000000000000084
:10BCC0000000000000000000000000000000000074
:10BCD0000000000000000000000000000000000064
:10BCE0000000000000000000000000000000000054
:10BCF0000000000000000000000000000000000044
:10BD00000000000000000000000000000000000033
:10BD10000000000000000000000000000000000023
:10BD20000000000000000000000000000000000013
:10BD30000000000000000000000000000000000003
:10BD400000000000000000000000000000000000F3
:10BD500000000000000000000000000000000000E3
:10BD600000000000000000000000000000000000D3
:10BD700000000000000000000000000000000000C3
:10BD800000000000000000000000000000000000B3
:10BD900000000000000000000000000000000000A3
:10BDA0000000000000000000000000000000000093
:10BDB0000000000000000000000000000000000083
:10BDC0000000000000000000000000000000000073
:10BDD0000000000000000000000000000000000063
:10BDE0000000000000000000000000000000000053
:10BDF0000000000000000000000000000000000043
:10BE00000000000000000000000000000000000032
:10BE10000000000000000000000000000000000022
:10BE20000000000000000000000000000000000012
:10BE30000000000000000000000000000000000002
:10BE400000000000000000000000000000000000F2
:10BE500000000000000000000000000000000000E2
:10BE600000000000000000000000000000000000D2
:10BE700000000000000000000000000000000000C2
:10BE800000000000000000000000000000000000B2
:10BE900000000000000000000000000000000000A2
:10BEA0000000000000000000000000000000000092
:10BEB0000000000000000000000000000000000082
:10BEC0000000000000000000000000000000000072
:10BED0000000000000000000000000000000000062
:10BEE0000000000000000000000000000000000052
:10BEF0000000000000000000000000000000000042
:10BF00000000000000000000000000000000000031
:10BF10000000000000000000000000000000000021
:10BF20000000000000000000000000000000000011
:10BF30000000000000000000000000000000000001
:10BF400000000000000000000000000000000000F1
:10BF500000000000000000000000000000000000E1
:10BF600000000000000000000000000000000000D1
:10BF700000000000000000000000000000000000C1
:10BF800000000000000000000000000000000000B1
:10BF900000000000000000000000000000000000A1
:10BFA0000000000000000000000000000000000091
:10BFB0000000000000000000000000000000000081
:10BFC0000000000000000000000000000000000071
:10BFD0000000000000000000000000000000000061
:10BFE0000000000000000000000000000000000051
:10BFF0000000000000000000000000000000000041
:10C000000000000000000000000000000000000030
:10C010000000000000000000000000000000000020
:10C020000000000000000000000000000000000010
:10C030000000000000000000000000000000000000
:10C0400000000000000000000000000000000000F0
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000000000D0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C0900000000000000000000000000000000000A0
:10C0A0000000000000000000000000000000000090
:10C0B0000000000000000000000000000000000080
:10C0C0000000000000000000000000000000000070
:10C0D0000000000000000000000000000000000060
:10C0E0000000000000000000000000000000000050
:10C0F0000000000000000000000000000000000040
:10C10000000000000000000000000000000000002F
:10C11000000000000000000000000000000000001F
:10C12000000000000000000000000000000000000F
:10C1300000000000000000000000000000000000FF
:10C1400000000000000000000000000000000000EF
:10C1500000000000000000000000000000000000DF
:10C1600000000000000000000000000000000000CF
:10C1700000000000000000000000000000000000BF
:10C1800000000000000000000000000000000000AF
:10C19000000000000000000000000000000000009F
:10C1A000000000000000000000000000000000008F
:10C1B000000000000000000000000000000000007F
:10C1C000000000000000000000000000000000006F
:10C1D000000000000000000000000000000000005F
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C20000000000000000000000000000000000002E
:10C21000000000000000000000000000000000001E
:10C22000000000000000000000000000000000000E
:10C2300000000000000000000000000000000000FE
:10C2400000000000000000000000000000000000EE
:10C2500000000000000000000000000000000000DE
:10C2600000000000000000000000000000000000CE
:10C2700000000000000000000000000000000000BE
:10C2800000000000000000000000000000000000AE
:10C29000000000000000000000000000000000009E
:10C2A000000000000000000000000000000000008E
:10C2B000000000000000000000000000000000007E
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000000000000000000000000000000004E
:10C2F000000000000000000000000000000000003E
:10C30000000000000000000000000000000000002D
:10C31000000000000000000000000000000000001D
:10C32000000000000000000000000000000000000D
:10C3300000000000000000000000000000000000FD
:10C3400000000000000000000000000000000000ED
:10C3500000000000000000000000000000000000DD
:10C3600000000000000000000000000000000000CD
:10C3700000000000000000000000000000000000BD
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B000000000000000000000000000000000007D
:10C3C000000000000000000000000000000000006D
:10C3D000000000000000000000000000000000005D
:10C3E000000000000000000000000000000000004D
:10C3F000000000000000000000000000000000003D
:10C40000000000000000000000000000000000002C
:10C41000000000000000000000000000000000001C
:10C42000000000000000000000000000000000000C
:10C4300000000000000000000000000000000000FC
:10C4400000000000000000000000000000000000EC
:10C4500000000000000000000000000000000000DC
:10C4600000000000000000000000000000000000CC
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B000000000000000000000000000000000007C
:10C4C000000000000000000000000000000000006C
:10C4D000000000000000000000000000000000005C
:10C4E000000000000000000000000000000000004C
:10C4F000000000000000000000000000000000003C
:10C50000000000000000000000000000000000002B
:10C51000000000000000000000000000000000001B
:10C52000000000000000000000000000000000000B
:10C5300000000000000000000000000000000000FB
:10C5400000000000000000000000000000000000EB
:10C5500000000000000000000000000000000000DB
:10C5600000000000000000000000000000000000CB
:10C5700000000000000000000000000000000000BB
:10C5800000000000000000000000000000000000AB
:10C59000000000000000000000000000000000009B
:10C5A000000000000000000000000000000000008B
:10C5B000000000000000000000000000000000007B
:10C5C000000000000000000000000000000000006B
:10C5D000000000000000000000000000000000005B
:10C5E000000000000000000000000000000000004B
:10C5F000000000000000000000000000000000003B
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000000000000000FA
:10C6400000000000000000000000000000000000EA
:10C6500000000000000000000000000000000000DA
:10C6600000000000000000000000000000000000CA
:10C6700000000000000000000000000000000000BA
:10C6800000000000000000000000000000000000AA
:10C69000000000000000000000000000000000009A
:10C6A000000000000000000000000000000000008A
:10C6B000000000000000000000000000000000007A
:10C6C000000000000000000000000000000000006A
:10C6D000000000000000000000000000000000005A
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C700000000000000000000000000000000000029
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000009
:10C7300000000000000000000000000000000000F9
:10C7400000000000000000000000000000000000E9
:10C7500000000000000000000000000000000000D9
:10C7600000000000000000000000000000000000C9
:10C7700000000000000000000000000000000000B9
:10C7800000000000000000000000000000000000A9
:10C790000000000000000000000000000000000099
:10C7A0000000000000000000000000000000000089
:10C7B0000000000000000000000000000000000079
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000000000000000000000000000000049
:10C7F0000000000000000000000000000000000039
:10C800000000000000000000000000000000000028
:10C810000000000000000000000000000000000018
:10C820000000000000000000000000000000000008
:10C8300000000000000000000000000000000000F8
:10C8400000000000000000000000000000000000E8
:10C8500000000000000000000000000000000000D8
:10C8600000000000000000000000000000000000C8
:10C8700000000000000000000000000000000000B8
:10C8800000000000000000000000000000000000A8
:10C890000000000000000000000000000000000098
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000000000000000000000078
:10C8C0000000000000000000000000000000000068
:10C8D0000000000000000000000000000000000058
:10C8E0000000000000000000000000000000000048
:10C8F0000000000000000000000000000000000038
:10C900000000000000000000000000000000000027
:10C910000000000000000000000000000000000017
:10C920000000000000000000000000000000000007
:10C9300000000000000000000000000000000000F7
:10C9400000000000000000000000000000000000E7
:10C9500000000000000000000000000000000000D7
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C990000000000000000000000000000000000097
:10C9A0000000000000000000000000000000000087
:10C9B0000000000000000000000000000000000077
:10C9C0000000000000000000000000000000000067
:10C9D0000000000000000000000000000000000057
:10C9E0000000000000000000000000000000000047
:10C9F0000000000000000000000000000000000037
:10CA00000000000000000000000000000000000026
:10CA10000000000000000000000000000000000016
:10CA20000000000000000000000000000000000006
:10CA300000000000000000000000000000000000F6
:10CA400000000000000000000000000000000000E6
:10CA500000000000000000000000000000000000D6
:10CA600000000000000000000000000000000000C6
:10CA700000000000000000000000000000000000B6
:10CA800000000000000000000000000000000000A6
:10CA90000000000000000000000000000000000096
:10CAA0000000000000000000000000000000000086
:10CAB0000000000000000000000000000000000076
:10CAC0000000000000000000000000000000000066
:10CAD0000000000000000000000000000000000056
:10CAE0000000000000000000000000000000000046
:10CAF0000000000000000000000000000000000036
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB500000000000000000000000000000000000D5
:10CB600000000000000000000000000000000000C5
:10CB700000000000000000000000000000000000B5
:10CB800000000000000000000000000000000000A5
:10CB90000000000000000000000000000000000095
:10CBA0000000000000000000000000000000000085
:10CBB0000000000000000000000000000000000075
:10CBC0000000000000000000000000000000000065
:10CBD0000000000000000000000000000000000055
:10CBE0000000000000000000000000000000000045
:10CBF0000000000000000000000000000000000035
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC20000000000000000000000000000000000004
:10CC300000000000000000000000000000000000F4
:10CC400000000000000000000000000000000000E4
:10CC500000000000000000000000000000000000D4
:10CC600000000000000000000000000000000000C4
:10CC700000000000000000000000000000000000B4
:10CC800000000000000000000000000000000000A4
:10CC90000000000000000000000000000000000094
:10CCA0000000000000000000000000000000000084
:10CCB0000000000000000000000000000000000074
:10CCC0000000000000000000000000000000000064
:10CCD0000000000000000000000000000000000054
:10CCE0000000000000000000000000000000000044
:10CCF0000000000000000000000000000000000034
:10CD00000000000000000000000000000000000023
:10CD10000000000000000000000000000000000013
:10CD20000000000000000000000000000000000003
:10CD300000000000000000000000000000000000F3
:10CD400000000000000000000000000000000000E3
:10CD500000000000000000000000000000000000D3
:10CD600000000000000000000000000000000000C3
:10CD700000000000000000000000000000000000B3
:10CD800000000000000000000000000000000000A3
:10CD90000000000000000000000000000000000093
:10CDA0000000000000000000000000000000000083
:10CDB0000000000000000000000000000000000073
:10CDC0000000000000000000000000000000000063
:10CDD0000000000000000000000000000000000053
:10CDE0000000000000000000000000000000000043
:10CDF0000000000000000000000000000000000033
:10CE00000000000000000000000000000000000022
:10CE10000000000000000000000000000000000012
:10CE20000000000000000000000000000000000002
:10CE300000000000000000000000000000000000F2
:10CE400000000000000000000000000000000000E2
:10CE500000000000000000000000000000000000D2
:10CE600000000000000000000000000000000000C2
:10CE700000000000000000000000000000000000B2
:10CE800000000000000000000000000000000000A2
:10CE90000000000000000000000000000000000092
:10CEA0000000000000000000000000000000000082
:10CEB0000000000000000000000000000000000072
:10CEC0000000000000000000000000000000000062
:10CED0000000000000000000000000000000000052
:10CEE0000000000000000000000000000000000042
:10CEF0000000000000000000000000000000000032
:10CF00000000000000000000000000000000000021
:10CF10000000000000000000000000000000000011
:10CF20000000000000000000000000000000000001
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF500000000000000000000000000000000000D1
:10CF600000000000000000000000000000000000C1
:10CF700000000000000000000000000000000000B1
:10CF800000000000000000000000000000000000A1
:10CF90000000000000000000000000000000000091
:10CFA0000000000000000000000000000000000081
:10CFB0000000000000000000000000000000000071
:10CFC0000000000000000000000000000000000061
:10CFD0000000000000000000000000000000000051
:10CFE0000000000000000000000000000000000041
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000000000020
:10D010000000000000000000000000000000000010
:10D020000000000000000000000000000000000000
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000000000000000000E0
:10D0500000000000000000000000000000000000D0
:10D0600000000000000000000000000000000000C0
:10D0700000000000000000000000000000000000B0
:10D0800000000000000000000000000000000000A0
:10D090000000000000000000000000000000000090
:10D0A0000000000000000000000000000000000080
:10D0B0000000000000000000000000000000000070
:10D0C0000000000000000000000000000000000060
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000000000000001F
:10D11000000000000000000000000000000000000F
:10D1200000000000000000000000000000000000FF
:10D1300000000000000000000000000000000000EF
:10D1400000000000000000000000000000000000DF
:10D1500000000000000000000000000000000000CF
:10D1600000000000000000000000000000000000BF
:10D1700000000000000000000000000000000000AF
:10D18000000000000000000000000000000000009F
:10D19000000000000000000000000000000000008F
:10D1A000000000000000000000000000000000007F
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D000000000000000000000000000000000004F
:10D1E000000000000000000000000000000000003F
:10D1F000000000000000000000000000000000002F
:10D20000000000000000000000000000000000001E
:10D21000000000000000000000000000000000000E
:10D2200000000000000000000000000000000000FE
:10D2300000000000000000000000000000000000EE
:10D2400000000000000000000000000000000000DE
:10D2500000000000000000000000000000000000CE
:10D2600000000000000000000000000000000000BE
:10D2700000000000000000000000000000000000AE
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A000000000000000000000000000000000007E
:10D2B000000000000000000000000000000000006E
:10D2C000000000000000000000000000000000005E
:10D2D000000000000000000000000000000000004E
:10D2E000000000000000000000000000000000003E
:10D2F000000000000000000000000000000000002E
:10D30000000000000000000000000000000000001D
:10D31000000000000000000000000000000000000D
:10D3200000000000000000000000000000000000FD
:10D3300000000000000000000000000000000000ED
:10D3400000000000000000000000000000000000DD
:10D3500000000000000000000000000000000000CD
:10D3600000000000000000000000000000000000BD
:10D3700000000000000000000000000000000000AD
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000000008D
:10D3A000000000000000000000000000000000007D
:10D3B000000000000000000000000000000000006D
:10D3C000000000000000000000000000000000005D
:10D3D000000000000000000000000000000000004D
:10D3E000000000000000000000000000000000003D
:10D3F000000000000000000000000000000000002D
:10D40000000000000000000000000000000000001C
:10D41000000000000000000000000000000000000C
:10D4200000000000000000000000000000000000FC
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000000000000000000000000000000000AC
:10D48000000000000000000000000000000000009C
:10D49000000000000000000000000000000000008C
:10D4A000000000000000000000000000000000007C
:10D4B000000000000000000000000000000000006C
:10D4C000000000000000000000000000000000005C
:10D4D000000000000000000000000000000000004C
:10D4E000000000000000000000000000000000003C
:10D4F000000000000000000000000000000000002C
:10D50000000000000000000000000000000000001B
:10D51000000000000000000000000000000000000B
:10D5200000000000000000000000000000000000FB
:10D5300000000000000000000000000000000000EB
:10D5400000000000000000000000000000000000DB
:10D5500000000000000000000000000000000000CB
:10D5600000000000000000000000000000000000BB
:10D5700000000000000000000000000000000000AB
:10D58000000000000000000000000000000000009B
:10D59000000000000000000000000000000000008B
:10D5A000000000000000000000000000000000007B
:10D5B000000000000000000000000000000000006B
:10D5C000000000000000000000000000000000005B
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D61000000000000000000000000000000000000A
:10D6200000000000000000000000000000000000FA
:10D6300000000000000000000000000000000000EA
:10D6400000000000000000000000000000000000DA
:10D6500000000000000000000000000000000000CA
:10D6600000000000000000000000000000000000BA
:10D6700000000000000000000000000000000000AA
:10D68000000000000000000000000000000000009A
:10D69000000000000000000000000000000000008A
:10D6A000000000000000000000000000000000007A
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000003A
:10D6F000000000000000000000000000000000002A
:10D700000000000000000000000000000000000019
:10D710000000000000000000000000000000000009
:10D7200000000000000000000000000000000000F9
:10D7300000000000000000000000000000000000E9
:10D7400000000000000000000000000000000000D9
:10D7500000000000000000000000000000000000C9
:10D7600000000000000000000000000000000000B9
:10D7700000000000000000000000000000000000A9
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B0000000000000000000000000000000000069
:10D7C0000000000000000000000000000000000059
:10D7D0000000000000000000000000000000000049
:10D7E0000000000000000000000000000000000039
:10D7F0000000000000000000000000000000000029
:10D800000000000000000000000000000000000018
:10D810000000000000000000000000000000000008
:10D8200000000000000000000000000000000000F8
:10D8300000000000000000000000000000000000E8
:10D8400000000000000000000000000000000000D8
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000000000000000000000000088
:10D8A0000000000000000000000000000000000078
:10D8B0000000000000000000000000000000000068
:10D8C0000000000000000000000000000000000058
:10D8D0000000000000000000000000000000000048
:10D8E0000000000000000000000000000000000038
:10D8F0000000000000000000000000000000000028
:10D900000000000000000000000000000000000017
:10D910000000000000000000000000000000000007
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000000000000000000000C7
:10D9600000000000000000000000000000000000B7
:10D9700000000000000000000000000000000000A7
:10D980000000000000000000000000000000000097
:10D990000000000000000000000000000000000087
:10D9A0000000000000000000000000000000000077
:10D9B0000000000000000000000000000000000067
:10D9C0000000000000000000000000000000000057
:10D9D0000000000000000000000000000000000047
:10D9E0000000000000000000000000000000000037
:10D9F0000000000000000000000000000000000027
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA300000000000000000000000000000000000E6
:10DA400000000000000000000000000000000000D6
:10DA500000000000000000000000000000000000C6
:10DA600000000000000000000000000000000000B6
:10DA700000000000000000000000000000000000A6
:10DA80000000000000000000000000000000000096
:10DA90000000000000000000000000000000000086
:10DAA0000000000000000000000000000000000076
:10DAB0000000000000000000000000000000000066
:10DAC0000000000000000000000000000000000056
:10DAD0000000000000000000000000000000000046
:10DAE0000000000000000000000000000000000036
:10DAF0000000000000000000000000000000000026
:10DB00000000000000000000000000000000000015
:10DB10000000000000000000000000000000000005
:10DB200000000000000000000000000000000000F5
:10DB300000000000000000000000000000000000E5
:10DB400000000000000000000000000000000000D5
:10DB500000000000000000000000000000000000C5
:10DB600000000000000000000000000000000000B5
:10DB700000000000000000000000000000000000A5
:10DB80000000000000000000000000000000000095
:10DB90000000000000000000000000000000000085
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC0000000000000000000000000000000000055
:10DBD0000000000000000000000000000000000045
:10DBE0000000000000000000000000000000000035
:10DBF0000000000000000000000000000000000025
:10DC00000000000000000000000000000000000014
:10DC10000000000000000000000000000000000004
:10DC200000000000000000000000000000000000F4
:10DC300000000000000000000000000000000000E4
:10DC400000000000000000000000000000000000D4
:10DC500000000000000000000000000000000000C4
:10DC600000000000000000000000000000000000B4
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000000000000000000094
:10DC90000000000000000000000000000000000084
:10DCA0000000000000000000000000000000000074
:10DCB0000000000000000000000000000000000064
:10DCC0000000000000000000000000000000000054
:10DCD0000000000000000000000000000000000044
:10DCE0000000000000000000000000000000000034
:10DCF0000000000000000000000000000000000024
:10DD00000000000000000000000000000000000013
:10DD10000000000000000000000000000000000003
:10DD200000000000000000000000000000000000F3
:10DD300000000000000000000000000000000000E3
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000000000000000000000000000B3
:10DD700000000000000000000000000000000000A3
:10DD80000000000000000000000000000000000093
:10DD90000000000000000000000000000000000083
:10DDA0000000000000000000000000000000000073
:10DDB0000000000000000000000000000000000063
:10DDC0000000000000000000000000000000000053
:10DDD0000000000000000000000000000000000043
:10DDE0000000000000000000000000000000000033
:10DDF0000000000000000000000000000000000023
:10DE00000000000000000000000000000000000012
:10DE10000000000000000000000000000000000002
:10DE200000000000000000000000000000000000F2
:10DE300000000000000000000000000000000000E2
:10DE40000000000000000000000000000000E3F1FE
:10DE5000783C1E8FC7E3F1783C1E8FC7E3318CC737
:10DE6000E3F1783C1E8FC7E3F1783C1E8FC70000BA
:10DE700000000000000000000000000000000000A2
:10DE80000000000000000000000000000000000092
:10DE90000000000000000000000000000000000082
:10DEA0000000000000000000000000000000000072
:10DEB0000000000000000000000000000000000062
:10DEC0000000000000000000000000000000000052
:10DED0000000000000000000000000000000000042
:10DEE0000000000000000000000000000000000032
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF10000000000000000000000000000000000001
:10DF200000000000000000000000000000000000F1
:10DF300014000028000000000000000000000000A5
:10DF400000000000000000000000000000000000D1
:10DF500000000000000000000000000000000000C1
:10DF600000000000000000000000000000000000B1
:10DF700000000000000000000000000000000000A1
:10DF80000000000000000000000000000000000091
:10DF90000000000000000000000000000000000081
:10DFA0000000000000000000000000000000000071
:10DFB0000000000000000000000000000000000061
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000000000000000000000000031
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000000000010
:10E010000000000000000000000000000000000000
:10E0200000000000000000000000000000000000F0
:10E0300000000000000000000000000000000000E0
:10E0400000000000000000000000000000000000D0
:10E0500000000000000000000000000000000000C0
:10E0600000000000000000000000000000000000B0
:10E0700000000000000000000000000000000000A0
:10E080000000000000000000000000000000000090
:10E090000000000000000000000000000000000080
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000000000000000000060
:10E0C0000000000000000000000000000000000050
:10E0D0000000000000000000000000000000000040
:10E0E0000000000000000000000000000000000030
:10E0F0000000000000000000000000000000000020
:10E10000000000000000000000000000000000000F
:10E1100000000000000000000000000000000000FF
:10E1200000000000000000000000000000000000EF
:10E1300000000000000000000000000000000000DF
:10E1400000000000000000000000000000000000CF
:10E1500000000000000000000000000000000000BF
:10E1600000000000000000000000000000000000AF
:10E17000000000000000000000000000000000009F
:10E18000000000000000000000000000000000008F
:10E19000000000000000000000000000000000007F
:10E1A000000000000000000000000000100000302F
:10E1B0000A00004004000000000000000000000011
:10E1C000000000000000000000000000000000004F
:10E1D000000000000000000000000000000000003F
:10E1E000000000000000000000000000000000002F
:10E1F000000000000000000000000000000000001F
:10E20000000000000000000000000000000000000E
:10E2100000000000000000000000000000000000FE
:10E2200000000000000000000000000000000000EE
:10E2300000000000000000000000000000000000DE
:10E2400000000000000000000000000000000000CE
:10E2500000000000000000000000000000000000BE
:10E2600000000000000000000000000000000000AE
:10E27000000000000000000000000000000000009E
:10E28000002301280000000214C00000000000006C
:10E29000000000000000000000000000000000007E
:10E2A000000000000000000000000000000000006E
:10E2B000000000000000000000000000000000005E
:10E2C000000000000000000000000000000000004E
:10E2D000000000000000000000000000000000003E
:10E2E000000000000000000000000000000000002E
:10E2F000000000000000000000000000000000001E
:10E30000000000000000000000000000000000000D
:10E3100000000000000000000000000000000000FD
:10E3200000000000000000000000000000000000ED
:10E3300000000000000000000000000000000000DD
:10E3400000000000000000000000000000000000CD
:10E3500000000000000000000000000000000000BD
:10E3600000000000000000000000000000000000AD
:10E37000000000000000000000000000000000009D
:10E38000000000000000000000000000000000008D
:10E39000000000000000000000000000000000007D
:10E3A000000000000000000000000000000000006D
:10E3B000000000000000000000000000000000005D
:10E3C000000000000000000000000000000000004D
:10E3D000000000000000000000000000000000003D
:10E3E000000000000000000000000000000000002D
:10E3F000000000000000000000000000000000001D
:10E40000000000000000000000000000000000000C
:10E4100000000000000000000000000000000000FC
:10E4200000000000000000000000000000000000EC
:10E4300000000000000000000000000000000000DC
:10E4400000000000000000000000000000000000CC
:10E4500000000000000000000000000000000000BC
:10E4600000000000000000000000000000000000AC
:10E47000000000000000000000000000000000009C
:10E48000000000000000000000000000000000008C
:10E49000000000000000000000000000000000007C
:10E4A000000000000000000000000000000000006C
:10E4B000000000000000000000000000000000005C
:10E4C000000000000000000000000000000000004C
:10E4D000000000000000000000000000000000003C
:10E4E000000000000000000000000000000000002C
:10E4F0000000000000480C000000000000480C80F4
:10E50000010000800248008001000040320000004D
:10E5100000000000000000000000000000000000FB
:10E5200000000000000000000000000000000000EB
:10E5300000000000000000000000000000000000DB
:10E5400000000000000000000000000000000000CB
:10E5500000000000000000000000000000000000BB
:10E5600000000000000000000000000000000000AB
:10E57000000000000000000000000000000000009B
:10E58000000000000000000000000000000000008B
:10E59000000000000000000000000000000000007B
:10E5A000000000000000000000000000000000006B
:10E5B000000000000000000000000000000000005B
:10E5C000000000000000000000000100000000004A
:10E5D0000000010000000000000000000800000032
:10E5E000000000000000000000000000000000002B
:10E5F000000000000000000000000000000000001B
:10E60000000000000000000000000000000000000A
:10E6100000000000000000000000000000000000FA
:10E6200000000000000000000000000000000000EA
:10E6300000000000000000000000000000000000DA
:10E6400000000000000000000000000000000000CA
:10E6500000000000000000000000000000000000BA
:10E6600000000000000000000000000000000000AA
:10E67000000000000000000000000000000000009A
:10E68000000000000000000000000000000000008A
:10E690000000000000000000000000000000080072
:10E6A0000000000000000800030000400100080016
:10E6B0000000000002000000000000000000000058
:10E6C000000000000000000000000000000000004A
:10E6D000000000000000000000000000000000003A
:10E6E000000000000000000000000000000000002A
:10E6F000000000000000000000000000000000001A
:10E700000000000000000000000000000000000009
:10E7100000000000000000000000000000000000F9
:10E7200000000000000000000000000000000000E9
:10E7300000000000000000000000000000000000D9
:10E7400000000000000000000000000000000000C9
:10E7500000000000000000000000000000000000B9
:10E7600000000000000000000000000000000000A9
:10E770000000000000000000000000000000000099
:10E780000000004000000000000000000000000049
:10E790000000000000000000000000000000000079
:10E7A0000000000000000000000000000000000069
:10E7B0000000000000000000000000000000000059
:10E7C0000000000000000000000000000000000049
:10E7D0000000000000000000000000000000000039
:10E7E0000000000000000000000000000000000029
:10E7F0000000000000000000000000000000000019
:10E800000000000000000000000000000000000008
:10E8100000000000000000000000000000000000F8
:10E8200000000000000000000000000000000000E8
:10E8300000000000000000000000000000000000D8
:10E840000000000000080800000000000008088028
:10E8500000000000000808C0010000800100000066
:10E8600000000000000000000000000000000000A8
:10E870000000000000000000000000000000000098
:10E880000000000000000000000000000000000088
:10E890000000000000000000000000000000000078
:10E8A0000000000000000000000000000000000068
:10E8B0000000000000000000000000000000000058
:10E8C0000000000000000000000000000000000048
:10E8D0000000000000000000000000000000000038
:10E8E0000000000000000000000000000000000028
:10E8F0000000000000080000000000000008000800
:10E900000000000000000000000000000000800087
:10E9100080008000200080008000800000008000D7
:10E920008000000120002100000000000000000025
:10E9300000000000000000000000000000000000D7
:10E9400000000000000008000000000000000000BF
:10E9500000000000000000000000000000000000B7
:10E96000000000000000000000000000080000009F
:10E97000000800000000000000000000000000008F
:10E980000000000000000000000000000000000087
:10E990000000000000000000000000000000000077
:10E9A000000000000000000000080000000000005F
:10E9B0000000000000000000000000000000000057
:10E9C000000000000000000000080000000000003F
:10E9D0000008000C00000000000000000000000023
:10E9E0000000000000000000000000000000000027
:10E9F00000000000000000000000000000000070A7
:10EA00000000000070000000000000000000000096
:10EA100000000000000000000000080000000000EE
:10EA200000000000000000000000000000000000E6
:10EA300000000000000000000000000000000000D6
:10EA40000C000000000800000000000000000000B2
:10EA500000000000000000000000000000000000B6
:10EA600000000000000000000000000000000000A6
:10EA7000000000000000000000000000000800008E
:10EA80000000000000000000000000000000000086
:10EA9000080000000000000000000000000000006E
:10EAA0000000000000000000000000000000000066
:10EAB00000000000000010001000100020001000F6
:10EAC00010001000000010001000000C30001C00AE
:10EAD0000E00000000000000000000000000000028
:10EAE0000000000000000000000000000000000026
:10EAF0000000000000000000000000000000000016
:10EB00000000000000000000000000000000000005
:10EB100000000000000000000000000000000000F5
:10EB200000000000000000000000000000000000E5
:10EB300000000000000000000000000000000000D5
:10EB400000000000000000000000000000000000C5
:10EB500000000000000000000000000000000000B5
:10EB600000000000000000000000000000000000A5
:10EB70000000000000000000000000000000000095
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000000000000075
:10EBA0000000000000000000000000000004000061
:10EBB0000000000000000000000000000000000055
:10EBC0000000000000000000000000000000000045
:10EBD0000000000000000000000000000000000035
:10EBE0000000000000000000000000000000000025
:10EBF0000000000000000000000000000000000015
:10EC00000000000000000000000000000000000004
:10EC100000000000000000000000000000000000F4
:10EC200000000000000000000000000000000000E4
:10EC300000000000000000000000000000000000D4
:10EC400000000000000000000000000000000000C4
:10EC500000000000000000000000000000000000B4
:10EC600000000000000000000000000000000000A4
:10EC70000000000004000000040000000401000087
:10EC80000001000000000000000000000000000083
:10EC90000000000000000000000000000000000074
:10ECA0000000000000000000000000000000000064
:10ECB0000000000000000000000000000000000054
:10ECC0000000000000000000000000000000000044
:10ECD0000000000000000000000000000000000034
:10ECE0000000000000000000000000000000000024
:10ECF0000000000000000000000000000000000014
:10ED00000000000000000000000000000000000003
:10ED100000000000000000000000000000000000F3
:10ED200000000000000000000000000000000000E3
:10ED300000000000000000000000000000000000D3
:10ED400000000000000004000400040004000400AF
:10ED500004000000000000000000000000000000AF
:10ED600000000000000000000000000000000000A3
:10ED70000000000000000000000000000000000093
:10ED80000000000000000000000000000000000083
:10ED90000000000000000000000000000000000073
:10EDA0000000000000000000000000000000000063
:10EDB0000000000000000000000000000000000053
:10EDC0000000000000000000000000000000000043
:10EDD0000000000000000000000000000000000033
:10EDE0000000000000000000000000000000000023
:10EDF0000000000000000000000000000000000013
:10EE000000000000000000000000E3F1783C1E8FCD
:10EE1000C7E3F1783C1E8FC7E3318CC7E3F1783C40
:10EE20001E8FC7E3F1783C1E8FC700000000000072
:10EE300000000000000000000000000000000000D2
:10EE400000000000000000000000E3F1783C1E8F8D
:10EE5000C7E3F1783C1E8FC7E3318CC7E3F1783C00
:10EE60001E8FC7E3F1783C1E8FC7E3F1783C1E8FFD
:10EE7000C7E3F1783C1E8FC7E3318CC7E3F1783CE0
:10EE80001E8FC7E3F1783C1E8FC700000000000012
:10EE90000000000000000000000000000000000072
:10EEA0000000000000000000000000008093060C3D
:10EEB0000000000000000000000000000000000052
:10EEC0000000000000000000000000000000000042
:10EED0000000000000000000000000000000000032
:10EEE00000000000000000000000000014000028E6
:10EEF0000000000000000000000000000000000012
:10EF00000000000000000000000000000000000001
:10EF100000000000000000000000000000000000F1
:10EF200000000000000000000000000014000028A5
:10EF300000000000000000000000000000000000D1
:10EF40000000000000000000000000001400002885
:10EF500000000000000000000000000000000000B1
:10EF600000000000000000000000000000000000A1
:10EF700000000000000000000000000000000E186B
:10EF80000080030100780000000000000000000085
:10EF90000000000000000000000000000000000071
:10EFA0000000000000000000000000000000000061
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE0000000000000000000000000000000000021
:10EFF0000000000000000000000000000000000011
:10F000000000000000000000000000000000000000
:10F0100000000000000000000000000000000000F0
:10F0200000000000000000000000000000000000E0
:10F0300000000000000000000000000000000000D0
:10F0400000000000000000000000000000000000C0
:10F0500000002280287150506008008000000000ED
:10F0600000000000000000000000000000000000A0
:10F070000000000000000000000000000000000090
:10F080000000000000000000000000000000000080
:10F090000000000000000000000000000000000070
:10F0A0000000000000000000000000000000000060
:10F0B0000000000000000000000000000000000050
:10F0C0000000000000000000000000000000000040
:10F0D0000000000000000000000000000000000030
:10F0E0000000000000000000000000000000000020
:10F0F0000000000000000000000000000000000010
:10F1000000000000000000000000000000000000FF
:10F1100000000000000000000000000000000000EF
:10F1200000000000000098000004080808400000EB
:10F1300000000000000000000000000000000000CF
:10F1400000000000000000000000000000000000BF
:10F1500000000000000000000000000000000000AF
:10F160000000000000000000100400000A00005031
:10F17000000000000000000000000000000000008F
:10F18000000000000000000000000000000000007F
:10F19000000000000000000000000000000000006F
:10F1A0000000000000000000900600000402402063
:10F1B0000C00000000000000000000000000000043
:10F1C0000000000000000000900100000A00402044
:10F1D0000800000000000000000000000000000027
:10F1E000000000000000000000000000000000001F
:10F1F000000000000000000000000000240100509A
:10F2000000000000000000000000000000000000FE
:10F2100000000000000000000000000000000000EE
:10F2200000000000000000000000000000000000DE
:10F23000000000000000000000000000002301208A
:10F24000000000001480000000000000000000002A
:10F2500000000000000000000000000000000000AE
:10F26000000000000000000000000000000000009E
:10F27000000000000000000000000000002301204A
:10F2800000000000148000000000000000000000EA
:10F29000000000000000000000000000002301202A
:10F2A00000000000148000000000000000000000CA
:10F2B000000000000000000000000000000000004E
:10F2C000000000000000000000000000000000003E
:10F2D00040000000000000000000000000000000EE
:10F2E000000000000000000000000000000000001E
:10F2F000000000000000000000000000000000000E
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000000000ED
:10F3200000000000000000000000000000000000DD
:10F3300000000000000000000000000000000000CD
:10F3400000000000000000000000000000000000BD
:10F3500000000000000044000200000000008400E3
:10F360000222000000000000000000000000000079
:10F37000000000000000000000000000008000000D
:10F38000000000000000000000000000000000007D
:10F39000000000000000000000000000000000006D
:10F3A000000000000000000000000000000000005D
:10F3B000000000000000000000000000000000004D
:10F3C000000000000000000000000000000000003D
:10F3D000000000000000000000000000000000002D
:10F3E000000000000000000000000000000000001D
:10F3F000000000000000000000000000000000000D
:10F4000000000000000000000000000000000000FC
:10F4100000000000000000000000000000000000EC
:10F4200000000000000000000000000002020000D8
:10F4300000000000020200000000000000000000C8
:10F4400000000000000000000000000000000000BC
:10F45000002000000000000000000000000000008C
:10F46000000000000000000000000000000000009C
:10F47000000000000000000080000000000000000C
:10F48000000000000000000000000000000000007C
:10F49000000000000000000000000000000000006C
:10F4A000000000000000000000000000000000005C
:10F4B00000640E000000000000600E006000000EFE
:10F4C0000060000060000009000000000000000073
:10F4D000000000000000000000000000000000002C
:10F4E000000000000000000000000000000000001C
:10F4F00000082000000000000008200010000006A6
:10F50000000800005000000A000000000000000099
:10F5100000E002000000000000480E00600000094A
:10F52000000800006000000900000000000000006A
:10F5300000000000000000000000000000000000CB
:10F5400000000000000000000000000400000000B7
:10F5500000000000000000000000000000000000AB
:10F56000000000000000000000000000000000009B
:10F57000000000000000000000000000000000008B
:10F580000000000000402C000000000000402800A7
:10F59000000200080040000000020000B00000006F
:10F5A000000000000000000000000000000000005B
:10F5B000000000000000000000000000000000004B
:10F5C00000000000007008000000000000700E0045
:10F5D000000040000070000010000008C0020000A1
:10F5E0000000000000000C000000000000400C00C3
:10F5F00000020000004000000002000020020000A5
:10F6000000000000000000000000000000000000FA
:10F6100000000000000000000000000000000000EA
:10F6200000000000000000000000000000000000DA
:10F6300000000000000000000000000000000000CA
:10F6400000000000000000000000000000000000BA
:10F6500000000000000000000002040000000000A4
:10F66000000B0500800000000028200000000000C2
:10F67000000000000000000000000000000000008A
:10F68000000000000000000000000000000000007A
:10F69000000000000000000000400C00000000001E
:10F6A00000400C00C0000000004108008000000184
:10F6B0000000000000000000008351000000000076
:10F6C000001C5000000000000008000000000000C6
:10F6D000000000000000000000000000000000002A
:10F6E000000000000000000000000000000000001A
:10F6F00000000001000040000000000000000000C9
:10F7000000000000000000000000000000000000F9
:10F7100000000000000000000000000000000000E9
:10F7200000000000000000000000000000B42900FC
:10F730000000000000141200000000000018120079
:10F740000002400000000000000000000000000077
:10F7500000000000000000000000000000000000A9
:10F76000000000000000000000000000001806007B
:10F77000000000000010060000000000001006005D
:10F780000000000000000000000000000040120027
:10F790000000000000003600100000000068260095
:10F7A0001000000000000000000000000000000049
:10F7B0000000000000000000000000000000000049
:10F7C000000000000000000000000006C000000073
:10F7D0000000000000000000000000000000000029
:10F7E0000000000000000000000000000000000019
:10F7F0000000000000000000000000000000000009
:10F8000000100C000000000000300E006000000B33
:10F8100000800E006000000A0000000000000000F0
:10F8200000000000000000000000000000000000D8
:10F8300000000000000000000000000000000000C8
:10F840000044280000000000000C28006000001A9E
:10F85000006428005000000E0000000000000000BE
:10F8600000600E0000000000006002007000000553
:10F8700000C01C0070000005000000000000000037
:10F880000000000000000000000000000000000078
:10F890000000000000000000000000000000000068
:10F8A0000001000000000000000000000000000057
:10F8B0000000000000000000000000000000000048
:10F8C0000000000040000000000100000040400077
:10F8D00000800000000000000400000400000000A0
:10F8E0000020410000000000801108000000080016
:10F8F00000000000000000020008100100000040AD
:10F9000000400040000000400020004000000022B5
:10F910000000004080004004083C0041000004005A
:10F9200000000008000000002800004A48400000D5
:10F930002800400000000010204440000008400063
:10F940002004400000000000000000000000000053
:10F9500000000000000000000000000000000000A7
:10F960000000000000000000000000000040000057
:10F970000000000000000000000000000000000087
:10F980000000000000000000000000000000000077
:10F990000000000000000000000000000000000067
:10F9A0000000000000000000000000000000000057
:10F9B0000000000000000070000D300D700D087098
:10F9C0007CB038805978B009500000000A0830092E
:10F9D000000000000C00000050000000900E080E17
:10F9E00000000000000D0000000A0100080800B03F
:10F9F000005009000C00005000060800B050000044
:10FA00000800B00D00080001090E0C3000000000D5
:10FA10000008708170000080500009800030008074
:10FA20000090000000000000000000000000000046
:10FA300000000000000000000000000000000000C6
:10FA400000000000000000000000000008000000AE
:10FA500000000000000000000000000000000000A6
:10FA60000000000000000000000000001000000086
:10FA700000000000003010007000000000000000D6
:10FA80000C00000C0000000000303C000000800072
:10FA900030080E0001008050000100000000000C42
:10FAA00000500008000000100110003000000E306F
:10FAB000003001108000803C000000101000100891
:10FAC0000034001C000008000100000000000100DC
:10FAD000200000382020005020011000000001000C
:10FAE000301030500000307030083000000000004E
:10FAF0000080000000000000000000000000000086
:10FB000000000000000000000000000000000000F5
:10FB10000000000000100000000000000000008055
:10FB200000000000000000000000000000000000D5
:10FB300000000000000000000000000000000000C5
:10FB400000000000000008000000000000000000AD
:10FB500000000000000000000000000004000000A1
:10FB60000000000004000000000000000000000091
:10FB70000000000000000000000000000000000085
:10FB800000000000008000000000000000000000F5
:10FB90000000000000000000000000000000000065
:10FBA0000000000000000000000000000000000055
:10FBB0000000000000000000100000000400000031
:10FBC00000000400048000000400000000000000A9
:10FBD0000000000000000000000000000000000025
:10FBE0000000000000000000000000000000000015
:10FBF0000000008000000000000000000000000085
:10FC000000000000000000000000000000000000F4
:10FC100000000000000000000000010800000000DB
:10FC200000000000000000000000000000000100D3
:10FC3000010080200000010C010001000000000014
:10FC400000000000000000000000000000000000B4
:10FC50000000000000000000002000000000000084
:10FC60004000000000000000000000000000000054
:10FC70000000000000000000000000000000000084
:10FC80000000000000000000000000000000410033
:10FC9000010001000000000001240100010000003B
:10FCA0000000000000000000000000000000000054
:10FCB0000000000000000000000000000000000044
:10FCC0000000000000000000000000000000000034
:10FCD0000000000000000000000000000000000024
:10FCE0000000000000000000000000000000000410
:10FCF0000000000000000000000000000000000004
:10FD000000000000000000200000000400040000CB
:10FD100000000000000000000000000000000000E3
:10FD200000000000000000000000000000000000D3
:10FD300000000000000000000000000000000000C3
:10FD400000000000000000000000000000000000B3
:10FD5000000000000008000000000000000000009B
:10FD60001000000000040000000008000004000073
:10FD70000000000000000000000000000000000083
:10FD80000000000000000000000000000000000073
:10FD90001000000000000000000000000000000053
:10FDA0000000000000000000000000000000000053
:10FDB0000000000000000000000000000000000043
:10FDC0000000000000000000000000000000000033
:10FDD0000000000000000000000000000000000023
:10FDE0000000000000000000000000000000000013
:10FDF0000000000000000000000000000000000003
:10FE000000000000000000000000000000000000F2
:10FE100000000000000000000000000000000000E2
:10FE200000000000000000000000000000000000D2
:10FE300000000000000000000000000000000000C2
:10FE400000000000000000000000000000000000B2
:10FE500000000000000000000000000000000000A2
:10FE60000000000000000000000000000000000092
:10FE7000000097DA0C000180000000500C000180A7
:10FE8000000000C0040000000400000004000000A6
:10FE90000400000004000000040000000400000052
:10FEA0000400000004000000040000000400000042
:10FEB0000400000004000000040000000400000032
:10FEC0000400000004000000040000000400000022
:10FED0000400000004000000040000000400000012
:10FEE0000400000004000000040000000400000002
:10FEF00004000000040000000400000004000000F2
:10FF000004000000040000000400000004000000E1
:10FF100004000000040000000400000004000000D1
:10FF200004000000040000000400000004000000C1
:10FF300004000000040000000400000004000000B1
:10FF400004000000040000000400000004000000A1
:10FF500004000000040000000C000180000000A06C
:10FF60000C000580000000000C0000800000FAEA90
:10FF70000C000180000000B004000000040000003C
:08FF8000040000000400000071
:00000001FF
/tags/A2/Mouse/d_DriverMouse.v
0,0 → 1,380
//==================================================================//
// File: d_MouseDriver.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Apr 28, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Apr 28, 2005 Under Development //
// //
//==================================================================//
 
module Driver_mouse(
CLK_50MHZ, MASTER_RST,
PS2C, PS2D,
XCOORD, YCOORD,
L_BUTTON, R_BUTTON, M_BUTTON
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter ss_CLK_LOW_100US = 4'b0000;
parameter ss_DATA_LOW = 4'b0001;
parameter ss_SET_BIT_0 = 4'b0011;
parameter ss_SET_BIT_1 = 4'b0010;
parameter ss_SET_BIT_2 = 4'b0110;
parameter ss_SET_BIT_3 = 4'b0111;
parameter ss_SET_BIT_4 = 4'b0101;
parameter ss_SET_BIT_5 = 4'b0100;
parameter ss_SET_BIT_6 = 4'b1100;
parameter ss_SET_BIT_7 = 4'b1101;
parameter ss_SET_BIT_PARITY = 4'b1111;
parameter ss_SET_BIT_STOP = 4'b1110;
parameter ss_WAIT_BIT_ACK = 4'b1010;
parameter ss_GET_MOVEMENT = 4'b1000;
 
parameter P_Lbut_index = 1;
parameter P_Mbut_index = 2;
parameter P_Rbut_index = 3;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
inout PS2C; // PS2 clock
inout PS2D; // PS2 data
 
//----------------------//
// OUTPUTS //
//----------------------//
output[11:0] XCOORD; // X coordinate of the cursor
output[11:0] YCOORD; // Y coordinate of the cursor
output L_BUTTON, R_BUTTON, M_BUTTON;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire PS2C, PS2D;
reg[11:0] XCOORD;
reg[11:0] YCOORD;
reg L_BUTTON, R_BUTTON, M_BUTTON;
 
//----------------------//
// REGISTERS //
//----------------------//
reg[12:0] Counter_timer;
reg[5:0] Counter_bits;
reg[3:0] sm_ps2mouse;
reg[32:0] data_in_buf;
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
//------------------------------------------------------------------//
// INTERMEDIATE VALUES //
//------------------------------------------------------------------//
reg[7:0] Counter_PS2C;
reg CLK_ps2c_debounced;
 
// Debounce the PS2C line.
// The mouse is generally not outputting a nice rising clock edge.
// To eliminate the false edge detection, make sure it is high/low
// for at least 256 counts (5.12us off 50MHz) before triggering the CLK.
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_PS2C <= 8'b0;
end else begin
if(PS2C == 1'b1) begin
if(Counter_PS2C == 8'hFF)
Counter_PS2C <= Counter_PS2C;
else
Counter_PS2C <= Counter_PS2C + 1;
end else begin
if(Counter_PS2C == 8'b0)
Counter_PS2C <= Counter_PS2C;
else
Counter_PS2C <= Counter_PS2C - 1;
end
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
CLK_ps2c_debounced <= 1'b0;
else if(Counter_PS2C == 8'b0)
CLK_ps2c_debounced <= 1'b0;
else if(Counter_PS2C == 8'hFF)
CLK_ps2c_debounced <= 1'b1;
else
CLK_ps2c_debounced <= CLK_ps2c_debounced;
end
 
 
//------------------------------------------------------------------//
// INTERPRETING MOVEMENTS //
//------------------------------------------------------------------//
reg[7:0] xcoord_buf;
reg[7:0] ycoord_buf;
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
xcoord_buf <= 8'b0;
end else if(data_in_buf[5] == 1'b0) begin
xcoord_buf <= data_in_buf[19:12];
end else begin
xcoord_buf <= ((~(data_in_buf[19:12]))+1);
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ycoord_buf <= 8'b0;
end else if(data_in_buf[6] == 1'b0) begin
ycoord_buf <= data_in_buf[30:23];
end else begin
ycoord_buf <= ((~(data_in_buf[30:23]))+1);
end
end
 
 
always @ (posedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
XCOORD <= 12'd320;
end else if(Counter_bits == 6'd32 && (data_in_buf[7] == 1'b0)) begin
if(data_in_buf[5] == 1'b1) begin // NEGITIVE
if(XCOORD <= xcoord_buf)
XCOORD <= 12'b0;
else
XCOORD <= XCOORD - xcoord_buf;
end else begin // POSITIVE
if((XCOORD + xcoord_buf) >= 12'd639)
XCOORD <= 12'd639;
else
XCOORD <= XCOORD + xcoord_buf;
end
end else begin
XCOORD <= XCOORD;
end
end
 
always @ (posedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
YCOORD <= 12'd199;
end else if(Counter_bits == 6'd32 && (data_in_buf[8] == 1'b0)) begin
if(data_in_buf[6] == 1'b0) begin
if( (YCOORD < 12'd401) && ((YCOORD + ycoord_buf) >= 12'd401) )
YCOORD <= 12'd400;
else if( ((YCOORD >= 12'd441) /*&& (YCOORD <= 12'd520)*/) && ((YCOORD + ycoord_buf) > 12'd520) )
YCOORD <= (YCOORD + ycoord_buf) - 12'd521;
else
YCOORD <= YCOORD + ycoord_buf;
end else begin
if( /*(YCOORD < 12'd401) &&*/ (YCOORD < ycoord_buf) )
YCOORD <= 12'd521 - ycoord_buf;
else if( (YCOORD >= 12'd441) && ((YCOORD-12'd441) < ycoord_buf) )
YCOORD <= 12'd441;
else
YCOORD <= YCOORD - ycoord_buf;
end
end else begin
YCOORD <= YCOORD;
end
end
 
//------------------------------------------------------------------//
// INTERPRETING BUTTONS //
//------------------------------------------------------------------//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
L_BUTTON <= 1'b0;
M_BUTTON <= 1'b0;
R_BUTTON <= 1'b0;
end else if(Counter_bits == 6'd32) begin
L_BUTTON <= data_in_buf[P_Lbut_index];
M_BUTTON <= data_in_buf[P_Mbut_index];
R_BUTTON <= data_in_buf[P_Rbut_index];
end else begin
L_BUTTON <= L_BUTTON;
M_BUTTON <= M_BUTTON;
R_BUTTON <= R_BUTTON;
end
end
 
 
//------------------------------------------------------------------//
// SENDING DATA //
//------------------------------------------------------------------//
reg PS2C_out, PS2D_out;
 
assign PS2C = PS2C_out;
assign PS2D = PS2D_out;
 
always @ (Counter_timer or MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
PS2C_out = 1'bZ;
end else if((Counter_timer <= 13'd5500) && (MASTER_RST == 1'b0))
PS2C_out = 1'b0;
else
PS2C_out = 1'bZ;
end
 
always @ (sm_ps2mouse or Counter_timer or MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
PS2D_out = 1'bZ;
end else if(Counter_timer >= 13'd5000 && sm_ps2mouse == ss_DATA_LOW) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_0) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_1) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_2) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_3) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_4) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_5) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_6) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_7) begin
PS2D_out = 1'b1;
end else if(sm_ps2mouse == ss_SET_BIT_PARITY) begin
PS2D_out = 1'b0;
end else if(sm_ps2mouse == ss_SET_BIT_STOP) begin
PS2D_out = 1'b1;
end else begin
PS2D_out = 1'bZ;
end
end
 
//------------------------------------------------------------------//
// RECIEVING DATA //
//------------------------------------------------------------------//
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
data_in_buf <= 33'b0;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
data_in_buf <= data_in_buf >> 1;
data_in_buf[32] <= PS2D;
end else
data_in_buf <= data_in_buf;
end
 
 
 
//------------------------------------------------------------------//
// COUNTERS FOR STATE MACHINE //
//------------------------------------------------------------------//
// COUNTER: timer
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
Counter_timer <= 13'b0;
else if(Counter_timer == 13'd6000)
Counter_timer <= Counter_timer;
else
Counter_timer <= Counter_timer + 1;
end
 
// COUNTER: rec_data_bit_cnt
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
Counter_bits <= 6'd22;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
if(Counter_bits == 6'd32)
Counter_bits <= 6'd0;
else
Counter_bits <= Counter_bits + 1;
end else begin
Counter_bits <= Counter_bits;
end
end
 
 
//------------------------------------------------------------------//
// MOUSE STATE MACHINE //
//------------------------------------------------------------------//
always @ (negedge CLK_ps2c_debounced or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
sm_ps2mouse <= ss_DATA_LOW;
end else if(sm_ps2mouse == ss_DATA_LOW) begin
sm_ps2mouse <= ss_SET_BIT_0;
end else if(sm_ps2mouse == ss_SET_BIT_0) begin
sm_ps2mouse <= ss_SET_BIT_1;
end else if(sm_ps2mouse == ss_SET_BIT_1) begin
sm_ps2mouse <= ss_SET_BIT_2;
end else if(sm_ps2mouse == ss_SET_BIT_2) begin
sm_ps2mouse <= ss_SET_BIT_3;
end else if(sm_ps2mouse == ss_SET_BIT_3) begin
sm_ps2mouse <= ss_SET_BIT_4;
end else if(sm_ps2mouse == ss_SET_BIT_4) begin
sm_ps2mouse <= ss_SET_BIT_5;
end else if(sm_ps2mouse == ss_SET_BIT_5) begin
sm_ps2mouse <= ss_SET_BIT_6;
end else if(sm_ps2mouse == ss_SET_BIT_6) begin
sm_ps2mouse <= ss_SET_BIT_7;
end else if(sm_ps2mouse == ss_SET_BIT_7) begin
sm_ps2mouse <= ss_SET_BIT_PARITY;
end else if(sm_ps2mouse == ss_SET_BIT_PARITY) begin
sm_ps2mouse <= ss_SET_BIT_STOP;
end else if(sm_ps2mouse == ss_SET_BIT_STOP) begin
sm_ps2mouse <= ss_WAIT_BIT_ACK;
end else if(sm_ps2mouse == ss_WAIT_BIT_ACK) begin
sm_ps2mouse <= ss_GET_MOVEMENT;
end else if(sm_ps2mouse == ss_GET_MOVEMENT) begin
sm_ps2mouse <= sm_ps2mouse;
end else begin
sm_ps2mouse <= ss_DATA_LOW;
end
end
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
endmodule
 
/tags/A2/README.txt
0,0 → 1,46
//////////////////////////////////////////////////////////////////////
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
//////////////////////////////////////////////////////////////////////
 
July 16, 2005
 
Alternascope is a quick and dirty way to debug circuits. Being a cheap and completely functional Oscilliscope, this is
ideal for students and hobbyists.
 
The entire package is developed on the Spartan III Development kit from Xilinx. On that development kit is a VGA port
and a PS/2 mouse port, making this project very easy to implement. Because of that, I have included the
"spartanIII.alternascope.mcs" file so that people can quickly give this a shot. Keep in mind though, it is just a
sneak preview of what it can be capable of - it is not nearly close to completion... just functional.
 
The file "spartanIII.alternascope.mcs" was compiled using Xilinx's ISE 7.1 and targeted for the Spartan-3,
SC3S200FT256 chip. If you would like a programming file for a different target, and for some reason can't get the
source files to compile, email me. If you would like a cheap development kit, go to www.Xilinx.com (about $100 bucks
for this one).
 
Eventually, I plan to make a couple of these (if there is interest) for fellow keener students like myself. Being a
4th year Electrical Engineering student (at the University of Calgary, Alberta, Canada), I could have used this years
ago - so hopefully it will be of aid to others.
 
If you have any questions, concerns, or comments, please don't hesitate to email me at smpickett@opencores.org.
 
Stephen Pickett
Calgary, Alberta
smpickett@opencores.org
 
 
 
 
/tags/A2/VGA/d_VGAdriver.v
0,0 → 1,307
//==================================================================//
// File: d_VGAdriver.v //
// Version: 0.0.0.3 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 09, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Apr 28, 2005 Under Development //
// 0.0.0.2 Jun 09, 2005 Cleaning //
// 0.0.0.3 Jun 10, 2005 Re-structuerd the VCNT and HCNT //
// so they line up with the PXLs. //
// //
//==================================================================//
 
module Driver_VGA(
CLK_50MHZ, MASTER_RST,
CLK_VGA,
VGA_RAM_DATA, VGA_RAM_ADDR,
VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
VGA_RAM_ACCESS_OK,
H_SYNC, V_SYNC, VGA_OUTPUT,
XCOORD, YCOORD,
TRIGGER_LEVEL,
VERT_OFFSET,
HORZ_OFFSET,
SHOW_LEVELS,
HCNT, VCNT,
RGB_CHAR
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_black = 3'b000;
parameter P_yellow = 3'b110;
parameter P_cyan = 3'b011;
parameter P_green = 3'b010;
parameter P_white = 3'b111;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input CLK_VGA;
output H_SYNC; // The H_SYNC timing signal to the VGA monitor
output V_SYNC; // The V_SYNC timing signal to the VGA monitor
output[2:0] VGA_OUTPUT; // The 3-bit VGA output
input[11:0] XCOORD, YCOORD;
input[15:0] VGA_RAM_DATA;
output[17:0] VGA_RAM_ADDR;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
output VGA_RAM_ACCESS_OK;
input[9:0] TRIGGER_LEVEL, HORZ_OFFSET, VERT_OFFSET;
input SHOW_LEVELS;
output[9:0] HCNT, VCNT;
input[2:0] RGB_CHAR;
 
 
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
reg H_SYNC, V_SYNC;
reg [2:0] VGA_OUTPUT;
wire CLK_50MHZ, MASTER_RST;
wire CLK_VGA;
wire[11:0] XCOORD, YCOORD;
wire[15:0] VGA_RAM_DATA;
reg[17:0] VGA_RAM_ADDR;
reg VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
reg VGA_RAM_ACCESS_OK;
wire[9:0] TRIGGER_LEVEL, HORZ_OFFSET, VERT_OFFSET;
wire SHOW_LEVELS;
wire[9:0] HCNT, VCNT;
wire[2:0] RGB_CHAR;
 
 
//----------------------//
// REGISTERS //
//----------------------//
wire CLK_25MHZ = CLK_VGA;
reg [9:0] hcnt; // Counter - generates the H_SYNC signal
reg [9:0] vcnt; // Counter - counts the H_SYNC pulses to generate V_SYNC signal
reg[2:0] vga_out;
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
assign HCNT = hcnt;
assign VCNT = vcnt;
 
 
//------------------------------------------------------------------//
// SYNC TIMING COUNTERS //
//------------------------------------------------------------------//
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if (MASTER_RST == 1'b1) begin
hcnt <= 10'd0;
vcnt <= 10'd430;
end else if (hcnt == 10'd0799) begin
hcnt <= 10'd0;
if (vcnt == 10'd0)
vcnt <= 10'd520;
else
vcnt <= vcnt - 1'b1;
end else
hcnt <= hcnt + 1'b1;
end
 
 
//------------------------------------------------------------------//
// HORIZONTAL SYNC TIMING //
//------------------------------------------------------------------//
always @ (hcnt)
if (hcnt >= 10'd656 && hcnt <= 10'd751)
H_SYNC = 1'b0;
else
H_SYNC = 1'b1;
 
 
//------------------------------------------------------------------//
// VERTICAL SYNC TIMING //
//------------------------------------------------------------------//
always @ (vcnt)
if (vcnt == 10'd430 || vcnt == 10'd429)
V_SYNC = 1'b0;
else
V_SYNC = 1'b1;
 
 
//------------------------------------------------------------------//
// VGA DATA SIGNAL TIMING //
//------------------------------------------------------------------//
always @ (hcnt or vcnt or XCOORD or YCOORD or MASTER_RST or vga_out or SHOW_LEVELS or TRIGGER_LEVEL or VERT_OFFSET or HORZ_OFFSET or RGB_CHAR) begin
if(MASTER_RST == 1'b1) begin
VGA_OUTPUT = P_black;
//------------------------------------------------------------------------------//
// UNSEEN BORDERS //
end else if( (vcnt >= 10'd400) && (vcnt <= 10'd440) ) begin
VGA_OUTPUT = P_black;
end else if( (hcnt >= 10'd640) ) begin
VGA_OUTPUT = P_black;
//------------------------------------------------------------------------------//
// MOUSE CURSORS //
end else if(vcnt == YCOORD) begin
VGA_OUTPUT = P_green;
end else if(hcnt == XCOORD) begin
VGA_OUTPUT = P_green;
//------------------------------------------------------------------------------//
// TRIGGER SPRITE (shows as ------T------ ) //
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL) && hcnt != 10'd556 && hcnt != 10'd558) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL+1'b1) && hcnt >= 10'd556 && hcnt <= 10'd558) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (TRIGGER_LEVEL-1'b1) && hcnt == 10'd557) begin
VGA_OUTPUT = P_yellow;
//------------------------------------------------------------------------------//
// VERTICAL OFFSET SPRITE (shows as ------V------ ) //
end else if(SHOW_LEVELS == 1'b1 && vcnt == (VERT_OFFSET) && hcnt != 10'd560) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (VERT_OFFSET+1'b1) && (hcnt == 10'd559 || hcnt == 10'd561)) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && vcnt == (VERT_OFFSET-1'b1) && hcnt == 10'd560) begin
VGA_OUTPUT = P_yellow;
//------------------------------------------------------------------------------//
// HORIZONTAL1 OFFSET SPRITE (shows as ------H------ ) //
end else if(SHOW_LEVELS == 1'b1 && hcnt == (HORZ_OFFSET) && vcnt != 10'd102 && vcnt != 10'd100) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && hcnt == (HORZ_OFFSET+1'b1) && (vcnt == 10'd100 || vcnt == 10'd101 || vcnt == 10'd102)) begin
VGA_OUTPUT = P_yellow;
end else if(SHOW_LEVELS == 1'b1 && hcnt == (HORZ_OFFSET-1'b1) && (vcnt == 10'd100 || vcnt == 10'd101 || vcnt == 10'd102)) begin
VGA_OUTPUT = P_yellow;
//------------------------------------------------------------------------------//
// TOP, BOTTOM, LEFT AND RIGHT GRID LINES //
end else if(vcnt == 10'd0 || vcnt == 10'd399 || vcnt == 10'd441) begin
VGA_OUTPUT = P_cyan;
end else if(hcnt == 10'd0 || hcnt == 10'd639) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// CHARACTER DISPLAY
end else if(vcnt <= 10'd520 && vcnt >= 10'd441) begin
VGA_OUTPUT = RGB_CHAR;
//------------------------------------------------------------------------------//
// THE WAVEFORM //
end else if(vga_out != 0) begin
VGA_OUTPUT = vga_out;
//------------------------------------------------------------------------------//
// MIDDLE GRID LINES (dashed at 8pxls) //
end else if(vcnt == 10'd199 && hcnt[3] == 1'b1) begin
VGA_OUTPUT = P_cyan;
end else if((hcnt == 10'd319) && (vcnt <= 10'd399) && (vcnt[3] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHER HORIZONTAL LINES (dashed at 4pxls) //
end else if((vcnt == 10'd39 || vcnt == 10'd79 || vcnt == 10'd119 || vcnt == 10'd159 || vcnt == 10'd239 || vcnt == 10'd279 || vcnt == 10'd319 || vcnt == 10'd359) && (hcnt[2] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHER VERTICAL LINES (dashed at 4pxls) //
end else if(((hcnt[5:0] == 6'b111111) && (vcnt <= 10'd399)) && (vcnt[2] == 1'b1)) begin
VGA_OUTPUT = P_cyan;
//------------------------------------------------------------------------------//
// OTHERWISE... //
end else
VGA_OUTPUT = P_black;
end
 
//------------------------------------------------------------------//
// RAM DATA READING //
//------------------------------------------------------------------//
// on reset, ram_addr = 24 and add 25 on each pxl
// row 0: ram_addr = 24 and 25 for each pxl
// row 1: ram_addr = 24 and 25 for each pxl
// ...
// row 15: ram_addr = 24 and 25 for each pxl
// row 16: ram_addr = 23 and 25 for each pxl *
// row 17: ram_addr = 23 and 25 for each pxl *
// ...
reg[4:0] ram_vcnt;
reg[15:0] ram_vshift;
 
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ram_vshift <= 16'h8000;
end else if(vcnt > 10'd399) begin
ram_vshift <= 16'h8000;
end else if(/*(vcnt <= 10'd399) && */(hcnt == 10'd640)) begin
if(ram_vshift == 16'h0001)
ram_vshift <= 16'h8000;
else
ram_vshift <= (ram_vshift >> 1);
end else
ram_vshift <= ram_vshift;
end
 
always @ (posedge CLK_25MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ram_vcnt <= 5'd24;//5'b0
end else if(vcnt > 10'd399) begin
ram_vcnt <= 5'd24;
end else if(/*(vcnt >= 10'd30) &&*/ (hcnt == 10'd640) && (ram_vshift == 16'h0001)) begin
if(ram_vcnt == 5'd0)
ram_vcnt <= 5'd24;
else
ram_vcnt <= ram_vcnt - 1'b1;
end else begin
ram_vcnt <= ram_vcnt;
end
end
 
 
 
always @ (hcnt or ram_vcnt) begin
VGA_RAM_ADDR = ram_vcnt + (hcnt * 7'd25);
// VGA_RAM_ADDR = vcnt * hcnt;
end
 
 
always @ (VGA_RAM_DATA or ram_vshift) begin
if((VGA_RAM_DATA & ram_vshift) != 16'b0)
vga_out = P_white;
else
vga_out = 3'b0;
end
 
 
always begin
VGA_RAM_CS = 1'b0; // #CS
VGA_RAM_OE = 1'b0; // #OE
VGA_RAM_WE = 1'b1; // #WE
end
 
 
//------------------------------------------------------------------//
// ALL CLEAR? //
//------------------------------------------------------------------//
always @ (vcnt) begin
if(vcnt > 10'd399)
VGA_RAM_ACCESS_OK = 1'b1;
else
VGA_RAM_ACCESS_OK = 1'b0;
end
 
 
endmodule
/tags/A2/VGA/CharDecode/d_CharDecode.v
0,0 → 1,557
//==================================================================//
// File: d_CharDecodeSmall.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 17, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Jun 17, 2005 Initial Development Release //
// Based on "d_CharDecode.v" //
// //
//==================================================================//
 
module CharacterDisplay(
MASTER_CLK, MASTER_RST,
CLK_VGA, HCNT, VCNT,
RGB_OUT,
TIMESCALE, TRIGGERSTYLE,
XCOORD, YCOORD
);
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_black = 3'b000;
parameter P_yellow = 3'b110;
parameter P_cyan = 3'b011;
parameter P_green = 3'b010;
parameter P_white = 3'b111;
parameter P_blue = 3'b111;
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // System wide clock
input MASTER_RST; // System wide reset
input CLK_VGA; // Pixel Clk
input[9:0] HCNT; // Horizontal Sync Counter
input[9:0] VCNT; // Vertical Sync Counter
output[2:0] RGB_OUT; // The RGB data
input[3:0] TIMESCALE; // TIMESCALE display
input[1:0] TRIGGERSTYLE; // Style of Trigger
input[11:0] XCOORD; // XCOORD display
input[11:0] YCOORD; // XCOORD display
 
 
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST, CLK_VGA;
wire[9:0] HCNT, VCNT;
reg [2:0] RGB_OUT;
wire[3:0] TIMESCALE;
wire[1:0] TRIGGERSTYLE;
wire[11:0] XCOORD, YCOORD;
 
 
 
//----------------------//
// REGISTERS //
//----------------------//
reg[3:0] cnt_charPxls;
reg[6:0] cnt_Hchar;
reg[10:0] cnt_Vchar;
wire charRow1, charRow2, charRow3, charRow4, charRow5, charRow6, charRow7, charRow8;
 
wire[10:0] addr_charRamRead;
wire[7:0] data_charRamRead;
 
reg[7:0] mask_charMap;
wire[10:0] addr_charMap;
wire[7:0] data_charMap;
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
 
 
//------------------------------------------------------------------//
// Character Input / Storage //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//------------------------------------------------------------------//
 
 
 
 
 
//------------------------------------------------------------------//
// Character Decode //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//------------------------------------------------------------------//
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the Character RAM Address via HCNT and VCNT //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
 
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
cnt_charPxls <= 4'd5;
end else if(HCNT >= 10'd1) begin //6
if(cnt_charPxls == 4'd0)
cnt_charPxls <= 4'd5;
else
cnt_charPxls <= cnt_charPxls-1;
end else begin
cnt_charPxls <= 4'd5;
end
end
 
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
cnt_Hchar <= 7'd0;
end else if(HCNT >= 10'd1 && cnt_charPxls == 4'd0) begin
if(cnt_Hchar == 7'd105)
cnt_Hchar <= 7'd0;
else
cnt_Hchar <= cnt_Hchar+1;
end else if(HCNT < 10'd1) begin
cnt_Hchar <= 7'd0;
end else begin
cnt_Hchar <= cnt_Hchar;
end
end
 
assign charRow1 = ((VCNT <= 10'd512) && (VCNT >= 10'd506));
assign charRow2 = ((VCNT <= 10'd503) && (VCNT >= 10'd497));
assign charRow3 = ((VCNT <= 10'd494) && (VCNT >= 10'd488));
assign charRow4 = ((VCNT <= 10'd485) && (VCNT >= 10'd479));
assign charRow5 = ((VCNT <= 10'd476) && (VCNT >= 10'd470));
assign charRow6 = ((VCNT <= 10'd467) && (VCNT >= 10'd461));
assign charRow7 = ((VCNT <= 10'd458) && (VCNT >= 10'd452));
assign charRow8 = ((VCNT <= 10'd449) && (VCNT >= 10'd443));
 
always @ (charRow1 or charRow2 or charRow3 or charRow4 or charRow5 or charRow6 or charRow7 or charRow8) begin
if(charRow1) cnt_Vchar = 11'd0;
else if(charRow2) cnt_Vchar = 11'd106;
else if(charRow3) cnt_Vchar = 11'd212;
else if(charRow4) cnt_Vchar = 11'd318;
else if(charRow5) cnt_Vchar = 11'd424;
else if(charRow6) cnt_Vchar = 11'd530;
else if(charRow7) cnt_Vchar = 11'd636;
else if(charRow8) cnt_Vchar = 11'd742;
else cnt_Vchar = 11'd0;
end
 
assign addr_charRamRead = cnt_Vchar + cnt_Hchar;
 
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the Character Map via HCNT and VCNT and CHAR_DATA //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
always @ (posedge CLK_VGA or posedge MASTER_RST) begin
if(MASTER_RST) begin
mask_charMap <= 8'd0;
end else if(VCNT <= 10'd512) begin
if(HCNT == 10'd0) begin
if(mask_charMap == 8'd0)
mask_charMap <= 8'b10000000;
else
mask_charMap <= mask_charMap >> 1;
end else
mask_charMap <= mask_charMap;
end else begin
mask_charMap <= 8'd0;
end
end
 
 
 
assign addr_charMap = ((data_charRamRead * 8'd5) + cnt_charPxls);
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// DECODE the VGA_OUTPUT via the Character Map //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
reg[2:0] rgb_buf;
 
always @ (mask_charMap or data_charMap or charRow1 or charRow2 or charRow3 or charRow4 or charRow5 or charRow6 or charRow7 or charRow8 or cnt_charPxls or HCNT) begin
if((charRow1 | charRow2 | charRow3 | charRow4 | charRow5 | charRow6 | charRow7 | charRow8) && ((mask_charMap & data_charMap) != 8'b0) && (cnt_charPxls != 4'd5) && (HCNT >= 10'd2) && (HCNT <= 10'd637))
rgb_buf = P_yellow;
else
rgb_buf = P_black;
end
always @ (posedge CLK_VGA) begin
RGB_OUT <= rgb_buf;
end
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// VALUE DISPLAY //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
reg[10:0] test_cntAddr;
reg[7:0] data_time;
 
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST) test_cntAddr <= 11'd11;
else if(test_cntAddr == 11'd15) test_cntAddr <= 11'd117;
else if(test_cntAddr == 11'd121) test_cntAddr <= 11'd223;
else if(test_cntAddr == 11'd228) test_cntAddr <= 11'd327;
else if(test_cntAddr == 11'd328) test_cntAddr <= 11'd11;
else test_cntAddr <= test_cntAddr + 1;
end
 
always @ (test_cntAddr or TIMESCALE or XCOORD or YCOORD or TRIGGERSTYLE) begin
if(test_cntAddr == 11'd11) begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[11:8];
end else if(test_cntAddr == 11'd12) begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[7:4];
end else if(test_cntAddr == 11'd13) begin data_time[7:4] = 4'h0; data_time[3:0] = XCOORD[3:0];
end else if(test_cntAddr == 11'd117) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[11:8];
end else if(test_cntAddr == 11'd118) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[7:4];
end else if(test_cntAddr == 11'd119) begin data_time[7:4] = 4'h0; data_time[3:0] = YCOORD[3:0];
end else if(test_cntAddr == 11'd228) begin data_time[7:4] = 4'h0; data_time[3:0] = TIMESCALE[3:0];
end else if(test_cntAddr == 11'd327) begin if(TRIGGERSTYLE == 2'b00) data_time = 8'h2D; else data_time = 8'h2C;
end else if(test_cntAddr == 11'd328) begin if(TRIGGERSTYLE == 2'b00) data_time = 8'h2E; else data_time = 8'h2F;
end else data_time = 8'h24;
end
 
 
 
 
 
 
 
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// Character Decode RAM INSTANTIATION //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
// A useful description could go here! //
//- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - //
wire VCC, GND;
assign VCC = 1'b1;
assign GND = 1'b0;
 
RAMB16_S9_S9 #(
// 6666555555555544444444443333333333222222222211111111110000000000
.INIT_00(256'h920de29292928ee0101010fe449292927c668A9292660042FE02007C86BAC27C),
// CCCCCCCCBBBBBBBBBBAAAAAAAAAA999999999988888888887777777777666666
.INIT_01(256'h828282c6Fe9292926c7e9090907e609292927d6d9292926d808698a0C07d9292),
// JJIIIIIIIIIIHHHHHHHHHHGGGGGGGGGGFFFFFFFFFFEEEEEEEEEEDDDDDDDDDDCC
.INIT_02(256'h808282Fe8282Fe101010Fe7c829294deFe909090c0Fe929292c6FE8282827c7c),
// PPPPPPOOOOOOOOOONNNNNNNNNNMMMMMMMMMMLLLLLLLLLLKKKKKKKKKKJJJJJJJJ
.INIT_03(256'h9090607C8282827CFe403804FeFe402040FeFe02020206Fe102844828482FC80),
// VVVVVVVVVVUUUUUUUUUUTTTTTTTTTTSSSSSSSSSSRRRRRRRRRRQQQQQQQQQQPPPP
.INIT_04(256'hf8040204f8fC020202fCC080Fe80C0649292924c7e909894627C828A7C027C90),
// BLOC!!!!!!!!!!--space---ZZZZZZZZZZYYYYYYYYYYXXXXXXXXXWWWWWWWWWWW
.INIT_05(256'hffff00f6f600000000000000868a92a2c2c0201e20c0c628102cC6Fe040804Fe),
// TrigUp-|//////////\\\\\\\\\\::::::::::|---DN---||---UP---|BLOCKB
.INIT_06(256'h147c5040020C1060808060100C02006C6C0000181c1e1c183070f07030FFFFFF),
// |-TSelDN-||-TrigDN-||-TSelUP-||-
.INIT_07(256'h00000000000000000000000000000000beae82eafa40507c1404faea82aebe04),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
) RAM_Character_Map (
.DOA(), .DOB(data_charMap),
.DOPA(), .DOPB(),
.ADDRA(), .ADDRB(addr_charMap),
.CLKA(GND), .CLKB(MASTER_CLK),
.DIA(8'b0), .DIB(8'b0),
.DIPA(GND), .DIPB(GND),
.ENA(GND), .ENB(VCC),
.WEA(GND), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
 
// A 0A L 15 W 20 / 2B
// B 0B M 16 X 21 TrigUP 2C
// C 0C N 17 Y 22 TSelUP 2D
// D 0D O 18 Z 23 TrigDN 2E
// E 0E P 19 Space 24 TSelDN 2F
// F 0F Q 1A ! 25
// G 10 R 1B Block 26
// H 11 S 1C UpArr 27
// I 12 T 1D DnArr 28
// J 13 U 1E : 29
// K 14 V 1F \ 2A
 
 
 
RAMB16_S9_S9 #(
// ########## : X R O S R U C
.INIT_00(256'h242424242424242424242424242424242424242424242921241B181C1B1E0C24),
//
.INIT_01(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_02(256'h2424242424242424242424242424242424242424242424242424242424242424),
// ########## : Y |- Line 1 end
.INIT_03(256'h2424242424242424242424242922242424242424242426242424242424242424),
//
.INIT_04(256'h2424242424242424242424242424242424242424242424242424242424242424),
//.INIT_04(256'h201f1e1d1c1b1a191817161514131211100f0e0d0c0b0a090807060504030201),
//
.INIT_05(256'h2424242424242424242424242424242424242424242424242424242424242424),
//.INIT_05(256'h2424242424242424242424242424242424242424242B2A292827262524232221),
// ## : E S A B E M I T|- Line 2 end
.INIT_06(256'h2424290E1C0A0B240E16121D2624242424242424242424242424242424242424),
// VV^^ V I D / S U############
.INIT_07(256'h24242424242424242424242424242424242827241F120D2A1C1E242424242424),
//
.INIT_08(256'h2424242424242424242424242424242424242424242424242424242424242424),
// T |- Line 3 end
.INIT_09(256'h1D24262424242424242424242424242424242424242424242424242424242424),
// ######## R E G G I R
.INIT_0A(256'h24242424242424242424242424242424242424242424242424241B0E1010121B),
//
.INIT_0B(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_0C(256'h2424242424242424242424242424242424242424242424242424242424242424),
// |- Line 4 end
.INIT_0D(256'h2424242424242424242424242424242424242424242424242624242424242424),
//
.INIT_0E(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_0F(256'h2424242424242424242424242424242424242424242424242424242424242424),
// |- Line 5 end
.INIT_10(256'h2424242424242424242424242424262424242424242424242424242424242424),
//
.INIT_11(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_12(256'h2424242424242424242424242424242424242424242424242424242424242424),
// |- Line 6 end
.INIT_13(256'h2424242426242424242424242424242424242424242424242424242424242424),
//
.INIT_14(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_15(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_16(256'h2424242424242424242424242424242424242424242424242424242424242424),
// |- Line 7 end
.INIT_17(256'h2424242424242424242424242424242424242424242424242424262424242424),
//
.INIT_18(256'h2424242424242424242424242424242424242424242424242424242424242424),
//
.INIT_19(256'h2424242424242424242424242424242424242424242424242424242424242424),
// |- Line 8 end
.INIT_1A(256'h0000000000000000000000000000000026242424242424242424242424242424),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
) RAM_Character_Test (
.DOA(), .DOB(data_charRamRead),
.DOPA(), .DOPB(),
.ADDRA(test_cntAddr), .ADDRB(addr_charRamRead),
.CLKA(MASTER_CLK), .CLKB(MASTER_CLK),
.DIA(data_time), .DIB(8'b0),
.DIPA(GND), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
/*
RAMB16_S9_S9 #(
// P U E L A C S E M I T
.INIT_00(256'h24242424242424242424242424242424242424191E240E150A0C1C240E16121D),
.INIT_01(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_02(256'h2424242424242424242424242424242424242424242424242424242424242424),
// N D
.INIT_03(256'h242424242424242424170D242424242424242424242424242424242424242424),
.INIT_04(256'h201f1e1d1c1b1a191817161514131211100f0e0d0c0b0a090807060504030201),
.INIT_05(256'h2424242424242424242424242424242424242424242424242424242424232221),
.INIT_06(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_07(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_08(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_09(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0A(256'h2424242424242424242424250e17121b0e111d0a14241e1822240e1f18152412),
.INIT_0B(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0C(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0D(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0E(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_0F(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_10(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_11(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_12(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_13(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_14(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_15(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_16(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_17(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_18(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_19(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_1A(256'h2424242424242424242424242424242424242424242424242424242424242424),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000)
) RAM_Character_Test (
.DOA(), .DOB(data_charRamRead),
.DOPA(), .DOPB(),
.ADDRA(test_cntAddr), .ADDRB(addr_charRamRead),
.CLKA(MASTER_CLK), .CLKB(MASTER_CLK),
.DIA(data_time), .DIB(8'b0),
.DIPA(GND), .DIPB(GND),
.ENA(VCC), .ENB(VCC),
.WEA(VCC), .WEB(GND),
.SSRA(GND), .SSRB(GND)
);
*/
 
 
 
 
 
 
 
endmodule
/tags/A2/VGA/d_VgaRamBuffer.v
0,0 → 1,293
//==================================================================
// File: d_VgaRamBuffer.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
module VGADataBuffer(
CLK_50MHZ, MASTER_RST,
VGA_RAM_DATA, VGA_RAM_ADDR, VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS,
VGA_RAM_ACCESS_OK,
ADC_RAM_DATA, ADC_RAM_ADDR, ADC_RAM_CLK,
TIME_BASE
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
 
output[15:0] VGA_RAM_DATA;
output[17:0] VGA_RAM_ADDR;
output VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
input VGA_RAM_ACCESS_OK;
 
input[8:0] ADC_RAM_DATA;
output[10:0] ADC_RAM_ADDR;
output ADC_RAM_CLK;
 
input[5:0] TIME_BASE;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ; // System wide clock
wire MASTER_RST; // System wide reset
wire[15:0] VGA_RAM_DATA;
reg[17:0] VGA_RAM_ADDR;
reg VGA_RAM_OE, VGA_RAM_WE, VGA_RAM_CS;
wire VGA_RAM_ACCESS_OK;
wire[8:0] ADC_RAM_DATA;
reg[10:0] ADC_RAM_ADDR;
wire ADC_RAM_CLK;
wire[5:0] TIME_BASE;
 
 
//----------------------//
// REGISTERS //
//----------------------//
reg[4:0] vcnt;
reg[9:0] hcnt;
reg[15:0] data_to_ram;
reg[8:0] adc_data_scale;
reg[10:0] TRIG_ADDR_buffered;
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
vcnt <= 5'd0;
end else if(VGA_RAM_ACCESS_OK && hcnt != 10'd640) begin
if(vcnt == 5'd24)
vcnt <= 5'b0;
else
vcnt <= vcnt + 1'b1;
end else begin
vcnt <= 5'd0;
end
end
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
hcnt <= 10'd0;
end else if(VGA_RAM_ACCESS_OK) begin
if(hcnt == 10'd640)
hcnt <= hcnt;
else if(vcnt == 5'd24)
hcnt <= hcnt + 1'b1;
else
hcnt <= hcnt;
end else begin
hcnt <= 10'b0;
end
end
 
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
ADC_RAM_ADDR <= 11'b0;
end else if(VGA_RAM_ACCESS_OK) begin
if((hcnt == 10'd640) || !(vcnt == 5'd24))
ADC_RAM_ADDR <= ADC_RAM_ADDR;
else
ADC_RAM_ADDR <= ADC_RAM_ADDR + 1'b1;
end else begin
ADC_RAM_ADDR <= 11'd1727;
end
end
 
reg[7:0] TESTING_CNT;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
TESTING_CNT <= 8'd0;
end else if(VGA_RAM_ACCESS_OK) begin
if(vcnt == 5'd24)
TESTING_CNT <= TESTING_CNT+1;
else
TESTING_CNT <= TESTING_CNT;
end else begin
TESTING_CNT <= 8'b0;
end
end
 
 
always @ (ADC_RAM_DATA) begin
// adc_data_scale = TESTING_CNT + (TESTING_CNT>>1) + (TESTING_CNT>>4) + (TESTING_CNT>>6);
// adc_data_scale = ADC_RAM_DATA + (ADC_RAM_DATA>>1) + (ADC_RAM_DATA>>4) + (ADC_RAM_DATA>>6);
adc_data_scale = ADC_RAM_DATA;
end
 
 
 
 
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) begin
VGA_RAM_ADDR <= 18'b0;
end else if(VGA_RAM_ACCESS_OK) begin
if(hcnt == 10'd640)
VGA_RAM_ADDR <= VGA_RAM_ADDR;
else
VGA_RAM_ADDR <= VGA_RAM_ADDR + 1'b1;
end else begin
VGA_RAM_ADDR <= 18'b0;
end
end
/*
always @ (vcnt or VGA_RAM_ACCESS_OK or adc_data_scale) begin
if(VGA_RAM_ACCESS_OK) begin
if(vcnt == adc_data_scale[8:4]) begin
data_to_ram = (adc_data_scale[3:0] == 4'd0) & 16'h0001 |
(adc_data_scale[3:0] == 4'd1) & 16'h0002 |
(adc_data_scale[3:0] == 4'd2) & 16'h0004 |
(adc_data_scale[3:0] == 4'd3) & 16'h0008 |
(adc_data_scale[3:0] == 4'd4) & 16'h0010 |
(adc_data_scale[3:0] == 4'd5) & 16'h0020 |
(adc_data_scale[3:0] == 4'd6) & 16'h0040 |
(adc_data_scale[3:0] == 4'd7) & 16'h0080 |
(adc_data_scale[3:0] == 4'd8) & 16'h0100 |
(adc_data_scale[3:0] == 4'd9) & 16'h0200 |
(adc_data_scale[3:0] == 4'd10) & 16'h0400 |
(adc_data_scale[3:0] == 4'd11) & 16'h0800 |
(adc_data_scale[3:0] == 4'd12) & 16'h1000 |
(adc_data_scale[3:0] == 4'd13) & 16'h2000 |
(adc_data_scale[3:0] == 4'd14) & 16'h4000 |
(adc_data_scale[3:0] == 4'd15) & 16'h8000;
end else begin
data_to_ram = 16'b0;
end
end else begin
data_to_ram = 16'bZ;
end
end
*/
 
always @ (vcnt or VGA_RAM_ACCESS_OK or adc_data_scale) begin
if(VGA_RAM_ACCESS_OK) begin
if(vcnt == adc_data_scale[8:4]) begin
if(adc_data_scale[3:0] == 4'd0)
data_to_ram = 16'h0001;
else if(adc_data_scale[3:0] == 4'd1)
data_to_ram = 16'h0002;
else if(adc_data_scale[3:0] == 4'd2)
data_to_ram = 16'h0004;
else if(adc_data_scale[3:0] == 4'd3)
data_to_ram = 16'h0008;
else if(adc_data_scale[3:0] == 4'd4)
data_to_ram = 16'h0010;
else if(adc_data_scale[3:0] == 4'd5)
data_to_ram = 16'h0020;
else if(adc_data_scale[3:0] == 4'd6)
data_to_ram = 16'h0040;
else if(adc_data_scale[3:0] == 4'd7)
data_to_ram = 16'h0080;
else if(adc_data_scale[3:0] == 4'd8)
data_to_ram = 16'h0100;
else if(adc_data_scale[3:0] == 4'd9)
data_to_ram = 16'h0200;
else if(adc_data_scale[3:0] == 4'd10)
data_to_ram = 16'h0400;
else if(adc_data_scale[3:0] == 4'd11)
data_to_ram = 16'h0800;
else if(adc_data_scale[3:0] == 4'd12)
data_to_ram = 16'h1000;
else if(adc_data_scale[3:0] == 4'd13)
data_to_ram = 16'h2000;
else if(adc_data_scale[3:0] == 4'd14)
data_to_ram = 16'h4000;
else if(adc_data_scale[3:0] == 4'd15)
data_to_ram = 16'h8000;
else
data_to_ram = 16'hFFFF;
end else //end bigIF
data_to_ram = 16'b0;
end else begin
data_to_ram = 16'bZ;
end
end
 
/*
always @ (vcnt or VGA_RAM_ACCESS_OK or ADC_RAM_DATA) begin
if(VGA_RAM_ACCESS_OK) begin
if((vcnt[3:0] == ADC_RAM_DATA[7:4]) && vcnt[4] != 1'b1) begin
if(ADC_RAM_DATA[3:0] == 4'd0)
data_to_ram = 16'h0001;
else if(ADC_RAM_DATA[3:0] == 4'd1)
data_to_ram = 16'h0002;
else if(ADC_RAM_DATA[3:0] == 4'd2)
data_to_ram = 16'h0004;
else if(ADC_RAM_DATA[3:0] == 4'd3)
data_to_ram = 16'h0008;
else if(ADC_RAM_DATA[3:0] == 4'd4)
data_to_ram = 16'h0010;
else if(ADC_RAM_DATA[3:0] == 4'd5)
data_to_ram = 16'h0020;
else if(ADC_RAM_DATA[3:0] == 4'd6)
data_to_ram = 16'h0040;
else if(ADC_RAM_DATA[3:0] == 4'd7)
data_to_ram = 16'h0080;
else if(ADC_RAM_DATA[3:0] == 4'd8)
data_to_ram = 16'h0100;
else if(ADC_RAM_DATA[3:0] == 4'd9)
data_to_ram = 16'h0200;
else if(ADC_RAM_DATA[3:0] == 4'd10)
data_to_ram = 16'h0400;
else if(ADC_RAM_DATA[3:0] == 4'd11)
data_to_ram = 16'h0800;
else if(ADC_RAM_DATA[3:0] == 4'd12)
data_to_ram = 16'h1000;
else if(ADC_RAM_DATA[3:0] == 4'd13)
data_to_ram = 16'h2000;
else if(ADC_RAM_DATA[3:0] == 4'd14)
data_to_ram = 16'h4000;
else if(ADC_RAM_DATA[3:0] == 4'd15)
data_to_ram = 16'h8000;
else
data_to_ram = 16'hFFFF;
end else //end bigIF
data_to_ram = 16'b0;
end else begin
data_to_ram = 16'bZ;
end
end
*/
/*
always @ (vcnt) begin
if(vcnt == 5'd00 && hcnt <= 10'd319)
data_to_ram = 16'h000F;
else
data_to_ram = 16'b0;
end
*/
 
assign ADC_RAM_CLK = CLK_50MHZ;
 
assign VGA_RAM_DATA = data_to_ram;
 
always begin
VGA_RAM_OE = 1'b1;
VGA_RAM_WE = 1'b0;
VGA_RAM_CS = 1'b0;
end
 
 
 
 
 
 
 
 
 
 
 
 
endmodule
/tags/A2/UserInput/d_MouseInput.v
0,0 → 1,208
//==================================================================//
// File: d_MouseInput.v //
// Version: 0.0.0.2 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 May , 2005 Under Development //
// Ver 0.0.0.2 Jun 08, 2005 Modulized 'UserLines' //
// //
//==================================================================//
 
module Driver_MouseInput(
CLK_50MHZ, MASTER_RST,
XCOORD, YCOORD, L_BUTTON, R_BUTTON, M_BUTTON,
TRIGGER_LEVEL, VERT_OFFSET, HORZ_OFFSET,
TIMESCALE, TRIGGERSTYLE
);
 
 
//==================================================================//
// PARAMETER DEFINITIONS //
//==================================================================//
parameter P_clickLimit_left = 10'd556;
parameter P_clickLimit_right = 10'd558;
parameter P_clickLimit_leftV = 10'd559;
parameter P_clickLimit_rightV = 10'd561;
parameter P_clickLimit_top = 10'd102;
parameter P_clickLimit_bot = 10'd100;
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input[9:0] XCOORD; // X coordinate of the cursor
input[9:0] YCOORD; // Y coordinate of the cursor
input L_BUTTON; // Left Mouse Button Press
input R_BUTTON; // Right Mouse Button Press
input M_BUTTON; // Middle Mouse Button Press
output[9:0] TRIGGER_LEVEL; // Current Trigger Level
output[9:0] VERT_OFFSET; // VERTICAL OFFSET
output[9:0] HORZ_OFFSET; // HORIZONTAL OFFSET
output[3:0] TIMESCALE; // Current Tiemscale value
output[1:0] TRIGGERSTYLE; // Style (rise/fall) of trigger
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire[9:0] XCOORD;
wire[9:0] YCOORD;
wire L_BUTTON, R_BUTTON, M_BUTTON;
wire[9:0] TRIGGER_LEVEL, VERT_OFFSET, HORZ_OFFSET;
wire[3:0] TIMESCALE;
wire[1:0] TRIGGERSTYLE;
 
//----------------------//
// REGISTERS //
//----------------------//
 
 
//----------------------//
// TESTING //
//----------------------//
 
 
 
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
 
//------------------------------------------------------------------//
// INTERMEDIATES //
//------------------------------------------------------------------//
 
// -- LEFT BUTTON --
wire Lrise, Lfall;
reg Lbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Lbuf <= 1'b0;
else Lbuf <= L_BUTTON;
end
 
assign Lrise = (!Lbuf & L_BUTTON);
assign Lfall = ( Lbuf & !L_BUTTON);
 
// -- RIGHT BUTTON --
wire Rrise, Rfall;
reg Rbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Rbuf <= 1'b0;
else Rbuf <= R_BUTTON;
end
 
assign Rrise = (!Rbuf & R_BUTTON);
assign Rfall = ( Rbuf & !R_BUTTON);
 
 
// -- MIDDLE BUTTON --
wire Mrise, Mfall;
reg Mbuf;
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1) Mbuf <= 1'b0;
else Mbuf <= M_BUTTON;
end
 
assign Mrise = (!Mbuf & M_BUTTON);
assign Mfall = ( Mbuf & !M_BUTTON);
 
 
//------------------------------------------------------------------//
// USER MODIFIABLE LINES //
//------------------------------------------------------------------//
sub_UserLines set_trigger(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.LINE_VALUE_OUT(TRIGGER_LEVEL),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD),
.RESET_VALUE(10'd99),
.LEFT(P_clickLimit_left),
.RGHT(P_clickLimit_right),
.BOT(TRIGGER_LEVEL),
// .BOT(TRIGGER_LEVEL-1'b1),
.TOP(TRIGGER_LEVEL+1'b1),
.SETXnY(1'b0)
);
sub_UserLines set_Voffset(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.LINE_VALUE_OUT(VERT_OFFSET),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD),
.RESET_VALUE(10'd0),
.LEFT(P_clickLimit_leftV),
.RGHT(P_clickLimit_rightV),
.BOT(VERT_OFFSET),
// .BOT(VERT_OFFSET-1'b1),
.TOP(VERT_OFFSET+1'b1),
.SETXnY(1'b0)
);
sub_UserLines set_Hoffset(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.LINE_VALUE_OUT(HORZ_OFFSET),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD),
.RESET_VALUE(10'd319),
// .LEFT(HORZ_OFFSET-1'b1),
.LEFT(HORZ_OFFSET),
.RGHT(HORZ_OFFSET+1'b1),
.BOT(P_clickLimit_bot),
.TOP(P_clickLimit_top),
.SETXnY(1'b1)
);
sub_UserTimeScaleBox TSBox(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.VALUE_OUT(TIMESCALE),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD)
);
sub_UserTriggerStyleBox TrigStyleBox(
.MASTER_CLK(CLK_50MHZ), .MASTER_RST(MASTER_RST),
.VALUE_OUT(TRIGGERSTYLE),
.BUTTON_RISE(Lrise),
.BUTTON_FALL(Lfall),
.XCOORD(XCOORD),
.YCOORD(YCOORD)
);
 
 
 
 
endmodule
 
/tags/A2/UserInput/sub_UserLines.v
0,0 → 1,122
//==================================================================//
// File: sub_UserLines.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jun 08, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// Ver 0.0.0.1 Jun 08, 2005 Under Development //
// //
//==================================================================//
 
module sub_UserLines(
MASTER_CLK, MASTER_RST,
LINE_VALUE_OUT,
BUTTON_RISE, BUTTON_FALL,
XCOORD, YCOORD, RESET_VALUE,
LEFT, RGHT, BOT, TOP,
SETXnY
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // global master clock
input MASTER_RST; // global master reset
input XCOORD, YCOORD; // X and Y coordinates of the current mouse
// position. See the documentation for details
input LEFT, RGHT; // Left and Right limits for 'InRange'
input TOP, BOT; // Top and Bottom limits for 'InRange'
input SETXnY; // Upon trigger, either set the 'Value' to the
// X or Y coord.
input BUTTON_RISE; // Trigger has risen
input BUTTON_FALL; // Trigger has fallen
 
output[9:0] LINE_VALUE_OUT; // a 10 bit register to store the X or Y value
 
input[9:0] RESET_VALUE; // Reset value
 
//----------------------//
// NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST;
wire[9:0] XCOORD, YCOORD, RESET_VALUE;
wire[9:0] LEFT, RGHT, TOP, BOT;
wire SETXnY;
wire BUTTON_RISE, BUTTON_FALL;
 
reg[9:0] LINE_VALUE_OUT;
 
 
 
 
//==================================================================//
// T E S T I N G //
//==================================================================//
// NOTHING TO TEST
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
wire in_range;
reg drag;
 
assign in_range = (((YCOORD >= BOT) && (YCOORD <= TOP)) && ((XCOORD >= LEFT && XCOORD <= RGHT)));
 
// the 'DRAG' state machine
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
drag <= 1'b0;
else if(BUTTON_RISE && in_range)
drag <= 1'b1;
else if(BUTTON_FALL)
drag <= 1'b0;
else
drag <= drag;
end
 
/*++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Until this is figured out, it is bad to have the lines at 'zero'
(due to the comparison for 'in range')
++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++*/
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
LINE_VALUE_OUT <= RESET_VALUE;
else if(drag && SETXnY)
LINE_VALUE_OUT <= XCOORD;
else if(drag && !SETXnY && (YCOORD<=10'd400))
LINE_VALUE_OUT <= YCOORD;
else
LINE_VALUE_OUT <= LINE_VALUE_OUT;
end
 
 
 
endmodule
 
/tags/A2/UserInput/sub_UserBoxes.v
0,0 → 1,187
//==================================================================//
// File: sub_UserBoxes.v //
// Version: 0.0.0.1 //
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -//
// Copyright (C) Stephen Pickett //
// Jul 15, 2005 //
// //
// This program is free software; you can redistribute it and/or //
// modify it under the terms of the GNU General Public License //
// as published by the Free Software Foundation; either version 2 //
// of the License, or (at your option) any later version. //
// //
// This program is distributed in the hope that it will be useful, //
// but WITHOUT ANY WARRANTY; without even the implied warranty of //
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the //
// GNU General Public License for more details. //
// //
// If you have not received a copy of the GNU General Public License//
// along with this program; write to: //
// Free Software Foundation, Inc., //
// 51 Franklin Street, Fifth Floor, //
// Boston, MA 02110-1301, USA. //
// //
//------------------------------------------------------------------//
// Revisions: //
// //
//==================================================================//
 
module sub_UserTimeScaleBox(
MASTER_CLK, MASTER_RST,
VALUE_OUT,
BUTTON_RISE, BUTTON_FALL,
XCOORD, YCOORD
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter P_UPleft = 10'h99;
parameter P_UPright = 10'h9D;
parameter P_UPbot = 10'h1E8;
parameter P_UPtop = 10'h1EE;
parameter P_DNleft = 10'h9F;
parameter P_DNright = 10'hA3;
parameter P_DNbot = 10'h1E8;
parameter P_DNtop = 10'h1EE;
 
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // global master clock
input MASTER_RST; // global master reset
input[9:0] XCOORD, YCOORD; // X and Y coordinates of the current mouse
// position. See the documentation for details
input BUTTON_RISE; // Trigger has risen
input BUTTON_FALL; // Trigger has fallen
 
output[3:0] VALUE_OUT; //
 
//----------------------//
// NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST;
wire[9:0] XCOORD, YCOORD;
wire BUTTON_RISE, BUTTON_FALL;
 
reg[3:0] VALUE_OUT;
 
 
 
 
//==================================================================//
// T E S T I N G //
//==================================================================//
// NOTHING TO TEST
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
wire in_range_up, in_range_dn;
 
assign in_range_up = (((YCOORD >= P_UPbot) && (YCOORD <= P_UPtop)) && ((XCOORD >= P_UPleft && XCOORD <= P_UPright)));
assign in_range_dn = (((YCOORD >= P_DNbot) && (YCOORD <= P_DNtop)) && ((XCOORD >= P_DNleft && XCOORD <= P_DNright)));
 
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
VALUE_OUT <= 4'b0;
else if(BUTTON_RISE && in_range_up)
VALUE_OUT <= VALUE_OUT + 1;
else if(BUTTON_RISE && in_range_dn)
VALUE_OUT <= VALUE_OUT - 1;
else
VALUE_OUT <= VALUE_OUT;
end
 
 
endmodule
 
//==================================================================//
//==================================================================//
//==================================================================//
//==================================================================//
//==================================================================//
//==================================================================//
 
 
module sub_UserTriggerStyleBox(
MASTER_CLK, MASTER_RST,
VALUE_OUT,
BUTTON_RISE, BUTTON_FALL,
XCOORD, YCOORD
);
//==================================================================//
// DEFINITIONS //
//==================================================================//
parameter P_RISEleft = 10'h39;
parameter P_RISEright = 10'h3D;
parameter P_RISEbot = 10'h1DF;
parameter P_RISEtop = 10'h1E5;
parameter P_FALLleft = 10'h3F;
parameter P_FALLright = 10'h43;
parameter P_FALLbot = 10'h1DF;
parameter P_FALLtop = 10'h1E5;
 
 
 
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS / OUTPUTS //
//----------------------//
input MASTER_CLK; // global master clock
input MASTER_RST; // global master reset
input[9:0] XCOORD, YCOORD; // X and Y coordinates of the current mouse
// position. See the documentation for details
input BUTTON_RISE; // Trigger has risen
input BUTTON_FALL; // Trigger has fallen
 
output[1:0] VALUE_OUT; //
 
//----------------------//
// NODES //
//----------------------//
wire MASTER_CLK, MASTER_RST;
wire[9:0] XCOORD, YCOORD;
wire BUTTON_RISE, BUTTON_FALL;
 
reg[1:0] VALUE_OUT;
 
 
 
 
//==================================================================//
// T E S T I N G //
//==================================================================//
// NOTHING TO TEST
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
wire in_range_rise, in_range_fall;
 
assign in_range_rise = (((YCOORD >= P_RISEbot) && (YCOORD <= P_RISEtop)) && ((XCOORD >= P_RISEleft && XCOORD <= P_RISEright)));
assign in_range_fall = (((YCOORD >= P_FALLbot) && (YCOORD <= P_FALLtop)) && ((XCOORD >= P_FALLleft && XCOORD <= P_FALLright)));
 
always @ (posedge MASTER_CLK or posedge MASTER_RST) begin
if(MASTER_RST)
VALUE_OUT <= 2'b00;
else if(BUTTON_RISE && in_range_rise)
VALUE_OUT <= 2'b00;
else if(BUTTON_RISE && in_range_fall)
VALUE_OUT <= 2'b01;
else
VALUE_OUT <= VALUE_OUT;
end
 
 
endmodule
 
 
/tags/A2/TopLevel.ucf
0,0 → 1,76
NET "CLK_ADC" LOC = "A13";
NET "ADC_DATA<0>" LOC = "D5";
NET "ADC_DATA<1>" LOC = "C5";
NET "ADC_DATA<2>" LOC = "D6";
NET "ADC_DATA<3>" LOC = "C6";
NET "ADC_DATA<4>" LOC = "E7";
NET "ADC_DATA<5>" LOC = "C7";
NET "ADC_DATA<6>" LOC = "D7";
NET "ADC_DATA<7>" LOC = "C8";
NET "ADC_DATA<8>" LOC = "D8";
NET "CLK_50MHZ_IN" LOC = "T9";
NET "H_SYNC" LOC = "R9";
NET "MASTER_RST" LOC = "L14";
NET "PS2C" LOC = "M16";
NET "PS2D" LOC = "M15";
NET "VGA_OUTPUT<1>" LOC = "T12";
NET "VGA_OUTPUT<2>" LOC = "R11";
NET "VGA_RAM_ADDR<0>" LOC = "L5";
NET "VGA_RAM_ADDR<1>" LOC = "N3";
NET "VGA_RAM_ADDR<2>" LOC = "M4";
NET "VGA_RAM_ADDR<3>" LOC = "M3";
NET "VGA_RAM_ADDR<4>" LOC = "L4";
NET "VGA_RAM_ADDR<5>" LOC = "G4";
NET "VGA_RAM_ADDR<6>" LOC = "F3";
NET "VGA_RAM_ADDR<7>" LOC = "F4";
NET "VGA_RAM_ADDR<8>" LOC = "E3";
NET "VGA_RAM_ADDR<9>" LOC = "E4";
NET "VGA_RAM_ADDR<10>" LOC = "G5";
NET "VGA_RAM_ADDR<11>" LOC = "H3";
NET "VGA_RAM_ADDR<12>" LOC = "H4";
NET "VGA_RAM_ADDR<13>" LOC = "J4";
NET "VGA_RAM_ADDR<14>" LOC = "J3";
NET "VGA_RAM_ADDR<15>" LOC = "K3";
NET "VGA_RAM_ADDR<16>" LOC = "K5";
NET "VGA_RAM_ADDR<17>" LOC = "L3";
NET "VGA_RAM_CS" LOC = "P7";
NET "VGA_RAM_DATA<0>" LOC = "N7";
NET "VGA_RAM_DATA<1>" LOC = "T8";
NET "VGA_RAM_DATA<2>" LOC = "R6";
NET "VGA_RAM_DATA<3>" LOC = "T5";
NET "VGA_RAM_DATA<4>" LOC = "R5";
NET "VGA_RAM_DATA<5>" LOC = "C2";
NET "VGA_RAM_DATA<6>" LOC = "C1";
NET "VGA_RAM_DATA<7>" LOC = "B1";
NET "VGA_RAM_DATA<8>" LOC = "D3";
NET "VGA_RAM_DATA<9>" LOC = "P8";
NET "VGA_RAM_DATA<10>" LOC = "F2";
NET "VGA_RAM_DATA<11>" LOC = "H1";
NET "VGA_RAM_DATA<12>" LOC = "J2";
NET "VGA_RAM_DATA<13>" LOC = "L2";
NET "VGA_RAM_DATA<14>" LOC = "P1";
NET "VGA_RAM_DATA<15>" LOC = "R1";
NET "VGA_RAM_OE" LOC = "K4";
NET "VGA_RAM_WE" LOC = "G3";
NET "V_SYNC" LOC = "T10";
NET "VGA_OUTPUT<0>" LOC = "R12";
NET "leds<0>" LOC = "K12";
NET "leds<1>" LOC = "P14";
NET "leds<2>" LOC = "L12";
NET "leds<3>" LOC = "N14";
NET "leds<4>" LOC = "P13";
NET "leds<5>" LOC = "N12";
NET "leds<6>" LOC = "P12";
NET "leds<7>" LOC = "P11";
NET "SEG_OUT<0>" LOC = "E14";
NET "SEG_OUT<1>" LOC = "G13";
NET "SEG_OUT<2>" LOC = "N15";
NET "SEG_OUT<3>" LOC = "P15";
NET "SEG_OUT<4>" LOC = "R16";
NET "SEG_OUT<5>" LOC = "F13";
NET "SEG_OUT<6>" LOC = "N16";
NET "SEG_SEL<0>" LOC = "D14";
NET "SEG_SEL<1>" LOC = "G14";
NET "SEG_SEL<2>" LOC = "F14";
NET "SEG_SEL<3>" LOC = "E13";
NET "SHOW_LEVELS_BUTTON" LOC = "K13";
/tags/A2/SegDriver/d_SegDriver.v
0,0 → 1,98
//==================================================================
// File: d_MouseDriver.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett, Clarke Ellis
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module sub_SegDriver(
CLK_50MHZ, MASTER_RST,
DATA_IN,
SEG_OUT, SEG_SEL
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input CLK_50MHZ; // System wide clock
input MASTER_RST; // System wide reset
input[15:0] DATA_IN;
//----------------------//
// OUTPUTS //
//----------------------//
output[6:0] SEG_OUT;
output[3:0] SEG_SEL;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire CLK_50MHZ, MASTER_RST;
wire[15:0] DATA_IN;
reg [6:0] SEG_OUT;
reg [3:0] SEG_SEL;
 
//----------------------//
// REGISTERS //
//----------------------//
wire[6:0] seg0, seg1, seg2, seg3;
reg[7:0] clk_390kHz;
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
always @ (posedge CLK_50MHZ or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
clk_390kHz <= 8'b0;
else
clk_390kHz <= clk_390kHz + 1;
end
 
always @ (posedge clk_390kHz[7] or posedge MASTER_RST) begin
if(MASTER_RST == 1'b1)
SEG_SEL <= 4'b1110;
else begin
SEG_SEL[3:1] <= SEG_SEL[2:0];
SEG_SEL[0] <= SEG_SEL[3];
end
end
 
always @ (SEG_SEL or seg0 or seg1 or seg2 or seg3) begin
if(SEG_SEL == 4'b1110)
SEG_OUT = seg0;
else if(SEG_SEL == 4'b1101)
SEG_OUT = seg1;
else if(SEG_SEL == 4'b1011)
SEG_OUT = seg2;
else if(SEG_SEL == 4'b0111)
SEG_OUT = seg3;
else
SEG_OUT = 7'b1111111;
end
 
sub_HexSeg sub_seg3( .DATA_IN(DATA_IN[15:12]),
.SEG_OUT(seg3)
);
sub_HexSeg sub_seg2( .DATA_IN(DATA_IN[11:8]),
.SEG_OUT(seg2)
);
sub_HexSeg sub_seg1( .DATA_IN(DATA_IN[7:4]),
.SEG_OUT(seg1)
);
sub_HexSeg sub_seg0( .DATA_IN(DATA_IN[3:0]),
.SEG_OUT(seg0)
);
 
endmodule
 
 
 
 
 
/tags/A2/SegDriver/d_HexSeg.v
0,0 → 1,146
//==================================================================
// File: d_MouseDriver.v
// Version: 0.01
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
// Copyright Stephen Pickett, Clarke Ellis
// April 28, 2005
//------------------------------------------------------------------
// Revisions:
// Ver 0.01 Apr 28, 2005 Initial Release
//
//==================================================================
 
module sub_HexSeg(
DATA_IN,
SEG_OUT
);
//==================================================================//
// VARIABLE DEFINITIONS //
//==================================================================//
//----------------------//
// INPUTS //
//----------------------//
input[3:0] DATA_IN;
//----------------------//
// OUTPUTS //
//----------------------//
output[6:0] SEG_OUT;
 
//----------------------//
// WIRES / NODES //
//----------------------//
wire[3:0] DATA_IN;
reg[6:0] SEG_OUT;
 
//----------------------//
// REGISTERS //
//----------------------//
 
//==================================================================//
// FUNCTIONAL DEFINITIONS //
//==================================================================//
// ____
// 5 | 0 | 1
// |____|
// 4 | 6 | 2
// |____|
// 3
 
always @ (DATA_IN) begin
SEG_OUT[6] = !((DATA_IN == 4'h2) |
(DATA_IN == 4'h3) |
(DATA_IN == 4'h4) |
(DATA_IN == 4'h5) |
(DATA_IN == 4'h6) |
(DATA_IN == 4'h8) |
(DATA_IN == 4'h9) |
(DATA_IN == 4'hA) |
(DATA_IN == 4'hB) |
(DATA_IN == 4'hD) |
(DATA_IN == 4'hE) |
(DATA_IN == 4'hF));
 
SEG_OUT[5] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
SEG_OUT[4] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hD) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
SEG_OUT[3] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hD) ||
(DATA_IN == 4'hE));
 
SEG_OUT[2] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h1) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hB) ||
(DATA_IN == 4'hD));
 
SEG_OUT[1] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h1) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h4) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hD));
 
SEG_OUT[0] = !((DATA_IN == 4'h0) ||
(DATA_IN == 4'h2) ||
(DATA_IN == 4'h3) ||
(DATA_IN == 4'h5) ||
(DATA_IN == 4'h6) ||
(DATA_IN == 4'h7) ||
(DATA_IN == 4'h8) ||
(DATA_IN == 4'h9) ||
(DATA_IN == 4'hA) ||
(DATA_IN == 4'hC) ||
(DATA_IN == 4'hE) ||
(DATA_IN == 4'hF));
 
 
end
 
endmodule
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.