OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/encore/trunk/fpmult/fpmult.qsf
0,0 → 1,355
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2010 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II
# Version 10.0 Build 218 06/27/2010 SJ Web Edition
# Date created = 18:02:41 January 28, 2011
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# fpmult_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
 
 
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C20F484C7
set_global_assignment -name TOP_LEVEL_ENTITY fpmult_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 10.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:02:41 JANUARY 28, 2011"
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name VHDL_FILE src/fpmult_stage0_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage0.vhdl
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name VHDL_FILE src/fpmult.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_comp.vhdl
set_global_assignment -name BDF_FILE src/fpmult_top.bdf
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE src/SignalTap.stp
set_location_assignment PIN_A13 -to GPIO_0[0]
set_location_assignment PIN_B13 -to GPIO_0[1]
set_location_assignment PIN_A14 -to GPIO_0[2]
set_location_assignment PIN_B14 -to GPIO_0[3]
set_location_assignment PIN_A15 -to GPIO_0[4]
set_location_assignment PIN_B15 -to GPIO_0[5]
set_location_assignment PIN_A16 -to GPIO_0[6]
set_location_assignment PIN_B16 -to GPIO_0[7]
set_location_assignment PIN_A17 -to GPIO_0[8]
set_location_assignment PIN_B17 -to GPIO_0[9]
set_location_assignment PIN_A18 -to GPIO_0[10]
set_location_assignment PIN_B18 -to GPIO_0[11]
set_location_assignment PIN_A19 -to GPIO_0[12]
set_location_assignment PIN_B19 -to GPIO_0[13]
set_location_assignment PIN_A20 -to GPIO_0[14]
set_location_assignment PIN_B20 -to GPIO_0[15]
set_location_assignment PIN_C21 -to GPIO_0[16]
set_location_assignment PIN_C22 -to GPIO_0[17]
set_location_assignment PIN_D21 -to GPIO_0[18]
set_location_assignment PIN_D22 -to GPIO_0[19]
set_location_assignment PIN_E21 -to GPIO_0[20]
set_location_assignment PIN_E22 -to GPIO_0[21]
set_location_assignment PIN_F21 -to GPIO_0[22]
set_location_assignment PIN_F22 -to GPIO_0[23]
set_location_assignment PIN_G21 -to GPIO_0[24]
set_location_assignment PIN_G22 -to GPIO_0[25]
set_location_assignment PIN_J21 -to GPIO_0[26]
set_location_assignment PIN_J22 -to GPIO_0[27]
set_location_assignment PIN_K21 -to GPIO_0[28]
set_location_assignment PIN_K22 -to GPIO_0[29]
set_location_assignment PIN_J19 -to GPIO_0[30]
set_location_assignment PIN_J20 -to GPIO_0[31]
set_location_assignment PIN_J18 -to GPIO_0[32]
set_location_assignment PIN_K20 -to GPIO_0[33]
set_location_assignment PIN_L19 -to GPIO_0[34]
set_location_assignment PIN_L18 -to GPIO_0[35]
set_location_assignment PIN_H12 -to GPIO_1[0]
set_location_assignment PIN_H13 -to GPIO_1[1]
set_location_assignment PIN_H14 -to GPIO_1[2]
set_location_assignment PIN_G15 -to GPIO_1[3]
set_location_assignment PIN_E14 -to GPIO_1[4]
set_location_assignment PIN_E15 -to GPIO_1[5]
set_location_assignment PIN_F15 -to GPIO_1[6]
set_location_assignment PIN_G16 -to GPIO_1[7]
set_location_assignment PIN_F12 -to GPIO_1[8]
set_location_assignment PIN_F13 -to GPIO_1[9]
set_location_assignment PIN_C14 -to GPIO_1[10]
set_location_assignment PIN_D14 -to GPIO_1[11]
set_location_assignment PIN_D15 -to GPIO_1[12]
set_location_assignment PIN_D16 -to GPIO_1[13]
set_location_assignment PIN_C17 -to GPIO_1[14]
set_location_assignment PIN_C18 -to GPIO_1[15]
set_location_assignment PIN_C19 -to GPIO_1[16]
set_location_assignment PIN_C20 -to GPIO_1[17]
set_location_assignment PIN_D19 -to GPIO_1[18]
set_location_assignment PIN_D20 -to GPIO_1[19]
set_location_assignment PIN_E20 -to GPIO_1[20]
set_location_assignment PIN_F20 -to GPIO_1[21]
set_location_assignment PIN_E19 -to GPIO_1[22]
set_location_assignment PIN_E18 -to GPIO_1[23]
set_location_assignment PIN_G20 -to GPIO_1[24]
set_location_assignment PIN_G18 -to GPIO_1[25]
set_location_assignment PIN_G17 -to GPIO_1[26]
set_location_assignment PIN_H17 -to GPIO_1[27]
set_location_assignment PIN_J15 -to GPIO_1[28]
set_location_assignment PIN_H18 -to GPIO_1[29]
set_location_assignment PIN_N22 -to GPIO_1[30]
set_location_assignment PIN_N21 -to GPIO_1[31]
set_location_assignment PIN_P15 -to GPIO_1[32]
set_location_assignment PIN_N15 -to GPIO_1[33]
set_location_assignment PIN_P17 -to GPIO_1[34]
set_location_assignment PIN_P18 -to GPIO_1[35]
set_location_assignment PIN_L22 -to SW[0]
set_location_assignment PIN_L21 -to SW[1]
set_location_assignment PIN_M22 -to SW[2]
set_location_assignment PIN_V12 -to SW[3]
set_location_assignment PIN_W12 -to SW[4]
set_location_assignment PIN_U12 -to SW[5]
set_location_assignment PIN_U11 -to SW[6]
set_location_assignment PIN_M2 -to SW[7]
set_location_assignment PIN_M1 -to SW[8]
set_location_assignment PIN_L2 -to SW[9]
set_location_assignment PIN_J2 -to HEX0[0]
set_location_assignment PIN_J1 -to HEX0[1]
set_location_assignment PIN_H2 -to HEX0[2]
set_location_assignment PIN_H1 -to HEX0[3]
set_location_assignment PIN_F2 -to HEX0[4]
set_location_assignment PIN_F1 -to HEX0[5]
set_location_assignment PIN_E2 -to HEX0[6]
set_location_assignment PIN_E1 -to HEX1[0]
set_location_assignment PIN_H6 -to HEX1[1]
set_location_assignment PIN_H5 -to HEX1[2]
set_location_assignment PIN_H4 -to HEX1[3]
set_location_assignment PIN_G3 -to HEX1[4]
set_location_assignment PIN_D2 -to HEX1[5]
set_location_assignment PIN_D1 -to HEX1[6]
set_location_assignment PIN_G5 -to HEX2[0]
set_location_assignment PIN_G6 -to HEX2[1]
set_location_assignment PIN_C2 -to HEX2[2]
set_location_assignment PIN_C1 -to HEX2[3]
set_location_assignment PIN_E3 -to HEX2[4]
set_location_assignment PIN_E4 -to HEX2[5]
set_location_assignment PIN_D3 -to HEX2[6]
set_location_assignment PIN_F4 -to HEX3[0]
set_location_assignment PIN_D5 -to HEX3[1]
set_location_assignment PIN_D6 -to HEX3[2]
set_location_assignment PIN_J4 -to HEX3[3]
set_location_assignment PIN_L8 -to HEX3[4]
set_location_assignment PIN_F3 -to HEX3[5]
set_location_assignment PIN_D4 -to HEX3[6]
set_location_assignment PIN_R22 -to KEY[0]
set_location_assignment PIN_R21 -to KEY[1]
set_location_assignment PIN_T22 -to KEY[2]
set_location_assignment PIN_T21 -to KEY[3]
set_location_assignment PIN_R20 -to LEDR[0]
set_location_assignment PIN_R19 -to LEDR[1]
set_location_assignment PIN_U19 -to LEDR[2]
set_location_assignment PIN_Y19 -to LEDR[3]
set_location_assignment PIN_T18 -to LEDR[4]
set_location_assignment PIN_V19 -to LEDR[5]
set_location_assignment PIN_Y18 -to LEDR[6]
set_location_assignment PIN_U18 -to LEDR[7]
set_location_assignment PIN_R18 -to LEDR[8]
set_location_assignment PIN_R17 -to LEDR[9]
set_location_assignment PIN_U22 -to LEDG[0]
set_location_assignment PIN_U21 -to LEDG[1]
set_location_assignment PIN_V22 -to LEDG[2]
set_location_assignment PIN_V21 -to LEDG[3]
set_location_assignment PIN_W22 -to LEDG[4]
set_location_assignment PIN_W21 -to LEDG[5]
set_location_assignment PIN_Y22 -to LEDG[6]
set_location_assignment PIN_Y21 -to LEDG[7]
set_location_assignment PIN_D12 -to CLOCK_27[0]
set_location_assignment PIN_E12 -to CLOCK_27[1]
set_location_assignment PIN_B12 -to CLOCK_24[0]
set_location_assignment PIN_A12 -to CLOCK_24[1]
set_location_assignment PIN_L1 -to CLOCK_50
set_location_assignment PIN_M21 -to EXT_CLOCK
set_location_assignment PIN_H15 -to PS2_CLK
set_location_assignment PIN_J14 -to PS2_DAT
set_location_assignment PIN_F14 -to UART_RXD
set_location_assignment PIN_G12 -to UART_TXD
set_location_assignment PIN_E8 -to TDI
set_location_assignment PIN_D8 -to TCS
set_location_assignment PIN_C7 -to TCK
set_location_assignment PIN_D7 -to TDO
set_location_assignment PIN_D9 -to VGA_R[0]
set_location_assignment PIN_C9 -to VGA_R[1]
set_location_assignment PIN_A7 -to VGA_R[2]
set_location_assignment PIN_B7 -to VGA_R[3]
set_location_assignment PIN_B8 -to VGA_G[0]
set_location_assignment PIN_C10 -to VGA_G[1]
set_location_assignment PIN_B9 -to VGA_G[2]
set_location_assignment PIN_A8 -to VGA_G[3]
set_location_assignment PIN_A9 -to VGA_B[0]
set_location_assignment PIN_D11 -to VGA_B[1]
set_location_assignment PIN_A10 -to VGA_B[2]
set_location_assignment PIN_B10 -to VGA_B[3]
set_location_assignment PIN_A11 -to VGA_HS
set_location_assignment PIN_B11 -to VGA_VS
set_location_assignment PIN_A3 -to I2C_SCLK
set_location_assignment PIN_B3 -to I2C_SDAT
set_location_assignment PIN_A6 -to AUD_ADCLRCK
set_location_assignment PIN_B6 -to AUD_ADCDAT
set_location_assignment PIN_A5 -to AUD_DACLRCK
set_location_assignment PIN_B5 -to AUD_DACDAT
set_location_assignment PIN_B4 -to AUD_XCK
set_location_assignment PIN_A4 -to AUD_BCLK
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
set_location_assignment PIN_U3 -to DRAM_BA_0
set_location_assignment PIN_V4 -to DRAM_BA_1
set_location_assignment PIN_T3 -to DRAM_CAS_N
set_location_assignment PIN_N3 -to DRAM_CKE
set_location_assignment PIN_U4 -to DRAM_CLK
set_location_assignment PIN_T6 -to DRAM_CS_N
set_location_assignment PIN_U1 -to DRAM_DQ[0]
set_location_assignment PIN_U2 -to DRAM_DQ[1]
set_location_assignment PIN_V1 -to DRAM_DQ[2]
set_location_assignment PIN_V2 -to DRAM_DQ[3]
set_location_assignment PIN_W1 -to DRAM_DQ[4]
set_location_assignment PIN_W2 -to DRAM_DQ[5]
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
set_location_assignment PIN_N1 -to DRAM_DQ[8]
set_location_assignment PIN_N2 -to DRAM_DQ[9]
set_location_assignment PIN_P1 -to DRAM_DQ[10]
set_location_assignment PIN_P2 -to DRAM_DQ[11]
set_location_assignment PIN_R1 -to DRAM_DQ[12]
set_location_assignment PIN_R2 -to DRAM_DQ[13]
set_location_assignment PIN_T1 -to DRAM_DQ[14]
set_location_assignment PIN_T2 -to DRAM_DQ[15]
set_location_assignment PIN_R7 -to DRAM_LDQM
set_location_assignment PIN_T5 -to DRAM_RAS_N
set_location_assignment PIN_M5 -to DRAM_UDQM
set_location_assignment PIN_R8 -to DRAM_WE_N
set_location_assignment PIN_AB20 -to FL_ADDR[0]
set_location_assignment PIN_AA14 -to FL_ADDR[1]
set_location_assignment PIN_Y16 -to FL_ADDR[2]
set_location_assignment PIN_R15 -to FL_ADDR[3]
set_location_assignment PIN_T15 -to FL_ADDR[4]
set_location_assignment PIN_U15 -to FL_ADDR[5]
set_location_assignment PIN_V15 -to FL_ADDR[6]
set_location_assignment PIN_W15 -to FL_ADDR[7]
set_location_assignment PIN_R14 -to FL_ADDR[8]
set_location_assignment PIN_Y13 -to FL_ADDR[9]
set_location_assignment PIN_R12 -to FL_ADDR[10]
set_location_assignment PIN_T12 -to FL_ADDR[11]
set_location_assignment PIN_AB14 -to FL_ADDR[12]
set_location_assignment PIN_AA13 -to FL_ADDR[13]
set_location_assignment PIN_AB13 -to FL_ADDR[14]
set_location_assignment PIN_AA12 -to FL_ADDR[15]
set_location_assignment PIN_AB12 -to FL_ADDR[16]
set_location_assignment PIN_AA20 -to FL_ADDR[17]
set_location_assignment PIN_U14 -to FL_ADDR[18]
set_location_assignment PIN_V14 -to FL_ADDR[19]
set_location_assignment PIN_U13 -to FL_ADDR[20]
set_location_assignment PIN_R13 -to FL_ADDR[21]
set_location_assignment PIN_AB16 -to FL_DQ[0]
set_location_assignment PIN_AA16 -to FL_DQ[1]
set_location_assignment PIN_AB17 -to FL_DQ[2]
set_location_assignment PIN_AA17 -to FL_DQ[3]
set_location_assignment PIN_AB18 -to FL_DQ[4]
set_location_assignment PIN_AA18 -to FL_DQ[5]
set_location_assignment PIN_AB19 -to FL_DQ[6]
set_location_assignment PIN_AA19 -to FL_DQ[7]
set_location_assignment PIN_AA15 -to FL_OE_N
set_location_assignment PIN_W14 -to FL_RST_N
set_location_assignment PIN_Y14 -to FL_WE_N
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
set_location_assignment PIN_AB5 -to SRAM_CE_N
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
set_location_assignment PIN_W9 -to SRAM_DQ[9]
set_location_assignment PIN_V9 -to SRAM_DQ[10]
set_location_assignment PIN_U9 -to SRAM_DQ[11]
set_location_assignment PIN_R9 -to SRAM_DQ[12]
set_location_assignment PIN_W8 -to SRAM_DQ[13]
set_location_assignment PIN_V8 -to SRAM_DQ[14]
set_location_assignment PIN_U8 -to SRAM_DQ[15]
set_location_assignment PIN_Y7 -to SRAM_LB_N
set_location_assignment PIN_T8 -to SRAM_OE_N
set_location_assignment PIN_W7 -to SRAM_UB_N
set_location_assignment PIN_AA10 -to SRAM_WE_N
set_location_assignment PIN_AB15 -to FL_CE_N
set_global_assignment -name SOURCE_FILE src/sp.spf
set_global_assignment -name QIP_FILE src/sp.qip
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name MISC_FILE "D:/Work/VHDL/fpmult/fpmult.dpf"
set_global_assignment -name VHDL_FILE src/fpmult_stage23_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage23.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_generic.vhdl
set_global_assignment -name VHDL_FILE src/fp_generic.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN_comp.vhdl
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
/encore/trunk/fpmult/src/fpmult_comp.vhdl
0,0 → 1,21
library ieee;
use ieee.std_logic_1164.all;
 
package fpmult_comp is
type fpmult_in_type is record
a:std_logic_vector(22 downto 0);
b:std_logic_vector(22 downto 0);
end record;
 
type fpmult_out_type is record
p:std_logic_vector(22 downto 0);
end record;
 
component fpmult is
port(
clk:in std_logic;
d:in fpmult_in_type;
q:out fpmult_out_type
);
end component;
end package;
/encore/trunk/fpmult/src/fpmult_stageN_comp.vhdl
0,0 → 1,29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fp_generic.all;
use work.fpmult_generic.all;
 
package fpmult_stageN_comp is
type fpmult_stageN_in_type is record
a:fp_type;
b:fp_type;
 
p_sign:fp_sign_type;
p_exp:fp_exp_type;
p_mantissa:fp_long_mantissa_type;
end record;
 
alias fpmult_stageN_out_type is fpmult_stageN_in_type;
 
component fpmult_stageN is
generic(
N:integer
);
port(
clk:in std_logic;
d:in fpmult_stageN_in_type;
q:out fpmult_stageN_out_type
);
end component;
end package;
/encore/trunk/fpmult/src/fpmult_top.bdf
0,0 → 1,542
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(symbol
(rect 496 248 696 696)
(text "fpmult" (rect 5 0 36 12)(font "Arial" ))
(text "inst" (rect 8 432 25 444)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk" (rect 0 0 14 12)(font "Arial" ))
(text "clk" (rect 21 27 35 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "a[31..0]" (rect 0 0 37 12)(font "Arial" ))
(text "a[31..0]" (rect 21 43 58 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 0 64)
(input)
(text "b[31..0]" (rect 0 0 37 12)(font "Arial" ))
(text "b[31..0]" (rect 21 59 58 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 200 32)
(output)
(text "p[31..0]" (rect 0 0 37 12)(font "Arial" ))
(text "p[31..0]" (rect 123 27 160 39)(font "Arial" ))
(line (pt 200 32)(pt 184 32)(line_width 3))
)
(port
(pt 200 48)
(output)
(text "p_s00[23..1]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s00[23..1]" (rect 95 43 156 55)(font "Arial" ))
(line (pt 200 48)(pt 184 48)(line_width 3))
)
(port
(pt 200 64)
(output)
(text "p_s01[25..1]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s01[25..1]" (rect 95 59 156 71)(font "Arial" ))
(line (pt 200 64)(pt 184 64)(line_width 3))
)
(port
(pt 200 80)
(output)
(text "p_s02[26..2]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s02[26..2]" (rect 95 75 156 87)(font "Arial" ))
(line (pt 200 80)(pt 184 80)(line_width 3))
)
(port
(pt 200 96)
(output)
(text "p_s03[27..3]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s03[27..3]" (rect 95 91 156 103)(font "Arial" ))
(line (pt 200 96)(pt 184 96)(line_width 3))
)
(port
(pt 200 112)
(output)
(text "p_s04[28..4]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s04[28..4]" (rect 95 107 156 119)(font "Arial" ))
(line (pt 200 112)(pt 184 112)(line_width 3))
)
(port
(pt 200 128)
(output)
(text "p_s05[29..5]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s05[29..5]" (rect 95 123 156 135)(font "Arial" ))
(line (pt 200 128)(pt 184 128)(line_width 3))
)
(port
(pt 200 144)
(output)
(text "p_s06[30..6]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s06[30..6]" (rect 95 139 156 151)(font "Arial" ))
(line (pt 200 144)(pt 184 144)(line_width 3))
)
(port
(pt 200 160)
(output)
(text "p_s07[31..7]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s07[31..7]" (rect 95 155 156 167)(font "Arial" ))
(line (pt 200 160)(pt 184 160)(line_width 3))
)
(port
(pt 200 176)
(output)
(text "p_s08[32..8]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s08[32..8]" (rect 95 171 156 183)(font "Arial" ))
(line (pt 200 176)(pt 184 176)(line_width 3))
)
(port
(pt 200 192)
(output)
(text "p_s09[33..9]" (rect 0 0 61 12)(font "Arial" ))
(text "p_s09[33..9]" (rect 95 187 156 199)(font "Arial" ))
(line (pt 200 192)(pt 184 192)(line_width 3))
)
(port
(pt 200 208)
(output)
(text "p_s10[34..10]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s10[34..10]" (rect 87 203 154 215)(font "Arial" ))
(line (pt 200 208)(pt 184 208)(line_width 3))
)
(port
(pt 200 224)
(output)
(text "p_s11[35..11]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s11[35..11]" (rect 87 219 154 231)(font "Arial" ))
(line (pt 200 224)(pt 184 224)(line_width 3))
)
(port
(pt 200 240)
(output)
(text "p_s12[36..12]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s12[36..12]" (rect 87 235 154 247)(font "Arial" ))
(line (pt 200 240)(pt 184 240)(line_width 3))
)
(port
(pt 200 256)
(output)
(text "p_s13[37..13]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s13[37..13]" (rect 87 251 154 263)(font "Arial" ))
(line (pt 200 256)(pt 184 256)(line_width 3))
)
(port
(pt 200 272)
(output)
(text "p_s14[38..14]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s14[38..14]" (rect 87 267 154 279)(font "Arial" ))
(line (pt 200 272)(pt 184 272)(line_width 3))
)
(port
(pt 200 288)
(output)
(text "p_s15[39..15]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s15[39..15]" (rect 87 283 154 295)(font "Arial" ))
(line (pt 200 288)(pt 184 288)(line_width 3))
)
(port
(pt 200 304)
(output)
(text "p_s16[40..16]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s16[40..16]" (rect 87 299 154 311)(font "Arial" ))
(line (pt 200 304)(pt 184 304)(line_width 3))
)
(port
(pt 200 320)
(output)
(text "p_s17[41..17]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s17[41..17]" (rect 87 315 154 327)(font "Arial" ))
(line (pt 200 320)(pt 184 320)(line_width 3))
)
(port
(pt 200 336)
(output)
(text "p_s18[42..18]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s18[42..18]" (rect 87 331 154 343)(font "Arial" ))
(line (pt 200 336)(pt 184 336)(line_width 3))
)
(port
(pt 200 352)
(output)
(text "p_s19[43..19]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s19[43..19]" (rect 87 347 154 359)(font "Arial" ))
(line (pt 200 352)(pt 184 352)(line_width 3))
)
(port
(pt 200 368)
(output)
(text "p_s20[44..20]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s20[44..20]" (rect 87 363 154 375)(font "Arial" ))
(line (pt 200 368)(pt 184 368)(line_width 3))
)
(port
(pt 200 384)
(output)
(text "p_s21[45..21]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s21[45..21]" (rect 87 379 154 391)(font "Arial" ))
(line (pt 200 384)(pt 184 384)(line_width 3))
)
(port
(pt 200 400)
(output)
(text "p_s22[46..22]" (rect 0 0 67 12)(font "Arial" ))
(text "p_s22[46..22]" (rect 87 395 154 407)(font "Arial" ))
(line (pt 200 400)(pt 184 400)(line_width 3))
)
(drawing
(rectangle (rect 16 16 184 432))
)
)
(symbol
(rect 488 56 736 160)
(text "sp" (rect 114 0 130 16)(font "Arial" (font_size 10)))
(text "inst1" (rect 217 88 240 100)(font "Arial" ))
(port
(pt 248 48)
(input)
(text "probe[510..0]" (rect 0 0 75 14)(font "Arial" (font_size 8)))
(text "probe[510..0]" (rect 153 41 228 55)(font "Arial" (font_size 8)))
(line (pt 248 48)(pt 232 48)(line_width 3))
)
(port
(pt 0 40)
(output)
(text "source[127..0]" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "source[127..0]" (rect 7 33 89 47)(font "Arial" (font_size 8)))
(line (pt 0 40)(pt 16 40)(line_width 3))
)
(drawing
(line (pt 248 0)(pt -2 0))
(line (pt -2 0)(pt -2 112))
(line (pt 248 112)(pt -2 112))
(line (pt 248 0)(pt 248 112))
(line (pt 232 24)(pt 12 24))
(line (pt 12 24)(pt 12 90))
(line (pt 232 90)(pt 12 90))
(line (pt 232 24)(pt 232 90))
)
(flipy)
)
(connector
(text "source[127]" (rect 374 213 386 270)(font "Arial" )(vertical))
(pt 392 96)
(pt 392 280)
)
(connector
(pt 392 280)
(pt 496 280)
)
(connector
(text "source[127..0]" (rect 402 80 472 92)(font "Arial" ))
(pt 392 96)
(pt 488 96)
(bus)
)
(connector
(text "probe[510..0]" (rect 754 88 818 100)(font "Arial" ))
(pt 736 104)
(pt 976 104)
(bus)
)
(connector
(pt 496 296)
(pt 368 296)
(bus)
)
(connector
(pt 368 96)
(pt 392 96)
(bus)
)
(connector
(pt 496 312)
(pt 344 312)
(bus)
)
(connector
(pt 344 96)
(pt 368 96)
(bus)
)
(connector
(text "source[31..0]" (rect 352 225 364 289)(font "Arial" )(vertical))
(pt 368 296)
(pt 368 96)
(bus)
)
(connector
(text "source[63..32]" (rect 328 236 340 306)(font "Arial" )(vertical))
(pt 344 312)
(pt 344 96)
(bus)
)
(connector
(pt 976 104)
(pt 976 280)
(bus)
)
(connector
(pt 976 280)
(pt 976 296)
(bus)
)
(connector
(pt 976 296)
(pt 976 312)
(bus)
)
(connector
(pt 976 312)
(pt 976 328)
(bus)
)
(connector
(text "probe[31..0]" (rect 712 264 771 276)(font "Arial" ))
(pt 696 280)
(pt 976 280)
(bus)
)
(connector
(pt 976 328)
(pt 976 344)
(bus)
)
(connector
(pt 976 344)
(pt 976 360)
(bus)
)
(connector
(pt 976 360)
(pt 976 376)
(bus)
)
(connector
(pt 976 376)
(pt 976 392)
(bus)
)
(connector
(pt 976 392)
(pt 976 408)
(bus)
)
(connector
(pt 976 408)
(pt 976 424)
(bus)
)
(connector
(pt 976 424)
(pt 976 440)
(bus)
)
(connector
(pt 976 440)
(pt 976 456)
(bus)
)
(connector
(pt 976 456)
(pt 976 472)
(bus)
)
(connector
(pt 976 472)
(pt 976 488)
(bus)
)
(connector
(pt 976 488)
(pt 976 504)
(bus)
)
(connector
(pt 976 504)
(pt 976 520)
(bus)
)
(connector
(pt 976 520)
(pt 976 536)
(bus)
)
(connector
(pt 976 536)
(pt 976 552)
(bus)
)
(connector
(pt 976 552)
(pt 976 568)
(bus)
)
(connector
(pt 976 568)
(pt 976 584)
(bus)
)
(connector
(text "probe[54..32]" (rect 712 280 776 292)(font "Arial" ))
(pt 696 296)
(pt 976 296)
(bus)
)
(connector
(text "probe[79..55]" (rect 712 296 776 308)(font "Arial" ))
(pt 696 312)
(pt 976 312)
(bus)
)
(connector
(text "probe[104..80]" (rect 712 312 782 324)(font "Arial" ))
(pt 696 328)
(pt 976 328)
(bus)
)
(connector
(text "probe[129..105]" (rect 712 328 788 340)(font "Arial" ))
(pt 696 344)
(pt 976 344)
(bus)
)
(connector
(text "probe[154..130]" (rect 712 344 788 356)(font "Arial" ))
(pt 696 360)
(pt 976 360)
(bus)
)
(connector
(text "probe[179..155]" (rect 712 360 788 372)(font "Arial" ))
(pt 696 376)
(pt 976 376)
(bus)
)
(connector
(text "probe[204..180]" (rect 712 376 788 388)(font "Arial" ))
(pt 696 392)
(pt 976 392)
(bus)
)
(connector
(text "probe[229..205]" (rect 712 392 788 404)(font "Arial" ))
(pt 696 408)
(pt 976 408)
(bus)
)
(connector
(text "probe[254..230]" (rect 712 408 788 420)(font "Arial" ))
(pt 696 424)
(pt 976 424)
(bus)
)
(connector
(text "probe[279..255]" (rect 712 424 788 436)(font "Arial" ))
(pt 696 440)
(pt 976 440)
(bus)
)
(connector
(text "probe[304..280]" (rect 712 440 788 452)(font "Arial" ))
(pt 976 456)
(pt 696 456)
(bus)
)
(connector
(text "probe[329..305]" (rect 712 456 788 468)(font "Arial" ))
(pt 696 472)
(pt 976 472)
(bus)
)
(connector
(text "probe[354..330]" (rect 712 472 788 484)(font "Arial" ))
(pt 696 488)
(pt 976 488)
(bus)
)
(connector
(text "probe[379..355]" (rect 712 488 788 500)(font "Arial" ))
(pt 696 504)
(pt 976 504)
(bus)
)
(connector
(text "probe[404..380]" (rect 712 504 788 516)(font "Arial" ))
(pt 696 520)
(pt 976 520)
(bus)
)
(connector
(text "probe[429..405]" (rect 712 520 788 532)(font "Arial" ))
(pt 696 536)
(pt 976 536)
(bus)
)
(connector
(text "probe[454..430]" (rect 712 536 788 548)(font "Arial" ))
(pt 696 552)
(pt 976 552)
(bus)
)
(connector
(text "probe[479..455]" (rect 712 552 788 564)(font "Arial" ))
(pt 696 568)
(pt 976 568)
(bus)
)
(connector
(text "probe[504..480]" (rect 712 568 788 580)(font "Arial" ))
(pt 696 584)
(pt 976 584)
(bus)
)
(junction (pt 392 96))
(junction (pt 368 96))
(junction (pt 976 280))
(junction (pt 976 296))
(junction (pt 976 312))
(junction (pt 976 328))
(junction (pt 976 344))
(junction (pt 976 360))
(junction (pt 976 376))
(junction (pt 976 392))
(junction (pt 976 408))
(junction (pt 976 424))
(junction (pt 976 440))
(junction (pt 976 456))
(junction (pt 976 472))
(junction (pt 976 488))
(junction (pt 976 504))
(junction (pt 976 520))
(junction (pt 976 536))
(junction (pt 976 552))
(junction (pt 976 568))
/encore/trunk/fpmult/src/fpmult_stage0_comp.vhdl
0,0 → 1,23
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fp_generic.all;
use work.fpmult_generic.all;
use work.fpmult_stageN_comp.all;
 
package fpmult_stage0_comp is
type fpmult_stage0_in_type is record
a:fp_type;
b:fp_type;
end record;
 
alias fpmult_stage0_out_type is fpmult_stageN_in_type;
 
component fpmult_stage0 is
port(
clk:in std_logic;
d:in fpmult_stage0_in_type;
q:out fpmult_stage0_out_type
);
end component;
end package;
/encore/trunk/fpmult/src/SignalTap.stp
0,0 → 1,433
<session sof_file="">
<display_tree gui_logging_enabled="0">
<display_branch instance="SignalTap" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
</display_tree>
<instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="SignalTap" source_file="sld_signaltap.vhd">
<node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>
<position_info>
<single attribute="active tab" value="1"/>
</position_info>
<signal_set global_temp="1" name="signal_set: 2011/01/30 15:25:25 #0">
<clock name="auto_stp_external_clock_0" polarity="posedge" tap_mode="classic"/>
<config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="128" trigger_in_enable="no" trigger_out_enable="no"/>
<top_entity/>
<signal_vec>
<trigger_input_vec>
<wire name="a[0]" tap_mode="classic" type="input pin"/>
<wire name="a[10]" tap_mode="classic" type="input pin"/>
<wire name="a[11]" tap_mode="classic" type="input pin"/>
<wire name="a[12]" tap_mode="classic" type="input pin"/>
<wire name="a[13]" tap_mode="classic" type="input pin"/>
<wire name="a[14]" tap_mode="classic" type="input pin"/>
<wire name="a[15]" tap_mode="classic" type="input pin"/>
<wire name="a[16]" tap_mode="classic" type="input pin"/>
<wire name="a[17]" tap_mode="classic" type="input pin"/>
<wire name="a[18]" tap_mode="classic" type="input pin"/>
<wire name="a[19]" tap_mode="classic" type="input pin"/>
<wire name="a[1]" tap_mode="classic" type="input pin"/>
<wire name="a[20]" tap_mode="classic" type="input pin"/>
<wire name="a[21]" tap_mode="classic" type="input pin"/>
<wire name="a[22]" tap_mode="classic" type="input pin"/>
<wire name="a[2]" tap_mode="classic" type="input pin"/>
<wire name="a[3]" tap_mode="classic" type="input pin"/>
<wire name="a[4]" tap_mode="classic" type="input pin"/>
<wire name="a[5]" tap_mode="classic" type="input pin"/>
<wire name="a[6]" tap_mode="classic" type="input pin"/>
<wire name="a[7]" tap_mode="classic" type="input pin"/>
<wire name="a[8]" tap_mode="classic" type="input pin"/>
<wire name="a[9]" tap_mode="classic" type="input pin"/>
<wire name="b[0]" tap_mode="classic" type="input pin"/>
<wire name="b[10]" tap_mode="classic" type="input pin"/>
<wire name="b[11]" tap_mode="classic" type="input pin"/>
<wire name="b[12]" tap_mode="classic" type="input pin"/>
<wire name="b[13]" tap_mode="classic" type="input pin"/>
<wire name="b[14]" tap_mode="classic" type="input pin"/>
<wire name="b[15]" tap_mode="classic" type="input pin"/>
<wire name="b[16]" tap_mode="classic" type="input pin"/>
<wire name="b[17]" tap_mode="classic" type="input pin"/>
<wire name="b[18]" tap_mode="classic" type="input pin"/>
<wire name="b[19]" tap_mode="classic" type="input pin"/>
<wire name="b[1]" tap_mode="classic" type="input pin"/>
<wire name="b[20]" tap_mode="classic" type="input pin"/>
<wire name="b[21]" tap_mode="classic" type="input pin"/>
<wire name="b[22]" tap_mode="classic" type="input pin"/>
<wire name="b[2]" tap_mode="classic" type="input pin"/>
<wire name="b[3]" tap_mode="classic" type="input pin"/>
<wire name="b[4]" tap_mode="classic" type="input pin"/>
<wire name="b[5]" tap_mode="classic" type="input pin"/>
<wire name="b[6]" tap_mode="classic" type="input pin"/>
<wire name="b[7]" tap_mode="classic" type="input pin"/>
<wire name="b[8]" tap_mode="classic" type="input pin"/>
<wire name="b[9]" tap_mode="classic" type="input pin"/>
<wire name="clk" tap_mode="classic" type="input pin"/>
<wire name="p[0]" tap_mode="classic" type="output pin"/>
<wire name="p[10]" tap_mode="classic" type="output pin"/>
<wire name="p[11]" tap_mode="classic" type="output pin"/>
<wire name="p[12]" tap_mode="classic" type="output pin"/>
<wire name="p[13]" tap_mode="classic" type="output pin"/>
<wire name="p[14]" tap_mode="classic" type="output pin"/>
<wire name="p[15]" tap_mode="classic" type="output pin"/>
<wire name="p[16]" tap_mode="classic" type="output pin"/>
<wire name="p[17]" tap_mode="classic" type="output pin"/>
<wire name="p[18]" tap_mode="classic" type="output pin"/>
<wire name="p[19]" tap_mode="classic" type="output pin"/>
<wire name="p[1]" tap_mode="classic" type="output pin"/>
<wire name="p[20]" tap_mode="classic" type="output pin"/>
<wire name="p[21]" tap_mode="classic" type="output pin"/>
<wire name="p[22]" tap_mode="classic" type="output pin"/>
<wire name="p[2]" tap_mode="classic" type="output pin"/>
<wire name="p[3]" tap_mode="classic" type="output pin"/>
<wire name="p[4]" tap_mode="classic" type="output pin"/>
<wire name="p[5]" tap_mode="classic" type="output pin"/>
<wire name="p[6]" tap_mode="classic" type="output pin"/>
<wire name="p[7]" tap_mode="classic" type="output pin"/>
<wire name="p[8]" tap_mode="classic" type="output pin"/>
<wire name="p[9]" tap_mode="classic" type="output pin"/>
</trigger_input_vec>
<data_input_vec>
<wire name="a[0]" tap_mode="classic" type="input pin"/>
<wire name="a[10]" tap_mode="classic" type="input pin"/>
<wire name="a[11]" tap_mode="classic" type="input pin"/>
<wire name="a[12]" tap_mode="classic" type="input pin"/>
<wire name="a[13]" tap_mode="classic" type="input pin"/>
<wire name="a[14]" tap_mode="classic" type="input pin"/>
<wire name="a[15]" tap_mode="classic" type="input pin"/>
<wire name="a[16]" tap_mode="classic" type="input pin"/>
<wire name="a[17]" tap_mode="classic" type="input pin"/>
<wire name="a[18]" tap_mode="classic" type="input pin"/>
<wire name="a[19]" tap_mode="classic" type="input pin"/>
<wire name="a[1]" tap_mode="classic" type="input pin"/>
<wire name="a[20]" tap_mode="classic" type="input pin"/>
<wire name="a[21]" tap_mode="classic" type="input pin"/>
<wire name="a[22]" tap_mode="classic" type="input pin"/>
<wire name="a[2]" tap_mode="classic" type="input pin"/>
<wire name="a[3]" tap_mode="classic" type="input pin"/>
<wire name="a[4]" tap_mode="classic" type="input pin"/>
<wire name="a[5]" tap_mode="classic" type="input pin"/>
<wire name="a[6]" tap_mode="classic" type="input pin"/>
<wire name="a[7]" tap_mode="classic" type="input pin"/>
<wire name="a[8]" tap_mode="classic" type="input pin"/>
<wire name="a[9]" tap_mode="classic" type="input pin"/>
<wire name="b[0]" tap_mode="classic" type="input pin"/>
<wire name="b[10]" tap_mode="classic" type="input pin"/>
<wire name="b[11]" tap_mode="classic" type="input pin"/>
<wire name="b[12]" tap_mode="classic" type="input pin"/>
<wire name="b[13]" tap_mode="classic" type="input pin"/>
<wire name="b[14]" tap_mode="classic" type="input pin"/>
<wire name="b[15]" tap_mode="classic" type="input pin"/>
<wire name="b[16]" tap_mode="classic" type="input pin"/>
<wire name="b[17]" tap_mode="classic" type="input pin"/>
<wire name="b[18]" tap_mode="classic" type="input pin"/>
<wire name="b[19]" tap_mode="classic" type="input pin"/>
<wire name="b[1]" tap_mode="classic" type="input pin"/>
<wire name="b[20]" tap_mode="classic" type="input pin"/>
<wire name="b[21]" tap_mode="classic" type="input pin"/>
<wire name="b[22]" tap_mode="classic" type="input pin"/>
<wire name="b[2]" tap_mode="classic" type="input pin"/>
<wire name="b[3]" tap_mode="classic" type="input pin"/>
<wire name="b[4]" tap_mode="classic" type="input pin"/>
<wire name="b[5]" tap_mode="classic" type="input pin"/>
<wire name="b[6]" tap_mode="classic" type="input pin"/>
<wire name="b[7]" tap_mode="classic" type="input pin"/>
<wire name="b[8]" tap_mode="classic" type="input pin"/>
<wire name="b[9]" tap_mode="classic" type="input pin"/>
<wire name="clk" tap_mode="classic" type="input pin"/>
<wire name="p[0]" tap_mode="classic" type="output pin"/>
<wire name="p[10]" tap_mode="classic" type="output pin"/>
<wire name="p[11]" tap_mode="classic" type="output pin"/>
<wire name="p[12]" tap_mode="classic" type="output pin"/>
<wire name="p[13]" tap_mode="classic" type="output pin"/>
<wire name="p[14]" tap_mode="classic" type="output pin"/>
<wire name="p[15]" tap_mode="classic" type="output pin"/>
<wire name="p[16]" tap_mode="classic" type="output pin"/>
<wire name="p[17]" tap_mode="classic" type="output pin"/>
<wire name="p[18]" tap_mode="classic" type="output pin"/>
<wire name="p[19]" tap_mode="classic" type="output pin"/>
<wire name="p[1]" tap_mode="classic" type="output pin"/>
<wire name="p[20]" tap_mode="classic" type="output pin"/>
<wire name="p[21]" tap_mode="classic" type="output pin"/>
<wire name="p[22]" tap_mode="classic" type="output pin"/>
<wire name="p[2]" tap_mode="classic" type="output pin"/>
<wire name="p[3]" tap_mode="classic" type="output pin"/>
<wire name="p[4]" tap_mode="classic" type="output pin"/>
<wire name="p[5]" tap_mode="classic" type="output pin"/>
<wire name="p[6]" tap_mode="classic" type="output pin"/>
<wire name="p[7]" tap_mode="classic" type="output pin"/>
<wire name="p[8]" tap_mode="classic" type="output pin"/>
<wire name="p[9]" tap_mode="classic" type="output pin"/>
</data_input_vec>
<storage_qualifier_input_vec>
<wire name="a[0]" tap_mode="classic" type="input pin"/>
<wire name="a[10]" tap_mode="classic" type="input pin"/>
<wire name="a[11]" tap_mode="classic" type="input pin"/>
<wire name="a[12]" tap_mode="classic" type="input pin"/>
<wire name="a[13]" tap_mode="classic" type="input pin"/>
<wire name="a[14]" tap_mode="classic" type="input pin"/>
<wire name="a[15]" tap_mode="classic" type="input pin"/>
<wire name="a[16]" tap_mode="classic" type="input pin"/>
<wire name="a[17]" tap_mode="classic" type="input pin"/>
<wire name="a[18]" tap_mode="classic" type="input pin"/>
<wire name="a[19]" tap_mode="classic" type="input pin"/>
<wire name="a[1]" tap_mode="classic" type="input pin"/>
<wire name="a[20]" tap_mode="classic" type="input pin"/>
<wire name="a[21]" tap_mode="classic" type="input pin"/>
<wire name="a[22]" tap_mode="classic" type="input pin"/>
<wire name="a[2]" tap_mode="classic" type="input pin"/>
<wire name="a[3]" tap_mode="classic" type="input pin"/>
<wire name="a[4]" tap_mode="classic" type="input pin"/>
<wire name="a[5]" tap_mode="classic" type="input pin"/>
<wire name="a[6]" tap_mode="classic" type="input pin"/>
<wire name="a[7]" tap_mode="classic" type="input pin"/>
<wire name="a[8]" tap_mode="classic" type="input pin"/>
<wire name="a[9]" tap_mode="classic" type="input pin"/>
<wire name="b[0]" tap_mode="classic" type="input pin"/>
<wire name="b[10]" tap_mode="classic" type="input pin"/>
<wire name="b[11]" tap_mode="classic" type="input pin"/>
<wire name="b[12]" tap_mode="classic" type="input pin"/>
<wire name="b[13]" tap_mode="classic" type="input pin"/>
<wire name="b[14]" tap_mode="classic" type="input pin"/>
<wire name="b[15]" tap_mode="classic" type="input pin"/>
<wire name="b[16]" tap_mode="classic" type="input pin"/>
<wire name="b[17]" tap_mode="classic" type="input pin"/>
<wire name="b[18]" tap_mode="classic" type="input pin"/>
<wire name="b[19]" tap_mode="classic" type="input pin"/>
<wire name="b[1]" tap_mode="classic" type="input pin"/>
<wire name="b[20]" tap_mode="classic" type="input pin"/>
<wire name="b[21]" tap_mode="classic" type="input pin"/>
<wire name="b[22]" tap_mode="classic" type="input pin"/>
<wire name="b[2]" tap_mode="classic" type="input pin"/>
<wire name="b[3]" tap_mode="classic" type="input pin"/>
<wire name="b[4]" tap_mode="classic" type="input pin"/>
<wire name="b[5]" tap_mode="classic" type="input pin"/>
<wire name="b[6]" tap_mode="classic" type="input pin"/>
<wire name="b[7]" tap_mode="classic" type="input pin"/>
<wire name="b[8]" tap_mode="classic" type="input pin"/>
<wire name="b[9]" tap_mode="classic" type="input pin"/>
<wire name="clk" tap_mode="classic" type="input pin"/>
<wire name="p[0]" tap_mode="classic" type="output pin"/>
<wire name="p[10]" tap_mode="classic" type="output pin"/>
<wire name="p[11]" tap_mode="classic" type="output pin"/>
<wire name="p[12]" tap_mode="classic" type="output pin"/>
<wire name="p[13]" tap_mode="classic" type="output pin"/>
<wire name="p[14]" tap_mode="classic" type="output pin"/>
<wire name="p[15]" tap_mode="classic" type="output pin"/>
<wire name="p[16]" tap_mode="classic" type="output pin"/>
<wire name="p[17]" tap_mode="classic" type="output pin"/>
<wire name="p[18]" tap_mode="classic" type="output pin"/>
<wire name="p[19]" tap_mode="classic" type="output pin"/>
<wire name="p[1]" tap_mode="classic" type="output pin"/>
<wire name="p[20]" tap_mode="classic" type="output pin"/>
<wire name="p[21]" tap_mode="classic" type="output pin"/>
<wire name="p[22]" tap_mode="classic" type="output pin"/>
<wire name="p[2]" tap_mode="classic" type="output pin"/>
<wire name="p[3]" tap_mode="classic" type="output pin"/>
<wire name="p[4]" tap_mode="classic" type="output pin"/>
<wire name="p[5]" tap_mode="classic" type="output pin"/>
<wire name="p[6]" tap_mode="classic" type="output pin"/>
<wire name="p[7]" tap_mode="classic" type="output pin"/>
<wire name="p[8]" tap_mode="classic" type="output pin"/>
<wire name="p[9]" tap_mode="classic" type="output pin"/>
</storage_qualifier_input_vec>
</signal_vec>
<presentation>
<data_view>
<bus is_signal_inverted="no" link="all" name="a" order="msb_to_lsb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="a[22]"/>
<net is_signal_inverted="no" name="a[21]"/>
<net is_signal_inverted="no" name="a[20]"/>
<net is_signal_inverted="no" name="a[19]"/>
<net is_signal_inverted="no" name="a[18]"/>
<net is_signal_inverted="no" name="a[17]"/>
<net is_signal_inverted="no" name="a[16]"/>
<net is_signal_inverted="no" name="a[15]"/>
<net is_signal_inverted="no" name="a[14]"/>
<net is_signal_inverted="no" name="a[13]"/>
<net is_signal_inverted="no" name="a[12]"/>
<net is_signal_inverted="no" name="a[11]"/>
<net is_signal_inverted="no" name="a[10]"/>
<net is_signal_inverted="no" name="a[9]"/>
<net is_signal_inverted="no" name="a[8]"/>
<net is_signal_inverted="no" name="a[7]"/>
<net is_signal_inverted="no" name="a[6]"/>
<net is_signal_inverted="no" name="a[5]"/>
<net is_signal_inverted="no" name="a[4]"/>
<net is_signal_inverted="no" name="a[3]"/>
<net is_signal_inverted="no" name="a[2]"/>
<net is_signal_inverted="no" name="a[1]"/>
<net is_signal_inverted="no" name="a[0]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="b" order="msb_to_lsb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="b[22]"/>
<net is_signal_inverted="no" name="b[21]"/>
<net is_signal_inverted="no" name="b[20]"/>
<net is_signal_inverted="no" name="b[19]"/>
<net is_signal_inverted="no" name="b[18]"/>
<net is_signal_inverted="no" name="b[17]"/>
<net is_signal_inverted="no" name="b[16]"/>
<net is_signal_inverted="no" name="b[15]"/>
<net is_signal_inverted="no" name="b[14]"/>
<net is_signal_inverted="no" name="b[13]"/>
<net is_signal_inverted="no" name="b[12]"/>
<net is_signal_inverted="no" name="b[11]"/>
<net is_signal_inverted="no" name="b[10]"/>
<net is_signal_inverted="no" name="b[9]"/>
<net is_signal_inverted="no" name="b[8]"/>
<net is_signal_inverted="no" name="b[7]"/>
<net is_signal_inverted="no" name="b[6]"/>
<net is_signal_inverted="no" name="b[5]"/>
<net is_signal_inverted="no" name="b[4]"/>
<net is_signal_inverted="no" name="b[3]"/>
<net is_signal_inverted="no" name="b[2]"/>
<net is_signal_inverted="no" name="b[1]"/>
<net is_signal_inverted="no" name="b[0]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="p" order="msb_to_lsb" radix="hex" state="collapse" type="output pin">
<net is_signal_inverted="no" name="p[22]"/>
<net is_signal_inverted="no" name="p[21]"/>
<net is_signal_inverted="no" name="p[20]"/>
<net is_signal_inverted="no" name="p[19]"/>
<net is_signal_inverted="no" name="p[18]"/>
<net is_signal_inverted="no" name="p[17]"/>
<net is_signal_inverted="no" name="p[16]"/>
<net is_signal_inverted="no" name="p[15]"/>
<net is_signal_inverted="no" name="p[14]"/>
<net is_signal_inverted="no" name="p[13]"/>
<net is_signal_inverted="no" name="p[12]"/>
<net is_signal_inverted="no" name="p[11]"/>
<net is_signal_inverted="no" name="p[10]"/>
<net is_signal_inverted="no" name="p[9]"/>
<net is_signal_inverted="no" name="p[8]"/>
<net is_signal_inverted="no" name="p[7]"/>
<net is_signal_inverted="no" name="p[6]"/>
<net is_signal_inverted="no" name="p[5]"/>
<net is_signal_inverted="no" name="p[4]"/>
<net is_signal_inverted="no" name="p[3]"/>
<net is_signal_inverted="no" name="p[2]"/>
<net is_signal_inverted="no" name="p[1]"/>
<net is_signal_inverted="no" name="p[0]"/>
</bus>
<net is_signal_inverted="no" name="clk"/>
</data_view>
<setup_view>
<bus is_signal_inverted="no" link="all" name="a" order="msb_to_lsb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="a[22]"/>
<net is_signal_inverted="no" name="a[21]"/>
<net is_signal_inverted="no" name="a[20]"/>
<net is_signal_inverted="no" name="a[19]"/>
<net is_signal_inverted="no" name="a[18]"/>
<net is_signal_inverted="no" name="a[17]"/>
<net is_signal_inverted="no" name="a[16]"/>
<net is_signal_inverted="no" name="a[15]"/>
<net is_signal_inverted="no" name="a[14]"/>
<net is_signal_inverted="no" name="a[13]"/>
<net is_signal_inverted="no" name="a[12]"/>
<net is_signal_inverted="no" name="a[11]"/>
<net is_signal_inverted="no" name="a[10]"/>
<net is_signal_inverted="no" name="a[9]"/>
<net is_signal_inverted="no" name="a[8]"/>
<net is_signal_inverted="no" name="a[7]"/>
<net is_signal_inverted="no" name="a[6]"/>
<net is_signal_inverted="no" name="a[5]"/>
<net is_signal_inverted="no" name="a[4]"/>
<net is_signal_inverted="no" name="a[3]"/>
<net is_signal_inverted="no" name="a[2]"/>
<net is_signal_inverted="no" name="a[1]"/>
<net is_signal_inverted="no" name="a[0]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="b" order="msb_to_lsb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="b[22]"/>
<net is_signal_inverted="no" name="b[21]"/>
<net is_signal_inverted="no" name="b[20]"/>
<net is_signal_inverted="no" name="b[19]"/>
<net is_signal_inverted="no" name="b[18]"/>
<net is_signal_inverted="no" name="b[17]"/>
<net is_signal_inverted="no" name="b[16]"/>
<net is_signal_inverted="no" name="b[15]"/>
<net is_signal_inverted="no" name="b[14]"/>
<net is_signal_inverted="no" name="b[13]"/>
<net is_signal_inverted="no" name="b[12]"/>
<net is_signal_inverted="no" name="b[11]"/>
<net is_signal_inverted="no" name="b[10]"/>
<net is_signal_inverted="no" name="b[9]"/>
<net is_signal_inverted="no" name="b[8]"/>
<net is_signal_inverted="no" name="b[7]"/>
<net is_signal_inverted="no" name="b[6]"/>
<net is_signal_inverted="no" name="b[5]"/>
<net is_signal_inverted="no" name="b[4]"/>
<net is_signal_inverted="no" name="b[3]"/>
<net is_signal_inverted="no" name="b[2]"/>
<net is_signal_inverted="no" name="b[1]"/>
<net is_signal_inverted="no" name="b[0]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="p" order="msb_to_lsb" radix="hex" state="collapse" type="output pin">
<net is_signal_inverted="no" name="p[22]"/>
<net is_signal_inverted="no" name="p[21]"/>
<net is_signal_inverted="no" name="p[20]"/>
<net is_signal_inverted="no" name="p[19]"/>
<net is_signal_inverted="no" name="p[18]"/>
<net is_signal_inverted="no" name="p[17]"/>
<net is_signal_inverted="no" name="p[16]"/>
<net is_signal_inverted="no" name="p[15]"/>
<net is_signal_inverted="no" name="p[14]"/>
<net is_signal_inverted="no" name="p[13]"/>
<net is_signal_inverted="no" name="p[12]"/>
<net is_signal_inverted="no" name="p[11]"/>
<net is_signal_inverted="no" name="p[10]"/>
<net is_signal_inverted="no" name="p[9]"/>
<net is_signal_inverted="no" name="p[8]"/>
<net is_signal_inverted="no" name="p[7]"/>
<net is_signal_inverted="no" name="p[6]"/>
<net is_signal_inverted="no" name="p[5]"/>
<net is_signal_inverted="no" name="p[4]"/>
<net is_signal_inverted="no" name="p[3]"/>
<net is_signal_inverted="no" name="p[2]"/>
<net is_signal_inverted="no" name="p[1]"/>
<net is_signal_inverted="no" name="p[0]"/>
</bus>
<net is_signal_inverted="no" name="clk"/>
</setup_view>
</presentation>
<trigger attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2011/01/30 15:25:25 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>0000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>0000000000000000000000000000000000000000000000000000000000000000000000</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
</trigger>
</signal_set>
</instance>
<mnemonics/>
<global_info>
<single attribute="active instance" value="0"/>
<single attribute="config widget visible" value="1"/>
<single attribute="data log widget visible" value="1"/>
<single attribute="hierarchy widget visible" value="1"/>
<single attribute="instance widget visible" value="1"/>
<single attribute="jtag widget visible" value="1"/>
<multi attribute="frame size" size="2" value="1141,815"/>
<multi attribute="jtag widget size" size="2" value="334,120"/>
</global_info>
</session>
/encore/trunk/fpmult/src/sp.bsf
0,0 → 1,50
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "symbol" (version "1.2"))
(symbol
(rect 0 0 248 104)
(text "sp" (rect 118 0 134 16)(font "Arial" (font_size 10)))
(text "inst" (rect 8 88 25 100)(font "Arial" ))
(port
(pt 0 48)
(input)
(text "probe[510..0]" (rect 0 0 75 14)(font "Arial" (font_size 8)))
(text "probe[510..0]" (rect 20 41 82 54)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 248 40)
(output)
(text "source[127..0]" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "source[127..0]" (rect 159 33 227 46)(font "Arial" (font_size 8)))
(line (pt 248 40)(pt 232 40)(line_width 3))
)
(drawing
(line (pt 0 0)(pt 250 0))
(line (pt 250 0)(pt 250 112))
(line (pt 0 112)(pt 250 112))
(line (pt 0 0)(pt 0 112))
(line (pt 16 24)(pt 236 24))
(line (pt 236 24)(pt 236 90))
(line (pt 16 90)(pt 236 90))
(line (pt 16 24)(pt 16 90))
)
)
/encore/trunk/fpmult/src/fp_generic.vhdl
0,0 → 1,89
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
 
package fp_generic is
 
subtype fp_type is std_logic_vector(31 downto 0);
subtype fp_sign_type is std_logic;
subtype fp_exp_type is unsigned(7 downto 0);
subtype fp_mantissa_type is unsigned(23 downto 0);
subtype fp_long_mantissa_type is unsigned(47 downto 0);
 
subtype fp_error_type is std_logic_vector(5 downto 0);
constant FP_ERR_INVALID:fp_error_type:="000001";
constant FP_ERR_DIVBYZERO:fp_error_type:="000100";
constant FP_ERR_OVERFLOW:fp_error_type:="001000";
constant FP_ERR_UNDERFLOW:fp_error_type:="010000";
constant FP_ERR_INEXACT:fp_error_type:="100000";
 
function fp_sign(fp:fp_type) return fp_sign_type;
function fp_exp(fp:fp_type) return fp_exp_type;
function fp_mantissa(fp:fp_type) return fp_mantissa_type;
 
function fp_is_normal(fp:fp_type) return boolean;
function fp_is_zero(fp:fp_type) return boolean;
function fp_is_subnormal(fp:fp_type) return boolean;
function fp_is_infinite(fp:fp_type) return boolean;
function fp_is_nan(fp:fp_type) return boolean;
function fp_is_signalling(fp:fp_type) return boolean;
function fp_is_quiet(fp:fp_type) return boolean;
 
end package;
 
package body fp_generic is
 
function fp_sign(fp:fp_type) return fp_sign_type is
begin
return fp(31);
end function fp_sign;
 
function fp_exp(fp:fp_type) return fp_exp_type is
begin
return unsigned(fp(30 downto 23));
end function fp_exp;
 
function fp_mantissa(fp:fp_type) return fp_mantissa_type is
begin
return unsigned("1"&fp(22 downto 0)); -- Prepend implied '1' bit of IEEE-754 mantissa in order to return a 24 bit entity
end function fp_mantissa;
 
function fp_is_normal(fp:fp_type) return boolean is
variable e:fp_exp_type;
begin
e:=fp_exp(fp);
 
return (e/=(others=>'0')) and (e/=(others=>'1'));
end function fp_is_normal;
 
function fp_is_zero(fp:fp_type) return boolean is
begin
return (unsigned(fp_exp(fp))=0) and (unsigned(fp_mantissa(fp))=0);
end function fp_is_zero;
 
function fp_is_subnormal(fp:fp_type) return boolean is
begin
return (fp_exp(fp)=(others=>'0')) and (fp_mantissa(fp)/=(others=>'0'));
end function fp_is_subnormal;
 
function fp_is_infinite(fp:fp_type) return boolean is
begin
return (fp_exp(fp)=(others=>'1')) and (fp_mantissa(fp)=(others=>'0'));
end function fp_is_infinite;
 
function fp_is_nan(fp:fp_type) return boolean is
begin
return (fp_exp(fp)=(others=>'1')) and (fp_mantissa(fp)/=(others=>'0'));
end function fp_is_nan;
 
function fp_is_signalling(fp:fp_type) return boolean is
begin
return fp_is_nan(fp) and fp_mantissa(fp)(22)='0';
end function fp_is_signalling;
 
function fp_is_quiet(fp:fp_type) return boolean is
begin
return fp_is_nan(fp) and fp_mantissa(fp)(22)='1';
end function fp_is_quiet;
 
end package body fp_generic;
/encore/trunk/fpmult/src/fpmult_generic.vhdl
0,0 → 1,21
library ieee;
use ieee.std_logic_1164.all;
 
package fpmult_generic is
 
subtype fp_status_type is std_logic_vector(2 downto 0);
constant ZERO:fp_status_type:="000";
constant NORMAL:fp_status_type:="001";
constant SUBNORMAL:fp_status_type:="010";
constant INFINITY:fp_status_type:="011";
constant NAN:fp_status_type:="100";
constant SIGNALLING_NAN:fp_status_type:="101";
constant QUIET_NAN:fp_status_type:="110";
 
-- type fp_status_type is (ZERO, NORMAL, INFINITY, NAN);
 
-- type fp_status_encoding_array_type is array(fp_status_type) of std_logic_vector(1 downto 0);
 
-- constant fp_status_encoding_array : fp_status_encoding_array_type := ( ZERO => "00", NORMAL => "01", INFINITY => "10", NAN => "11");
 
end package;
/encore/trunk/fpmult/src/testmult.txt
0,0 → 1,18
0x3FD94755 = 00111111 11011001 01000111 01010101
1.69748938083648681640625E0
S=0 E=0x7F M=0x594755
 
0x3FB76CE1 = 00111111 10110111 01101100 11100001
1.43301022052764892578125E0
S=0 E=0x7F M=0x376CE1
 
 
 
0x401BAE67 = 01000000 00011011 10101110 01100111
2.4325196743011474609375E0
S=0 E=0x80 M=0x1BAE67
 
 
(0x800000+0x594755) * (0x800000+0x376CE1) = 0x9BAE66D28DB5
0x9BAE66D28DB5 >> 1 = 0x4DD7336946DA
0x4DD7336946DA & 0x3FFFFFFFFFFF = 0x0DD7336946DA
/encore/trunk/fpmult/src/sp.cmp
0,0 → 1,22
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
 
 
component sp
PORT
(
probe : IN STD_LOGIC_VECTOR (510 DOWNTO 0);
source : OUT STD_LOGIC_VECTOR (127 DOWNTO 0)
);
end component;
/encore/trunk/fpmult/src/sp.vhd
0,0 → 1,118
-- megafunction wizard: %In-System Sources and Probes%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsource_probe
 
-- ============================================================
-- File Name: sp.vhd
-- Megafunction Name(s):
-- altsource_probe
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 10.1 Build 153 11/29/2010 SJ Web Edition
-- ************************************************************
 
 
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
 
 
LIBRARY ieee;
USE ieee.std_logic_1164.all;
 
LIBRARY altera_mf;
USE altera_mf.all;
 
ENTITY sp IS
PORT
(
probe : IN STD_LOGIC_VECTOR (510 DOWNTO 0);
source : OUT STD_LOGIC_VECTOR (127 DOWNTO 0)
);
END sp;
 
 
ARCHITECTURE SYN OF sp IS
 
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (127 DOWNTO 0);
 
 
 
COMPONENT altsource_probe
GENERIC (
enable_metastability : STRING;
instance_id : STRING;
probe_width : NATURAL;
sld_auto_instance_index : STRING;
sld_instance_index : NATURAL;
source_initial_value : STRING;
source_width : NATURAL;
lpm_type : STRING
);
PORT (
probe : IN STD_LOGIC_VECTOR (510 DOWNTO 0);
source : OUT STD_LOGIC_VECTOR (127 DOWNTO 0)
);
END COMPONENT;
 
BEGIN
source <= sub_wire0(127 DOWNTO 0);
 
altsource_probe_component : altsource_probe
GENERIC MAP (
enable_metastability => "NO",
instance_id => "SP",
probe_width => 511,
sld_auto_instance_index => "YES",
sld_instance_index => 0,
source_initial_value => " 0",
source_width => 128,
lpm_type => "altsource_probe"
)
PORT MAP (
probe => probe,
source => sub_wire0
);
 
 
 
END SYN;
 
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ENABLE_METASTABILITY STRING "NO"
-- Retrieval info: CONSTANT: INSTANCE_ID STRING "SP"
-- Retrieval info: CONSTANT: PROBE_WIDTH NUMERIC "511"
-- Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "YES"
-- Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "0"
-- Retrieval info: CONSTANT: SOURCE_INITIAL_VALUE STRING " 0"
-- Retrieval info: CONSTANT: SOURCE_WIDTH NUMERIC "128"
-- Retrieval info: USED_PORT: probe 0 0 511 0 INPUT NODEFVAL "probe[510..0]"
-- Retrieval info: USED_PORT: source 0 0 128 0 OUTPUT NODEFVAL "source[127..0]"
-- Retrieval info: CONNECT: @probe 0 0 511 0 probe 0 0 511 0
-- Retrieval info: CONNECT: source 0 0 128 0 @source 0 0 128 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL sp.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sp.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sp.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sp.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sp_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
/encore/trunk/fpmult/src/fpmult.vhdl
0,0 → 1,101
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--use work.fpmult_comp.all;
use work.fpmult_stage0_comp.all;
use work.fpmult_stageN_comp.all;
use work.fpmult_stage23_comp.all;
 
entity fpmult is
port(
clk:in std_logic;
 
a:in std_logic_vector(31 downto 0);
b:in std_logic_vector(31 downto 0);
p:out std_logic_vector(31 downto 0);
 
p_s00:out std_logic_vector(23 downto 1);
p_s01:out std_logic_vector(25 downto 1);
p_s02:out std_logic_vector(26 downto 2);
p_s03:out std_logic_vector(27 downto 3);
p_s04:out std_logic_vector(28 downto 4);
p_s05:out std_logic_vector(29 downto 5);
p_s06:out std_logic_vector(30 downto 6);
p_s07:out std_logic_vector(31 downto 7);
p_s08:out std_logic_vector(32 downto 8);
p_s09:out std_logic_vector(33 downto 9);
p_s10:out std_logic_vector(34 downto 10);
p_s11:out std_logic_vector(35 downto 11);
p_s12:out std_logic_vector(36 downto 12);
p_s13:out std_logic_vector(37 downto 13);
p_s14:out std_logic_vector(38 downto 14);
p_s15:out std_logic_vector(39 downto 15);
p_s16:out std_logic_vector(40 downto 16);
p_s17:out std_logic_vector(41 downto 17);
p_s18:out std_logic_vector(42 downto 18);
p_s19:out std_logic_vector(43 downto 19);
p_s20:out std_logic_vector(44 downto 20);
p_s21:out std_logic_vector(45 downto 21);
p_s22:out std_logic_vector(46 downto 22)
);
end;
 
architecture structural of fpmult is
signal fpmult_stage0_in:fpmult_stage0_in_type;
signal fpmult_stage0_out:fpmult_stage0_out_type;
signal fpmult_stage23_in:fpmult_stage23_in_type;
signal fpmult_stage23_out:fpmult_stage23_out_type;
type fpmult_stageN_in_array_type is array(23 downto 1) of fpmult_stageN_in_type;
type fpmult_stageN_out_array_type is array(22 downto 1) of fpmult_stageN_out_type;
signal fpmult_stageN_in_array:fpmult_stageN_in_array_type;
signal fpmult_stageN_out_array:fpmult_stageN_out_array_type;
begin
fpmult_stage0_in.a<=a;
fpmult_stage0_in.b<=b;
 
stage0:fpmult_stage0 port map(clk,fpmult_stage0_in,fpmult_stage0_out);
 
fpmult_stageN_in_array(1).a<=fpmult_stage0_out.a;
fpmult_stageN_in_array(1).b<=fpmult_stage0_out.b;
fpmult_stageN_in_array(1).p_sign<=fpmult_stage0_out.p_sign;
fpmult_stageN_in_array(1).p_exp<=fpmult_stage0_out.p_exp;
fpmult_stageN_in_array(1).p_mantissa<=fpmult_stage0_out.p_mantissa;
pipeline:for N in 22 downto 1 generate
stageN:fpmult_stageN generic map(N) port map(clk,fpmult_stageN_in_array(N),fpmult_stageN_out_array(N));
fpmult_stageN_in_array(N+1)<=fpmult_stageN_out_array(N);
end generate pipeline;
 
fpmult_stage23_in.a<=fpmult_stageN_out_array(22).a;
fpmult_stage23_in.p_sign<=fpmult_stageN_out_array(22).p_sign;
fpmult_stage23_in.p_exp<=fpmult_stageN_out_array(22).p_exp;
fpmult_stage23_in.p_mantissa<=fpmult_stageN_out_array(22).p_mantissa;
 
stage23:fpmult_stage23 port map(clk,fpmult_stage23_in,fpmult_stage23_out);
 
p<=fpmult_stage23_out.p;
p_s00<=std_logic_vector(fpmult_stage0_out.p_mantissa(23 downto 1));
p_s01<=std_logic_vector(fpmult_stageN_out_array(1).p_mantissa(25 downto 1));
p_s02<=std_logic_vector(fpmult_stageN_out_array(2).p_mantissa(26 downto 2));
p_s03<=std_logic_vector(fpmult_stageN_out_array(3).p_mantissa(27 downto 3));
p_s04<=std_logic_vector(fpmult_stageN_out_array(4).p_mantissa(28 downto 4));
p_s05<=std_logic_vector(fpmult_stageN_out_array(5).p_mantissa(29 downto 5));
p_s06<=std_logic_vector(fpmult_stageN_out_array(6).p_mantissa(30 downto 6));
p_s07<=std_logic_vector(fpmult_stageN_out_array(7).p_mantissa(31 downto 7));
p_s08<=std_logic_vector(fpmult_stageN_out_array(8).p_mantissa(32 downto 8));
p_s09<=std_logic_vector(fpmult_stageN_out_array(9).p_mantissa(33 downto 9));
p_s10<=std_logic_vector(fpmult_stageN_out_array(10).p_mantissa(34 downto 10));
p_s11<=std_logic_vector(fpmult_stageN_out_array(11).p_mantissa(35 downto 11));
p_s12<=std_logic_vector(fpmult_stageN_out_array(12).p_mantissa(36 downto 12));
p_s13<=std_logic_vector(fpmult_stageN_out_array(13).p_mantissa(37 downto 13));
p_s14<=std_logic_vector(fpmult_stageN_out_array(14).p_mantissa(38 downto 14));
p_s15<=std_logic_vector(fpmult_stageN_out_array(15).p_mantissa(39 downto 15));
p_s16<=std_logic_vector(fpmult_stageN_out_array(16).p_mantissa(40 downto 16));
p_s17<=std_logic_vector(fpmult_stageN_out_array(17).p_mantissa(41 downto 17));
p_s18<=std_logic_vector(fpmult_stageN_out_array(18).p_mantissa(42 downto 18));
p_s19<=std_logic_vector(fpmult_stageN_out_array(19).p_mantissa(43 downto 19));
p_s20<=std_logic_vector(fpmult_stageN_out_array(20).p_mantissa(44 downto 20));
p_s21<=std_logic_vector(fpmult_stageN_out_array(21).p_mantissa(45 downto 21));
p_s22<=std_logic_vector(fpmult_stageN_out_array(22).p_mantissa(46 downto 22));
end;
/encore/trunk/fpmult/src/fpmult_stageN.vhdl
0,0 → 1,65
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fp_generic.all;
use work.fpmult_stageN_comp.all;
 
entity fpmult_stageN is
generic(
N:integer
);
port(
clk:in std_logic;
d:in fpmult_stageN_in_type;
q:out fpmult_stageN_out_type
);
end;
 
architecture twoproc of fpmult_stageN is
type reg_type is record
a:fp_type;
b:fp_type;
 
p_sign:fp_sign_type;
p_exp:fp_exp_type;
p_mantissa:fp_long_mantissa_type;
end record;
signal r,rin:reg_type;
begin
comb:process(d,r)
variable v:reg_type;
begin
-- sample register outputs
v:=r;
 
-- overload
v.a:=d.a;
v.b:=d.b;
 
v.p_sign:=d.p_sign;
v.p_exp:=d.p_exp;
if fp_mantissa(d.b)(N)='1' then
v.p_mantissa:=(resize(fp_mantissa(d.a),48) sll N) + d.p_mantissa;
else
v.p_mantissa:=d.p_mantissa;
end if;
 
-- drive register inputs
rin<=v;
 
-- drive outputs
q.a<=r.a;
q.b<=r.b;
 
q.p_sign<=r.p_sign;
q.p_exp<=r.p_exp;
q.p_mantissa<=r.p_mantissa;
end process;
seq:process(clk,rin)
begin
if rising_edge(clk) then
r<=rin;
end if;
end process;
end;
/encore/trunk/fpmult/src/fpmult_stage0.vhdl
0,0 → 1,62
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fp_generic.all;
use work.fpmult_stage0_comp.all;
 
entity fpmult_stage0 is
port(
clk:in std_logic;
d:in fpmult_stage0_in_type;
q:out fpmult_stage0_out_type
);
end;
 
architecture twoproc of fpmult_stage0 is
type reg_type is record
a:fp_type;
b:fp_type;
 
p_sign:fp_sign_type;
p_exp:fp_exp_type;
p_mantissa:fp_long_mantissa_type;
end record;
signal r,rin:reg_type;
begin
comb:process(d,r)
variable v:reg_type;
begin
-- sample register outputs
v:=r;
 
-- overload
v.a:=d.a;
v.b:=d.b;
 
v.p_sign:=fp_sign(d.a) xor fp_sign(d.b);
v.p_exp:=fp_exp(d.a) + fp_exp(d.b) - 127;
if fp_mantissa(d.b)(0)='1' then
v.p_mantissa:=resize(fp_mantissa(d.a),48);
else
v.p_mantissa:=(others=>'0');
end if;
 
-- drive register inputs
rin<=v;
 
-- drive outputs
q.a<=r.a;
q.b<=r.b;
 
q.p_sign<=r.p_sign;
q.p_exp<=r.p_exp;
q.p_mantissa<=r.p_mantissa;
end process;
seq:process(clk,rin)
begin
if rising_edge(clk) then
r<=rin;
end if;
end process;
end;
/encore/trunk/fpmult/src/sp.spf
0,0 → 1,1318
<session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C15/20 (0x020B30DD)" sof_file="D:/Work/VHDL/fpmult/fpmult.sof">
<display_attributes is_max_probe_read_interval="0" is_write_immediate="1" probe_read_interval="1" probe_read_interval_units="s"/>
<instance enable_logging="true" entity_name="SP" is_auto_node="true" name="sp:inst1|altsource_probe:altsource_probe_component">
<node_ip_info instance_id="0" mfg_id="110" node_id="9" version="0"/>
<sources>
<source name="source[0]" value="true"/>
<source name="source[1]" value="false"/>
<source name="source[2]" value="true"/>
<source name="source[3]" value="false"/>
<source name="source[4]" value="true"/>
<source name="source[5]" value="false"/>
<source name="source[6]" value="true"/>
<source name="source[7]" value="false"/>
<source name="source[8]" value="true"/>
<source name="source[9]" value="true"/>
<source name="source[10]" value="true"/>
<source name="source[11]" value="false"/>
<source name="source[12]" value="false"/>
<source name="source[13]" value="false"/>
<source name="source[14]" value="true"/>
<source name="source[15]" value="false"/>
<source name="source[16]" value="true"/>
<source name="source[17]" value="false"/>
<source name="source[18]" value="false"/>
<source name="source[19]" value="true"/>
<source name="source[20]" value="true"/>
<source name="source[21]" value="false"/>
<source name="source[22]" value="true"/>
<source name="source[23]" value="true"/>
<source name="source[24]" value="true"/>
<source name="source[25]" value="true"/>
<source name="source[26]" value="true"/>
<source name="source[27]" value="true"/>
<source name="source[28]" value="true"/>
<source name="source[29]" value="true"/>
<source name="source[30]" value="false"/>
<source name="source[31]" value="false"/>
<source name="source[32]" value="true"/>
<source name="source[33]" value="false"/>
<source name="source[34]" value="false"/>
<source name="source[35]" value="false"/>
<source name="source[36]" value="false"/>
<source name="source[37]" value="true"/>
<source name="source[38]" value="true"/>
<source name="source[39]" value="true"/>
<source name="source[40]" value="false"/>
<source name="source[41]" value="false"/>
<source name="source[42]" value="true"/>
<source name="source[43]" value="true"/>
<source name="source[44]" value="false"/>
<source name="source[45]" value="true"/>
<source name="source[46]" value="true"/>
<source name="source[47]" value="false"/>
<source name="source[48]" value="true"/>
<source name="source[49]" value="true"/>
<source name="source[50]" value="true"/>
<source name="source[51]" value="false"/>
<source name="source[52]" value="true"/>
<source name="source[53]" value="true"/>
<source name="source[54]" value="false"/>
<source name="source[55]" value="true"/>
<source name="source[56]" value="true"/>
<source name="source[57]" value="true"/>
<source name="source[58]" value="true"/>
<source name="source[59]" value="true"/>
<source name="source[60]" value="true"/>
<source name="source[61]" value="true"/>
<source name="source[62]" value="false"/>
<source name="source[63]" value="false"/>
<source name="source[64]"/>
<source name="source[65]"/>
<source name="source[66]"/>
<source name="source[67]"/>
<source name="source[68]"/>
<source name="source[69]"/>
<source name="source[70]"/>
<source name="source[71]"/>
<source name="source[72]"/>
<source name="source[73]"/>
<source name="source[74]"/>
<source name="source[75]"/>
<source name="source[76]"/>
<source name="source[77]"/>
<source name="source[78]"/>
<source name="source[79]"/>
<source name="source[80]"/>
<source name="source[81]"/>
<source name="source[82]"/>
<source name="source[83]"/>
<source name="source[84]"/>
<source name="source[85]"/>
<source name="source[86]"/>
<source name="source[87]"/>
<source name="source[88]"/>
<source name="source[89]"/>
<source name="source[90]"/>
<source name="source[91]"/>
<source name="source[92]"/>
<source name="source[93]"/>
<source name="source[94]"/>
<source name="source[95]"/>
<source name="source[96]"/>
<source name="source[97]"/>
<source name="source[98]"/>
<source name="source[99]"/>
<source name="source[100]"/>
<source name="source[101]"/>
<source name="source[102]"/>
<source name="source[103]"/>
<source name="source[104]"/>
<source name="source[105]"/>
<source name="source[106]"/>
<source name="source[107]"/>
<source name="source[108]"/>
<source name="source[109]"/>
<source name="source[110]"/>
<source name="source[111]"/>
<source name="source[112]"/>
<source name="source[113]"/>
<source name="source[114]"/>
<source name="source[115]"/>
<source name="source[116]"/>
<source name="source[117]"/>
<source name="source[118]"/>
<source name="source[119]"/>
<source name="source[120]"/>
<source name="source[121]"/>
<source name="source[122]"/>
<source name="source[123]"/>
<source name="source[124]"/>
<source name="source[125]"/>
<source name="source[126]"/>
<source name="source[127]" value="true"/>
</sources>
<probes>
<probe name="sp:inst1|probe[0]"/>
<probe name="sp:inst1|probe[1]"/>
<probe name="sp:inst1|probe[2]"/>
<probe name="sp:inst1|probe[3]"/>
<probe name="sp:inst1|probe[4]"/>
<probe name="sp:inst1|probe[5]"/>
<probe name="sp:inst1|probe[6]"/>
<probe name="sp:inst1|probe[7]"/>
<probe name="sp:inst1|probe[8]"/>
<probe name="sp:inst1|probe[9]"/>
<probe name="sp:inst1|probe[10]"/>
<probe name="sp:inst1|probe[11]"/>
<probe name="sp:inst1|probe[12]"/>
<probe name="sp:inst1|probe[13]"/>
<probe name="sp:inst1|probe[14]"/>
<probe name="sp:inst1|probe[15]"/>
<probe name="sp:inst1|probe[16]"/>
<probe name="sp:inst1|probe[17]"/>
<probe name="sp:inst1|probe[18]"/>
<probe name="sp:inst1|probe[19]"/>
<probe name="sp:inst1|probe[20]"/>
<probe name="sp:inst1|probe[21]"/>
<probe name="sp:inst1|probe[22]"/>
<probe name="sp:inst1|probe[23]"/>
<probe name="sp:inst1|probe[24]"/>
<probe name="sp:inst1|probe[25]"/>
<probe name="sp:inst1|probe[26]"/>
<probe name="sp:inst1|probe[27]"/>
<probe name="sp:inst1|probe[28]"/>
<probe name="sp:inst1|probe[29]"/>
<probe name="sp:inst1|probe[30]"/>
<probe name="sp:inst1|probe[31]"/>
<probe name="sp:inst1|probe[32]"/>
<probe name="sp:inst1|probe[33]"/>
<probe name="sp:inst1|probe[34]"/>
<probe name="sp:inst1|probe[35]"/>
<probe name="sp:inst1|probe[36]"/>
<probe name="sp:inst1|probe[37]"/>
<probe name="sp:inst1|probe[38]"/>
<probe name="sp:inst1|probe[39]"/>
<probe name="sp:inst1|probe[40]"/>
<probe name="sp:inst1|probe[41]"/>
<probe name="sp:inst1|probe[42]"/>
<probe name="sp:inst1|probe[43]"/>
<probe name="sp:inst1|probe[44]"/>
<probe name="sp:inst1|probe[45]"/>
<probe name="sp:inst1|probe[46]"/>
<probe name="sp:inst1|probe[47]"/>
<probe name="sp:inst1|probe[48]"/>
<probe name="sp:inst1|probe[49]"/>
<probe name="sp:inst1|probe[50]"/>
<probe name="sp:inst1|probe[51]"/>
<probe name="sp:inst1|probe[52]"/>
<probe name="sp:inst1|probe[53]"/>
<probe name="sp:inst1|probe[54]"/>
<probe name="sp:inst1|probe[55]"/>
<probe name="sp:inst1|probe[56]"/>
<probe name="sp:inst1|probe[57]"/>
<probe name="sp:inst1|probe[58]"/>
<probe name="sp:inst1|probe[59]"/>
<probe name="sp:inst1|probe[60]"/>
<probe name="sp:inst1|probe[61]"/>
<probe name="sp:inst1|probe[62]"/>
<probe name="sp:inst1|probe[63]"/>
<probe name="sp:inst1|probe[64]"/>
<probe name="sp:inst1|probe[65]"/>
<probe name="sp:inst1|probe[66]"/>
<probe name="sp:inst1|probe[67]"/>
<probe name="sp:inst1|probe[68]"/>
<probe name="sp:inst1|probe[69]"/>
<probe name="sp:inst1|probe[70]"/>
<probe name="sp:inst1|probe[71]"/>
<probe name="sp:inst1|probe[72]"/>
<probe name="sp:inst1|probe[73]"/>
<probe name="sp:inst1|probe[74]"/>
<probe name="sp:inst1|probe[75]"/>
<probe name="sp:inst1|probe[76]"/>
<probe name="sp:inst1|probe[77]"/>
<probe name="sp:inst1|probe[78]"/>
<probe name="sp:inst1|probe[79]"/>
<probe name="sp:inst1|probe[80]"/>
<probe name="sp:inst1|probe[81]"/>
<probe name="sp:inst1|probe[82]"/>
<probe name="sp:inst1|probe[83]"/>
<probe name="sp:inst1|probe[84]"/>
<probe name="sp:inst1|probe[85]"/>
<probe name="sp:inst1|probe[86]"/>
<probe name="sp:inst1|probe[87]"/>
<probe name="sp:inst1|probe[88]"/>
<probe name="sp:inst1|probe[89]"/>
<probe name="sp:inst1|probe[90]"/>
<probe name="sp:inst1|probe[91]"/>
<probe name="sp:inst1|probe[92]"/>
<probe name="sp:inst1|probe[93]"/>
<probe name="sp:inst1|probe[94]"/>
<probe name="sp:inst1|probe[95]"/>
<probe name="sp:inst1|probe[96]"/>
<probe name="sp:inst1|probe[97]"/>
<probe name="sp:inst1|probe[98]"/>
<probe name="sp:inst1|probe[99]"/>
<probe name="sp:inst1|probe[100]"/>
<probe name="sp:inst1|probe[101]"/>
<probe name="sp:inst1|probe[102]"/>
<probe name="sp:inst1|probe[103]"/>
<probe name="sp:inst1|probe[104]"/>
<probe name="sp:inst1|probe[105]"/>
<probe name="sp:inst1|probe[106]"/>
<probe name="sp:inst1|probe[107]"/>
<probe name="sp:inst1|probe[108]"/>
<probe name="sp:inst1|probe[109]"/>
<probe name="sp:inst1|probe[110]"/>
<probe name="sp:inst1|probe[111]"/>
<probe name="sp:inst1|probe[112]"/>
<probe name="sp:inst1|probe[113]"/>
<probe name="sp:inst1|probe[114]"/>
<probe name="sp:inst1|probe[115]"/>
<probe name="sp:inst1|probe[116]"/>
<probe name="sp:inst1|probe[117]"/>
<probe name="sp:inst1|probe[118]"/>
<probe name="sp:inst1|probe[119]"/>
<probe name="sp:inst1|probe[120]"/>
<probe name="sp:inst1|probe[121]"/>
<probe name="sp:inst1|probe[122]"/>
<probe name="sp:inst1|probe[123]"/>
<probe name="sp:inst1|probe[124]"/>
<probe name="sp:inst1|probe[125]"/>
<probe name="sp:inst1|probe[126]"/>
<probe name="sp:inst1|probe[127]"/>
<probe name="sp:inst1|probe[128]"/>
<probe name="sp:inst1|probe[129]"/>
<probe name="sp:inst1|probe[130]"/>
<probe name="sp:inst1|probe[131]"/>
<probe name="sp:inst1|probe[132]"/>
<probe name="sp:inst1|probe[133]"/>
<probe name="sp:inst1|probe[134]"/>
<probe name="sp:inst1|probe[135]"/>
<probe name="sp:inst1|probe[136]"/>
<probe name="sp:inst1|probe[137]"/>
<probe name="sp:inst1|probe[138]"/>
<probe name="sp:inst1|probe[139]"/>
<probe name="sp:inst1|probe[140]"/>
<probe name="sp:inst1|probe[141]"/>
<probe name="sp:inst1|probe[142]"/>
<probe name="sp:inst1|probe[143]"/>
<probe name="sp:inst1|probe[144]"/>
<probe name="sp:inst1|probe[145]"/>
<probe name="sp:inst1|probe[146]"/>
<probe name="sp:inst1|probe[147]"/>
<probe name="sp:inst1|probe[148]"/>
<probe name="sp:inst1|probe[149]"/>
<probe name="sp:inst1|probe[150]"/>
<probe name="sp:inst1|probe[151]"/>
<probe name="sp:inst1|probe[152]"/>
<probe name="sp:inst1|probe[153]"/>
<probe name="sp:inst1|probe[154]"/>
<probe name="sp:inst1|probe[155]"/>
<probe name="sp:inst1|probe[156]"/>
<probe name="sp:inst1|probe[157]"/>
<probe name="sp:inst1|probe[158]"/>
<probe name="sp:inst1|probe[159]"/>
<probe name="sp:inst1|probe[160]"/>
<probe name="sp:inst1|probe[161]"/>
<probe name="sp:inst1|probe[162]"/>
<probe name="sp:inst1|probe[163]"/>
<probe name="sp:inst1|probe[164]"/>
<probe name="sp:inst1|probe[165]"/>
<probe name="sp:inst1|probe[166]"/>
<probe name="sp:inst1|probe[167]"/>
<probe name="sp:inst1|probe[168]"/>
<probe name="sp:inst1|probe[169]"/>
<probe name="sp:inst1|probe[170]"/>
<probe name="sp:inst1|probe[171]"/>
<probe name="sp:inst1|probe[172]"/>
<probe name="sp:inst1|probe[173]"/>
<probe name="sp:inst1|probe[174]"/>
<probe name="sp:inst1|probe[175]"/>
<probe name="sp:inst1|probe[176]"/>
<probe name="sp:inst1|probe[177]"/>
<probe name="sp:inst1|probe[178]"/>
<probe name="sp:inst1|probe[179]"/>
<probe name="sp:inst1|probe[180]"/>
<probe name="sp:inst1|probe[181]"/>
<probe name="sp:inst1|probe[182]"/>
<probe name="sp:inst1|probe[183]"/>
<probe name="sp:inst1|probe[184]"/>
<probe name="sp:inst1|probe[185]"/>
<probe name="sp:inst1|probe[186]"/>
<probe name="sp:inst1|probe[187]"/>
<probe name="sp:inst1|probe[188]"/>
<probe name="sp:inst1|probe[189]"/>
<probe name="sp:inst1|probe[190]"/>
<probe name="sp:inst1|probe[191]"/>
<probe name="sp:inst1|probe[192]"/>
<probe name="sp:inst1|probe[193]"/>
<probe name="sp:inst1|probe[194]"/>
<probe name="sp:inst1|probe[195]"/>
<probe name="sp:inst1|probe[196]"/>
<probe name="sp:inst1|probe[197]"/>
<probe name="sp:inst1|probe[198]"/>
<probe name="sp:inst1|probe[199]"/>
<probe name="sp:inst1|probe[200]"/>
<probe name="sp:inst1|probe[201]"/>
<probe name="sp:inst1|probe[202]"/>
<probe name="sp:inst1|probe[203]"/>
<probe name="sp:inst1|probe[204]"/>
<probe name="sp:inst1|probe[205]"/>
<probe name="sp:inst1|probe[206]"/>
<probe name="sp:inst1|probe[207]"/>
<probe name="sp:inst1|probe[208]"/>
<probe name="sp:inst1|probe[209]"/>
<probe name="sp:inst1|probe[210]"/>
<probe name="sp:inst1|probe[211]"/>
<probe name="sp:inst1|probe[212]"/>
<probe name="sp:inst1|probe[213]"/>
<probe name="sp:inst1|probe[214]"/>
<probe name="sp:inst1|probe[215]"/>
<probe name="sp:inst1|probe[216]"/>
<probe name="sp:inst1|probe[217]"/>
<probe name="sp:inst1|probe[218]"/>
<probe name="sp:inst1|probe[219]"/>
<probe name="sp:inst1|probe[220]"/>
<probe name="sp:inst1|probe[221]"/>
<probe name="sp:inst1|probe[222]"/>
<probe name="sp:inst1|probe[223]"/>
<probe name="sp:inst1|probe[224]"/>
<probe name="sp:inst1|probe[225]"/>
<probe name="sp:inst1|probe[226]"/>
<probe name="sp:inst1|probe[227]"/>
<probe name="sp:inst1|probe[228]"/>
<probe name="sp:inst1|probe[229]"/>
<probe name="sp:inst1|probe[230]"/>
<probe name="sp:inst1|probe[231]"/>
<probe name="sp:inst1|probe[232]"/>
<probe name="sp:inst1|probe[233]"/>
<probe name="sp:inst1|probe[234]"/>
<probe name="sp:inst1|probe[235]"/>
<probe name="sp:inst1|probe[236]"/>
<probe name="sp:inst1|probe[237]"/>
<probe name="sp:inst1|probe[238]"/>
<probe name="sp:inst1|probe[239]"/>
<probe name="sp:inst1|probe[240]"/>
<probe name="sp:inst1|probe[241]"/>
<probe name="sp:inst1|probe[242]"/>
<probe name="sp:inst1|probe[243]"/>
<probe name="sp:inst1|probe[244]"/>
<probe name="sp:inst1|probe[245]"/>
<probe name="sp:inst1|probe[246]"/>
<probe name="sp:inst1|probe[247]"/>
<probe name="sp:inst1|probe[248]"/>
<probe name="sp:inst1|probe[249]"/>
<probe name="sp:inst1|probe[250]"/>
<probe name="sp:inst1|probe[251]"/>
<probe name="sp:inst1|probe[252]"/>
<probe name="sp:inst1|probe[253]"/>
<probe name="sp:inst1|probe[254]"/>
<probe name="sp:inst1|probe[255]"/>
<probe name="sp:inst1|probe[256]"/>
<probe name="sp:inst1|probe[257]"/>
<probe name="sp:inst1|probe[258]"/>
<probe name="sp:inst1|probe[259]"/>
<probe name="sp:inst1|probe[260]"/>
<probe name="sp:inst1|probe[261]"/>
<probe name="sp:inst1|probe[262]"/>
<probe name="sp:inst1|probe[263]"/>
<probe name="sp:inst1|probe[264]"/>
<probe name="sp:inst1|probe[265]"/>
<probe name="sp:inst1|probe[266]"/>
<probe name="sp:inst1|probe[267]"/>
<probe name="sp:inst1|probe[268]"/>
<probe name="sp:inst1|probe[269]"/>
<probe name="sp:inst1|probe[270]"/>
<probe name="sp:inst1|probe[271]"/>
<probe name="sp:inst1|probe[272]"/>
<probe name="sp:inst1|probe[273]"/>
<probe name="sp:inst1|probe[274]"/>
<probe name="sp:inst1|probe[275]"/>
<probe name="sp:inst1|probe[276]"/>
<probe name="sp:inst1|probe[277]"/>
<probe name="sp:inst1|probe[278]"/>
<probe name="sp:inst1|probe[279]"/>
<probe name="sp:inst1|probe[280]"/>
<probe name="sp:inst1|probe[281]"/>
<probe name="sp:inst1|probe[282]"/>
<probe name="sp:inst1|probe[283]"/>
<probe name="sp:inst1|probe[284]"/>
<probe name="sp:inst1|probe[285]"/>
<probe name="sp:inst1|probe[286]"/>
<probe name="sp:inst1|probe[287]"/>
<probe name="sp:inst1|probe[288]"/>
<probe name="sp:inst1|probe[289]"/>
<probe name="sp:inst1|probe[290]"/>
<probe name="sp:inst1|probe[291]"/>
<probe name="sp:inst1|probe[292]"/>
<probe name="sp:inst1|probe[293]"/>
<probe name="sp:inst1|probe[294]"/>
<probe name="sp:inst1|probe[295]"/>
<probe name="sp:inst1|probe[296]"/>
<probe name="sp:inst1|probe[297]"/>
<probe name="sp:inst1|probe[298]"/>
<probe name="sp:inst1|probe[299]"/>
<probe name="sp:inst1|probe[300]"/>
<probe name="sp:inst1|probe[301]"/>
<probe name="sp:inst1|probe[302]"/>
<probe name="sp:inst1|probe[303]"/>
<probe name="sp:inst1|probe[304]"/>
<probe name="sp:inst1|probe[305]"/>
<probe name="sp:inst1|probe[306]"/>
<probe name="sp:inst1|probe[307]"/>
<probe name="sp:inst1|probe[308]"/>
<probe name="sp:inst1|probe[309]"/>
<probe name="sp:inst1|probe[310]"/>
<probe name="sp:inst1|probe[311]"/>
<probe name="sp:inst1|probe[312]"/>
<probe name="sp:inst1|probe[313]"/>
<probe name="sp:inst1|probe[314]"/>
<probe name="sp:inst1|probe[315]"/>
<probe name="sp:inst1|probe[316]"/>
<probe name="sp:inst1|probe[317]"/>
<probe name="sp:inst1|probe[318]"/>
<probe name="sp:inst1|probe[319]"/>
<probe name="sp:inst1|probe[320]"/>
<probe name="sp:inst1|probe[321]"/>
<probe name="sp:inst1|probe[322]"/>
<probe name="sp:inst1|probe[323]"/>
<probe name="sp:inst1|probe[324]"/>
<probe name="sp:inst1|probe[325]"/>
<probe name="sp:inst1|probe[326]"/>
<probe name="sp:inst1|probe[327]"/>
<probe name="sp:inst1|probe[328]"/>
<probe name="sp:inst1|probe[329]"/>
<probe name="sp:inst1|probe[330]"/>
<probe name="sp:inst1|probe[331]"/>
<probe name="sp:inst1|probe[332]"/>
<probe name="sp:inst1|probe[333]"/>
<probe name="sp:inst1|probe[334]"/>
<probe name="sp:inst1|probe[335]"/>
<probe name="sp:inst1|probe[336]"/>
<probe name="sp:inst1|probe[337]"/>
<probe name="sp:inst1|probe[338]"/>
<probe name="sp:inst1|probe[339]"/>
<probe name="sp:inst1|probe[340]"/>
<probe name="sp:inst1|probe[341]"/>
<probe name="sp:inst1|probe[342]"/>
<probe name="sp:inst1|probe[343]"/>
<probe name="sp:inst1|probe[344]"/>
<probe name="sp:inst1|probe[345]"/>
<probe name="sp:inst1|probe[346]"/>
<probe name="sp:inst1|probe[347]"/>
<probe name="sp:inst1|probe[348]"/>
<probe name="sp:inst1|probe[349]"/>
<probe name="sp:inst1|probe[350]"/>
<probe name="sp:inst1|probe[351]"/>
<probe name="sp:inst1|probe[352]"/>
<probe name="sp:inst1|probe[353]"/>
<probe name="sp:inst1|probe[354]"/>
<probe name="sp:inst1|probe[355]"/>
<probe name="sp:inst1|probe[356]"/>
<probe name="sp:inst1|probe[357]"/>
<probe name="sp:inst1|probe[358]"/>
<probe name="sp:inst1|probe[359]"/>
<probe name="sp:inst1|probe[360]"/>
<probe name="sp:inst1|probe[361]"/>
<probe name="sp:inst1|probe[362]"/>
<probe name="sp:inst1|probe[363]"/>
<probe name="sp:inst1|probe[364]"/>
<probe name="sp:inst1|probe[365]"/>
<probe name="sp:inst1|probe[366]"/>
<probe name="sp:inst1|probe[367]"/>
<probe name="sp:inst1|probe[368]"/>
<probe name="sp:inst1|probe[369]"/>
<probe name="sp:inst1|probe[370]"/>
<probe name="sp:inst1|probe[371]"/>
<probe name="sp:inst1|probe[372]"/>
<probe name="sp:inst1|probe[373]"/>
<probe name="sp:inst1|probe[374]"/>
<probe name="sp:inst1|probe[375]"/>
<probe name="sp:inst1|probe[376]"/>
<probe name="sp:inst1|probe[377]"/>
<probe name="sp:inst1|probe[378]"/>
<probe name="sp:inst1|probe[379]"/>
<probe name="sp:inst1|probe[380]"/>
<probe name="sp:inst1|probe[381]"/>
<probe name="sp:inst1|probe[382]"/>
<probe name="sp:inst1|probe[383]"/>
<probe name="sp:inst1|probe[384]"/>
<probe name="sp:inst1|probe[385]"/>
<probe name="sp:inst1|probe[386]"/>
<probe name="sp:inst1|probe[387]"/>
<probe name="sp:inst1|probe[388]"/>
<probe name="sp:inst1|probe[389]"/>
<probe name="sp:inst1|probe[390]"/>
<probe name="sp:inst1|probe[391]"/>
<probe name="sp:inst1|probe[392]"/>
<probe name="sp:inst1|probe[393]"/>
<probe name="sp:inst1|probe[394]"/>
<probe name="sp:inst1|probe[395]"/>
<probe name="sp:inst1|probe[396]"/>
<probe name="sp:inst1|probe[397]"/>
<probe name="sp:inst1|probe[398]"/>
<probe name="sp:inst1|probe[399]"/>
<probe name="sp:inst1|probe[400]"/>
<probe name="sp:inst1|probe[401]"/>
<probe name="sp:inst1|probe[402]"/>
<probe name="sp:inst1|probe[403]"/>
<probe name="sp:inst1|probe[404]"/>
<probe name="sp:inst1|probe[405]"/>
<probe name="sp:inst1|probe[406]"/>
<probe name="sp:inst1|probe[407]"/>
<probe name="sp:inst1|probe[408]"/>
<probe name="sp:inst1|probe[409]"/>
<probe name="sp:inst1|probe[410]"/>
<probe name="sp:inst1|probe[411]"/>
<probe name="sp:inst1|probe[412]"/>
<probe name="sp:inst1|probe[413]"/>
<probe name="sp:inst1|probe[414]"/>
<probe name="sp:inst1|probe[415]"/>
<probe name="sp:inst1|probe[416]"/>
<probe name="sp:inst1|probe[417]"/>
<probe name="sp:inst1|probe[418]"/>
<probe name="sp:inst1|probe[419]"/>
<probe name="sp:inst1|probe[420]"/>
<probe name="sp:inst1|probe[421]"/>
<probe name="sp:inst1|probe[422]"/>
<probe name="sp:inst1|probe[423]"/>
<probe name="sp:inst1|probe[424]"/>
<probe name="sp:inst1|probe[425]"/>
<probe name="sp:inst1|probe[426]"/>
<probe name="sp:inst1|probe[427]"/>
<probe name="sp:inst1|probe[428]"/>
<probe name="sp:inst1|probe[429]"/>
<probe name="sp:inst1|probe[430]"/>
<probe name="sp:inst1|probe[431]"/>
<probe name="sp:inst1|probe[432]"/>
<probe name="sp:inst1|probe[433]"/>
<probe name="sp:inst1|probe[434]"/>
<probe name="sp:inst1|probe[435]"/>
<probe name="sp:inst1|probe[436]"/>
<probe name="sp:inst1|probe[437]"/>
<probe name="sp:inst1|probe[438]"/>
<probe name="sp:inst1|probe[439]"/>
<probe name="sp:inst1|probe[440]"/>
<probe name="sp:inst1|probe[441]"/>
<probe name="sp:inst1|probe[442]"/>
<probe name="sp:inst1|probe[443]"/>
<probe name="sp:inst1|probe[444]"/>
<probe name="sp:inst1|probe[445]"/>
<probe name="sp:inst1|probe[446]"/>
<probe name="sp:inst1|probe[447]"/>
<probe name="sp:inst1|probe[448]"/>
<probe name="sp:inst1|probe[449]"/>
<probe name="sp:inst1|probe[450]"/>
<probe name="sp:inst1|probe[451]"/>
<probe name="sp:inst1|probe[452]"/>
<probe name="sp:inst1|probe[453]"/>
<probe name="sp:inst1|probe[454]"/>
<probe name="sp:inst1|probe[455]"/>
<probe name="sp:inst1|probe[456]"/>
<probe name="sp:inst1|probe[457]"/>
<probe name="sp:inst1|probe[458]"/>
<probe name="sp:inst1|probe[459]"/>
<probe name="sp:inst1|probe[460]"/>
<probe name="sp:inst1|probe[461]"/>
<probe name="sp:inst1|probe[462]"/>
<probe name="sp:inst1|probe[463]"/>
<probe name="sp:inst1|probe[464]"/>
<probe name="sp:inst1|probe[465]"/>
<probe name="sp:inst1|probe[466]"/>
<probe name="sp:inst1|probe[467]"/>
<probe name="sp:inst1|probe[468]"/>
<probe name="sp:inst1|probe[469]"/>
<probe name="sp:inst1|probe[470]"/>
<probe name="sp:inst1|probe[471]"/>
<probe name="sp:inst1|probe[472]"/>
<probe name="sp:inst1|probe[473]"/>
<probe name="sp:inst1|probe[474]"/>
<probe name="sp:inst1|probe[475]"/>
<probe name="sp:inst1|probe[476]"/>
<probe name="sp:inst1|probe[477]"/>
<probe name="sp:inst1|probe[478]"/>
<probe name="sp:inst1|probe[479]"/>
<probe name="sp:inst1|probe[480]"/>
<probe name="sp:inst1|probe[481]"/>
<probe name="sp:inst1|probe[482]"/>
<probe name="sp:inst1|probe[483]"/>
<probe name="sp:inst1|probe[484]"/>
<probe name="sp:inst1|probe[485]"/>
<probe name="sp:inst1|probe[486]"/>
<probe name="sp:inst1|probe[487]"/>
<probe name="sp:inst1|probe[488]"/>
<probe name="sp:inst1|probe[489]"/>
<probe name="sp:inst1|probe[490]"/>
<probe name="sp:inst1|probe[491]"/>
<probe name="sp:inst1|probe[492]"/>
<probe name="sp:inst1|probe[493]"/>
<probe name="sp:inst1|probe[494]"/>
<probe name="sp:inst1|probe[495]"/>
<probe name="sp:inst1|probe[496]"/>
<probe name="sp:inst1|probe[497]"/>
<probe name="sp:inst1|probe[498]"/>
<probe name="sp:inst1|probe[499]"/>
<probe name="sp:inst1|probe[500]"/>
<probe name="sp:inst1|probe[501]"/>
<probe name="sp:inst1|probe[502]"/>
<probe name="sp:inst1|probe[503]"/>
<probe name="sp:inst1|probe[504]"/>
<probe name="sp:inst1|probe[505]"/>
<probe name="sp:inst1|probe[506]"/>
<probe name="sp:inst1|probe[507]"/>
<probe name="sp:inst1|probe[508]"/>
<probe name="sp:inst1|probe[509]"/>
<probe name="sp:inst1|probe[510]"/>
</probes>
<view>
<view_node expanded="false" group_name="sp:inst1|probe[510..505]" index="0" lsb_to_msb="false" node_type="probe" view_type="group_header"/>
<view_node expanded="true" index="510" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="509" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="508" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="507" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="506" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="505" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[504..480]" index="0" lsb_to_msb="false" name="P18" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="504" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="503" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="502" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="501" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="500" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="499" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="498" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="497" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="496" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="495" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="494" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="493" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="492" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="491" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="490" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="489" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="488" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="487" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="486" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="485" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="484" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="483" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="482" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="481" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="480" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[479..455]" index="0" lsb_to_msb="false" name="P17" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="479" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="478" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="477" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="476" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="475" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="474" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="473" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="472" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="471" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="470" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="469" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="468" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="467" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="466" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="465" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="464" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="463" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="462" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="461" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="460" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="459" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="458" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="457" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="456" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="455" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[454..430]" index="0" lsb_to_msb="false" name="P16" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="454" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="453" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="452" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="451" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="450" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="449" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="448" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="447" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="446" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="445" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="444" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="443" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="442" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="441" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="440" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="439" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="438" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="437" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="436" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="435" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="434" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="433" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="432" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="431" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="430" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[429..405]" index="0" lsb_to_msb="false" name="P15" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="429" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="428" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="427" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="426" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="425" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="424" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="423" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="422" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="421" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="420" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="419" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="418" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="417" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="416" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="415" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="414" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="413" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="412" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="411" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="410" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="409" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="408" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="407" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="406" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="405" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[404..380]" index="0" lsb_to_msb="false" name="P14" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="404" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="403" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="402" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="401" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="400" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="399" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="398" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="397" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="396" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="395" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="394" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="393" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="392" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="391" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="390" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="389" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="388" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="387" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="386" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="385" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="384" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="383" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="382" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="381" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="380" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[379..355]" index="0" lsb_to_msb="false" name="P13" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="379" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="378" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="377" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="376" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="375" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="374" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="373" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="372" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="371" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="370" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="369" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="368" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="367" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="366" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="365" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="364" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="363" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="362" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="361" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="360" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="359" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="358" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="357" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="356" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="355" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[354..330]" index="0" lsb_to_msb="false" name="P12" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="354" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="353" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="352" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="351" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="350" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="349" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="348" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="347" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="346" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="345" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="344" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="343" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="342" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="341" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="340" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="339" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="338" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="337" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="336" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="335" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="334" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="333" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="332" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="331" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="330" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[329..305]" index="0" lsb_to_msb="false" name="P11" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="329" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="328" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="327" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="326" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="325" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="324" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="323" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="322" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="321" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="320" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="319" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="318" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="317" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="316" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="315" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="314" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="313" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="312" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="311" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="310" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="309" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="308" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="307" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="306" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="305" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[304..280]" index="0" lsb_to_msb="false" name="P10" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="304" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="303" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="302" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="301" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="300" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="299" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="298" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="297" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="296" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="295" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="294" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="293" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="292" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="291" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="290" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="289" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="288" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="287" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="286" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="285" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="284" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="283" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="282" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="281" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="280" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[279..255]" index="0" lsb_to_msb="false" name="P9" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="279" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="278" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="277" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="276" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="275" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="274" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="273" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="272" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="271" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="270" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="269" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="268" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="267" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="266" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="265" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="264" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="263" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="262" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="261" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="260" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="259" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="258" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="257" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="256" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="255" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[254..230]" index="0" lsb_to_msb="false" name="P8" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="254" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="253" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="252" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="251" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="250" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="249" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="248" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="247" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="246" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="245" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="244" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="243" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="242" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="241" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="240" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="239" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="238" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="237" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="236" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="235" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="234" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="233" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="232" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="231" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="230" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[229..205]" index="0" lsb_to_msb="false" name="P7" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="229" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="228" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="227" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="226" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="225" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="224" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="223" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="222" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="221" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="220" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="219" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="218" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="217" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="216" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="215" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="214" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="213" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="212" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="211" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="210" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="209" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="208" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="207" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="206" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="205" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[204..180]" index="0" lsb_to_msb="false" name="P6" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="204" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="203" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="202" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="201" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="200" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="199" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="198" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="197" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="196" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="195" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="194" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="193" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="192" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="191" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="190" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="189" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="188" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="187" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="186" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="185" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="184" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="183" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="182" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="181" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="180" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[179..155]" index="0" lsb_to_msb="false" name="P5" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="179" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="178" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="177" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="176" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="175" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="174" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="173" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="172" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="171" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="170" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="169" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="168" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="167" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="166" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="165" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="164" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="163" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="162" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="161" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="160" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="159" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="158" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="157" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="156" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="155" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[154..130]" index="0" lsb_to_msb="false" name="P4" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="154" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="153" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="152" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="151" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="150" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="149" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="148" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="147" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="146" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="145" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="144" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="143" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="142" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="141" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="140" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="139" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="138" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="137" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="136" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="135" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="134" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="133" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="132" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="131" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="130" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[129..105]" index="0" lsb_to_msb="false" name="P3" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="129" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="128" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="127" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="126" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="125" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="124" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="123" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="122" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="121" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="120" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="119" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="118" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="117" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="116" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="115" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="114" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="113" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="112" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="111" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="110" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="109" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="108" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="107" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="106" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="105" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[104..80]" index="0" lsb_to_msb="false" name="P2" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="104" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="103" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="102" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="101" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="100" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="99" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="98" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="97" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="96" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="95" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="94" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="93" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="92" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="91" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="90" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="89" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="88" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="87" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="86" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="85" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="84" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="83" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="82" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="81" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="80" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[79..55]" index="0" lsb_to_msb="false" name="P1" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="79" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="78" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="77" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="76" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="75" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="74" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="73" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="72" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="71" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="70" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="69" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="68" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="67" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="66" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="65" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="64" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="63" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="62" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="61" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="60" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="59" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="58" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="57" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="56" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="55" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[54..32]" index="0" lsb_to_msb="false" name="P0" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="54" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="53" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="52" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="51" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="50" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="49" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="48" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="47" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="46" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="45" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="44" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="43" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="42" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="41" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="40" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="39" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="38" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="37" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="36" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="35" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="34" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="33" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="32" node_type="probe" view_type="group_member"/>
<view_node expanded="false" group_name="sp:inst1|probe[31..0]" index="0" lsb_to_msb="false" name="P" node_type="probe" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="31" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="30" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="29" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="28" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="27" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="26" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="25" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="24" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="23" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="22" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="21" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="20" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="19" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="18" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="17" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="16" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="15" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="14" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="13" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="12" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="11" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="10" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="9" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="8" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="7" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="6" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="5" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="4" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="3" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="2" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="1" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="0" node_type="probe" view_type="group_member"/>
<view_node expanded="true" index="127" node_type="source" view_type="independent"/>
<view_node expanded="false" group_name="source[126..64]" index="0" lsb_to_msb="false" node_type="source" view_type="group_header"/>
<view_node expanded="true" index="126" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="125" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="124" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="123" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="122" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="121" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="120" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="119" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="118" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="117" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="116" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="115" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="114" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="113" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="112" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="111" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="110" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="109" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="108" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="107" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="106" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="105" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="104" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="103" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="102" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="101" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="100" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="99" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="98" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="97" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="96" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="95" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="94" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="93" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="92" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="91" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="90" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="89" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="88" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="87" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="86" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="85" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="84" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="83" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="82" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="81" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="80" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="79" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="78" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="77" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="76" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="75" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="74" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="73" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="72" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="71" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="70" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="69" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="68" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="67" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="66" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="65" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="64" node_type="source" view_type="group_member"/>
<view_node expanded="false" group_name="source[63..32]" index="0" lsb_to_msb="false" name="B" node_type="source" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="63" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="62" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="61" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="60" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="59" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="58" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="57" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="56" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="55" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="54" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="53" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="52" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="51" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="50" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="49" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="48" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="47" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="46" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="45" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="44" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="43" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="42" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="41" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="40" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="39" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="38" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="37" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="36" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="35" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="34" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="33" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="32" node_type="source" view_type="group_member"/>
<view_node expanded="false" group_name="source[31..0]" index="0" lsb_to_msb="false" name="A" node_type="source" radix="hex" view_type="group_header"/>
<view_node expanded="true" index="31" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="30" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="29" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="28" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="27" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="26" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="25" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="24" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="23" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="22" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="21" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="20" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="19" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="18" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="17" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="16" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="15" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="14" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="13" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="12" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="11" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="10" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="9" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="8" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="7" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="6" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="5" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="4" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="3" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="2" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="1" node_type="source" view_type="group_member"/>
<view_node expanded="true" index="0" node_type="source" view_type="group_member"/>
</view>
<alias_list>
<alias alias="CLK" name="source[127]"/>
</alias_list>
<log data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max_size="32" size="32" time="9F59E4D400000000AF59E4D400000000BF59E4D400000000CF59E4D400000000DF59E4D400000000EF59E4D400000000FF59E4D4000000000069E4D4000000001069E4D4000000002069E4D4000000003069E4D4000000004069E4D4000000005069E4D4000000006069E4D4000000007069E4D4000000008069E4D4000000009069E4D400000000A069E4D400000000B069E4D400000000C069E4D400000000D069E4D400000000E069E4D400000000F069E4D4000000000169E4D4000000001169E4D4000000002169E4D4000000003169E4D4000000004169E4D4000000004169E4D4000000005169E4D4000000006169E4D4000000007169E4D400000000" width="639"/>
</instance>
</session>
/encore/trunk/fpmult/src/sp.qip
0,0 → 1,5
set_global_assignment -name IP_TOOL_NAME "In-System Sources and Probes"
set_global_assignment -name IP_TOOL_VERSION "10.1"
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "sp.vhd"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "sp.bsf"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "sp.cmp"]
/encore/trunk/fpmult/fpmult.qpf
0,0 → 1,30
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2010 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II
# Version 10.0 Build 218 06/27/2010 SJ Web Edition
# Date created = 18:02:41 January 28, 2011
#
# -------------------------------------------------------------------------- #
 
QUARTUS_VERSION = "10.0"
DATE = "18:02:41 January 28, 2011"
 
# Revisions
 
PROJECT_REVISION = "fpmult"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.