OpenCores
URL https://opencores.org/ocsvn/hicovec/hicovec/trunk

Subversion Repositories hicovec

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/branches/avendor/debugger/clvpdbg.py
212,7 → 212,7
print "An error occured while trying to open the serial port"
sys.exit(1)
print "\nWelcome to the CLVP Debugger !!!\n\nEnter 'help' for a list of commands"
print "\nWelcome to the HiCoVec Debugger !!!\n\nEnter 'help' for a list of commands"
print
self.echo(True)
/branches/avendor/cpu/groups/registergroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: registergroup
--
/branches/avendor/cpu/groups/vector_slice.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: vector_slice
--
/branches/avendor/cpu/groups/addressgroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: addressgroup
--
/branches/avendor/cpu/groups/vector_executionunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: vector_executionunit
--
/branches/avendor/cpu/groups/flaggroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: flaggroup
--
/branches/avendor/cpu/groups/cpu.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: cpu
--
/branches/avendor/cpu/groups/aluinputgroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: aluinputgroup
--
/branches/avendor/cpu/units/vector_controlunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: vector_controlunit
--
/branches/avendor/cpu/units/sram.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: sram
--
/branches/avendor/cpu/units/vector_register.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: vector_register
--
/branches/avendor/cpu/units/valu_controlunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: valu_controlunit
--
/branches/avendor/cpu/units/vector_alu_32.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: vector_alu_32
--
/branches/avendor/cpu/units/flag.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: flag
--
/branches/avendor/cpu/units/dataregister.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: dataregister
--
/branches/avendor/cpu/units/selectunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: selectunit
--
/branches/avendor/cpu/units/controlunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: controlunit
--
/branches/avendor/cpu/units/demultiplexer1x4.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: demultiplexer1x4
--
/branches/avendor/cpu/units/alu.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: alu
--
/branches/avendor/cpu/units/instructioncounter.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: instructioncounter
--
/branches/avendor/cpu/units/debugger.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: debugger
--
/branches/avendor/cpu/units/memoryinterface.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: memoryinterface
--
/branches/avendor/cpu/units/shuffle.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: shuffle
--
/branches/avendor/cpu/units/multiplexer2.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: multiplexer2
--
/branches/avendor/cpu/units/multiplexer4.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: multiplexer4
--
/branches/avendor/cpu/system.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: system
--
/branches/avendor/cpu/config.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: cfg
--
/branches/avendor/cpu/testbenches/tb_multiplexer2.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_multiplexer2
--
/branches/avendor/cpu/testbenches/tb_aluinputgroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_aluinputgrp
--
/branches/avendor/cpu/testbenches/tb_dataregister.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_dataregister
--
/branches/avendor/cpu/testbenches/tb_multiplexer4.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_multiplexer4
--
/branches/avendor/cpu/testbenches/tb_selectunit.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_selectunit
--
/branches/avendor/cpu/testbenches/tb_demultiplexer1x4.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_demultiplexer
--
/branches/avendor/cpu/testbenches/tb_alu.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_alu
--
/branches/avendor/cpu/testbenches/tb_instructioncounter.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_addressgroup
--
/branches/avendor/cpu/testbenches/tb_registergroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_registergroup
--
/branches/avendor/cpu/testbenches/tb_addressgroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_addressgroup
--
/branches/avendor/cpu/testbenches/tb_system.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_system
--
/branches/avendor/cpu/testbenches/tb_flaggroup.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_flaggroup
--
/branches/avendor/cpu/testbenches/tb_vector_register.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_vector_register
--
/branches/avendor/cpu/testbenches/tb_vector_alu_32.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_vector_alu_32
--
/branches/avendor/cpu/testbenches/tb_flag.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: tb_flag
--
/branches/avendor/cpu/datatypes.vhd
1,5 → 1,5
------------------------------------------------------------------
-- PROJECT: clvp (configurable lightweight vector processor)
-- PROJECT: HiCoVec (highly configurable vector processor)
--
-- ENTITY: datatypes
--
/branches/avendor/assembler/cputest.txt
11,7 → 11,7
; ************************************************************************
; * Author: Harald Manske, haraldmanske@gmx.de *
; * Version: 1.0 *
; * Plattform: CLVP (Configurable Lightweight Vector Processor) *
; * Plattform: HiCoVec (highly configurable vector processor) *
; ************************************************************************
; configuration of the program
/branches/avendor/assembler/clvpasm.py
83,10 → 83,10
f=open(filename,"w")
f.write("-- *****************************************\n")
f.write("-- * SRAM FILE GENERATED BY CLVP ASSEMBLER *\n")
f.write("-- * do not make modifications here *\n")
f.write("-- *****************************************\n\n")
f.write("-- ********************************************\n")
f.write("-- * SRAM FILE GENERATED BY HiCoVec ASSEMBLER *\n")
f.write("-- * do not make modifications here *\n")
f.write("-- ********************************************\n\n")
f.write("library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n")
f.write("entity sram is\n\tport (\n\t\tclk : in std_logic;\n\t\twe : in std_logic;\n\t\ten : in std_logic;\n")
f.write("\t\taddr : in std_logic_vector(31 downto 0);\n\t\tdi : in std_logic_vector(31 downto 0);\n")

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.