OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 30 to Rev 31
    Reverse comparison

Rev 30 → Rev 31

/trunk/bench/vhdl/SRAM.vhd
1,7 → 1,7
--
-- Simple SRAM model without timing
--
-- Version : 0240
-- Version : 0241
--
-- Copyright (c) 2001 Daniel Wallner (jesus@opencores.org)
--
65,8 → 65,8
 
architecture behaviour of SRAM is
 
type Memory_Image is array (natural range <>) of std_logic_vector(Data_Width - 1 downto 0);
signal RAM : Memory_Image(0 to 2 ** Addr_Width - 1);
type Memory_Image is array (natural range <>) of std_logic_vector(DataWidth - 1 downto 0);
signal RAM : Memory_Image(0 to 2 ** AddrWidth - 1);
 
signal Write : std_logic;
signal D_del : std_logic_vector(7 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.