OpenCores
URL https://opencores.org/ocsvn/gamepads/gamepads/trunk

Subversion Repositories gamepads

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 37 to Rev 38
    Reverse comparison

Rev 37 → Rev 38

/tags/rel_0_1_beta/snespad/snespad.png Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
tags/rel_0_1_beta/snespad/snespad.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_ctrl_rtl_c0 of snespad_ctrl is - - for rtl - end for; - -end snespad_ctrl_rtl_c0; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) @@ -1,219 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_ctrl.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_ctrl is - - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - -- Pad Interface ---------------------------------------------------------- - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - -end snespad_ctrl; - - -use work.snespad_pack.all; - -architecture rtl of snespad_ctrl is - - subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; - - type state_t is (IDLE, - IDLE2, - LATCH, - CLOCK, - READ_PAD); - - signal pad_latch_q, - pad_latch_s : std_logic; - - signal pad_clk_q, - pad_clk_s : std_logic; - - signal num_buttons_read_q : num_buttons_read_t; - signal clocks_per_6us_q : clocks_per_6us_t; - - signal state_q, - state_s : state_t; - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert clocks_per_6us_g > 1 - report "clocks_per_6us_g must be at least 2!" - severity failure; - -- pragma translate_on - - - seq: process (reset_i, clk_i) - variable clocks_per_6us_overflow_v : boolean; - begin - if reset_i = reset_level_g then - pad_latch_q <= '1'; - pad_clk_q <= '1'; - - num_buttons_read_q <= num_buttons_c-1; - - clocks_per_6us_q <= 0; - - state_q <= IDLE; - - elsif clk_i'event and clk_i = '1' then - if clk_en_s then - clocks_per_6us_q <= 0; - else - clocks_per_6us_q <= clocks_per_6us_q + 1; - end if; - - if clk_en_s and shift_buttons_s then - if num_buttons_read_q = 0 then - num_buttons_read_q <= num_buttons_c-1; - else - num_buttons_read_q <= num_buttons_read_q - 1; - end if; - end if; - - if clk_en_s then - state_q <= state_s; - end if; - - pad_clk_q <= pad_clk_s; - - pad_latch_q <= pad_latch_s; - - end if; - end process; - - clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; - - - fsm: process (state_q, - num_buttons_read_q) - begin - -- default assignments - pad_clk_s <= '1'; - pad_latch_s <= '1'; - shift_buttons_s <= false; - save_buttons_o <= false; - state_s <= IDLE; - - case state_q is - when IDLE => - save_buttons_o <= true; - state_s <= IDLE2; - - when IDLE2 => - state_s <= LATCH; - - when LATCH => - pad_latch_s <= '0'; - state_s <= READ_PAD; - - when READ_PAD => - pad_latch_s <= '0'; - -- set clock low - -- pad data will be read at end of 6us cycle - pad_clk_s <= '0'; - - shift_buttons_s <= true; - - if num_buttons_read_q = 0 then - -- return to IDLE after last button bit has been read - state_s <= IDLE; - else - state_s <= LATCH; - end if; - - when others => - null; - - end case; - - end process fsm; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - clk_en_o <= clk_en_s; - shift_buttons_o <= shift_buttons_s; - pad_clk_o <= pad_clk_q; - pad_latch_o <= pad_latch_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_pad_rtl_c0 of snespad_pad is - - for rtl - end for; - -end snespad_pad_rtl_c0; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) @@ -1,154 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_pad.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_pad is - - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - -- Pad Interface ---------------------------------------------------------- - pad_data_i : in std_logic; - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - -end snespad_pad; - - -use work.snespad_pack.all; - -architecture rtl of snespad_pad is - - signal buttons_q, - shift_buttons_q : buttons_t; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert (button_level_g = 0) or (button_level_g = 1) - report "button_level_g must be either 0 or 1!" - severity failure; - -- pragma translate_on - - seq: process (reset_i, clk_i) - variable mult_6us_overflow_v : boolean; - begin - if reset_i = reset_level_g then - for i in buttons_t'range loop - buttons_q(i) <= button_reset_f(button_level_g); - shift_buttons_q(i) <= button_reset_f(button_level_g); - end loop; - - elsif clk_i'event and clk_i = '1' then - if save_buttons_i then - buttons_q <= shift_buttons_q; - end if; - - if clk_en_i and shift_buttons_i then - shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); - shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); - end if; - - end if; - end process; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - but_a_o <= buttons_q(but_pos_a_c); - but_b_o <= buttons_q(but_pos_b_c); - but_x_o <= buttons_q(but_pos_x_c); - but_y_o <= buttons_q(but_pos_y_c); - but_start_o <= buttons_q(but_pos_start_c); - but_sel_o <= buttons_q(but_pos_sel_c); - but_tl_o <= buttons_q(but_pos_tl_c); - but_tr_o <= buttons_q(but_pos_tr_c); - but_up_o <= buttons_q(but_pos_up_c); - but_down_o <= buttons_q(but_pos_down_c); - but_left_o <= buttons_q(but_pos_left_c); - but_right_o <= buttons_q(but_pos_right_c); - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) @@ -1,44 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_comp is - - component snespad - generic ( - num_pads_g : natural := 1; - reset_level_g : natural := 0; - button_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - end component snespad; - -end snespad_comp; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) @@ -1,94 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_pack is - - constant num_buttons_c : natural := 12; - subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); - subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; - - function button_active_f(state : in std_logic; ref : in natural) return std_logic; - function button_reset_f(ref : in natural) return std_logic; - function "=" (a : std_logic; b : integer) return boolean; - - ----------------------------------------------------------------------------- - -- The button positions inside the SNES packet - ----------------------------------------------------------------------------- - constant but_pos_b_c : natural := 11; - constant but_pos_y_c : natural := 10; - constant but_pos_sel_c : natural := 9; - constant but_pos_start_c : natural := 8; - constant but_pos_up_c : natural := 7; - constant but_pos_down_c : natural := 6; - constant but_pos_left_c : natural := 5; - constant but_pos_right_c : natural := 4; - constant but_pos_a_c : natural := 3; - constant but_pos_x_c : natural := 2; - constant but_pos_tl_c : natural := 1; - constant but_pos_tr_c : natural := 0; - -end snespad_pack; - - -package body snespad_pack is - - function button_active_f(state : in std_logic; ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := state; - else - result_v := not state; - end if; - - return result_v; - end button_active_f; - - function button_reset_f(ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := '1'; - else - result_v := '0'; - end if; - - return result_v; - end button_reset_f; - - function "=" (a : std_logic; b : integer) return boolean is - variable result_v : boolean; - begin - result_v := false; - - case a is - when '0' => - if b = 0 then - result_v := true; - end if; - - when '1' => - if b = 1 then - result_v := true; - end if; - - when others => - null; - - end case; - - return result_v; - end; - - -end snespad_pack; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) @@ -1,25 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_struct_c0 of snespad is - - for struct - for ctrl_b : snespad_ctrl - use configuration work.snespad_ctrl_rtl_c0; - end for; - - for pads - for pad_b : snespad_pad - use configuration work.snespad_pad_rtl_c0; - end for; - end for; - end for; - -end snespad_struct_c0; Index: tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd =================================================================== --- tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (revision 37) +++ tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (nonexistent) @@ -1,196 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad is - - generic ( - -- number of pads connected to this core - num_pads_g : natural := 1; - -- active level of reset_i - reset_level_g : natural := 0; - -- active level of the button outputs - button_level_g : natural := 0; - -- number of clk_i periods during 6us - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Gamepad Interface ------------------------------------------------------ - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - -end snespad; - - -architecture struct of snespad is - - component snespad_ctrl - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - end component snespad_ctrl; - - component snespad_pad - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - pad_data_i : in std_logic; - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - end component snespad_pad; - - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - signal save_buttons_s : boolean; - -begin - - ctrl_b : snespad_ctrl - generic map ( - reset_level_g => reset_level_g, - clocks_per_6us_g => clocks_per_6us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_o => clk_en_s, - shift_buttons_o => shift_buttons_s, - save_buttons_o => save_buttons_s, - pad_clk_o => pad_clk_o, - pad_latch_o => pad_latch_o - ); - - - pads: for i in 0 to num_pads_g-1 generate - pad_b : snespad_pad - generic map ( - reset_level_g => reset_level_g, - button_level_g => button_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_i => clk_en_s, - shift_buttons_i => shift_buttons_s, - save_buttons_i => save_buttons_s, - pad_data_i => pad_data_i(i), - but_a_o => but_a_o(i), - but_b_o => but_b_o(i), - but_x_o => but_x_o(i), - but_y_o => but_y_o(i), - but_start_o => but_start_o(i), - but_sel_o => but_sel_o(i), - but_tl_o => but_tl_o(i), - but_tr_o => but_tr_o(i), - but_up_o => but_up_o(i), - but_down_o => but_down_o(i), - but_left_o => but_left_o(i), - but_right_o => but_right_o(i) - ); - end generate; - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:01:27 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_1_beta/snespad/COMPILE_LIST =================================================================== --- tags/rel_0_1_beta/snespad/COMPILE_LIST (revision 37) +++ tags/rel_0_1_beta/snespad/COMPILE_LIST (nonexistent) @@ -1,15 +0,0 @@ - -Compile list for the SNESpad core -================================= -Version: $Date: 2004-10-06 16:43:55 $ - -rtl/vhdl/snespad_comp-pack.vhd -rtl/vhdl/snespad_pack-p.vhd -rtl/vhdl/snespad_ctrl.vhd -rtl/vhdl/snespad_pad.vhd -rtl/vhdl/snespad.vhd -bench/vhdl/tb.vhd -rtl/vhdl/snespad_ctrl-c.vhd -rtl/vhdl/snespad_pad-c.vhd -rtl/vhdl/snespad-c.vhd -bench/vhdl/tb-c.vhd Index: tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile =================================================================== --- tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (revision 37) +++ tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (nonexistent) @@ -1,82 +0,0 @@ -############################################################################## -# -# Tool-specific Makefile for the GHDL compiler. -# -# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ -# -# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -# -# All rights reserved -# -############################################################################## - - -PROJECT_DIR = ../.. -RTL_DIR = $(PROJECT_DIR)/rtl/vhdl -BENCH_DIR = $(PROJECT_DIR)/bench/vhdl - - - -ANALYZE=ghdl -a --std=87 --workdir=work -ELABORATE=ghdl -e --std=87 --workdir=work - -.PHONY: all -all: work elaborate - -work: - mkdir work - -work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd - $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd - -work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd -work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ - work/snespad_ctrl.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd - -work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd -work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd - -work/snespad.o: $(RTL_DIR)/snespad.vhd \ - work/snespad_pack-p.o \ - work/snespad_ctrl.o \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad.vhd -work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ - work/snespad.o \ - work/snespad_ctrl-c.o \ - work/snespad_pad-c.o - $(ANALYZE) $(RTL_DIR)/snespad-c.vhd - -work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd - $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd - -work/tb.o: $(BENCH_DIR)/tb.vhd \ - work/snespad_comp-pack.o \ - work/snespad.o - $(ANALYZE) $(BENCH_DIR)/tb.vhd -work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ - work/tb.o \ - work/snespad-c.o - $(ANALYZE) $(BENCH_DIR)/tb-c.vhd - - -.PHONY: elaborate -elaborate: tb_behav_c0 - -tb_behav_c0: analyze - $(ELABORATE) tb_behav_c0; \ - strip tb_behav_c0 - -.PHONY: analyze -analyze: work/tb-c.o - -.PHONY: clean -clean: - rm -rf work tb_behav_c0 *~ Index: tags/rel_0_2_beta/COPYING =================================================================== --- tags/rel_0_2_beta/COPYING (revision 37) +++ tags/rel_0_2_beta/COPYING (nonexistent) @@ -1,340 +0,0 @@ - GNU GENERAL PUBLIC LICENSE - Version 2, June 1991 - - Copyright (C) 1989, 1991 Free Software Foundation, Inc. - 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - Everyone is permitted to copy and distribute verbatim copies - of this license document, but changing it is not allowed. - - Preamble - - The licenses for most software are designed to take away your -freedom to share and change it. By contrast, the GNU General Public -License is intended to guarantee your freedom to share and change free -software--to make sure the software is free for all its users. This -General Public License applies to most of the Free Software -Foundation's software and to any other program whose authors commit to -using it. (Some other Free Software Foundation software is covered by -the GNU Library General Public License instead.) You can apply it to -your programs, too. - - When we speak of free software, we are referring to freedom, not -price. Our General Public Licenses are designed to make sure that you -have the freedom to distribute copies of free software (and charge for -this service if you wish), that you receive source code or can get it -if you want it, that you can change the software or use pieces of it -in new free programs; and that you know you can do these things. - - To protect your rights, we need to make restrictions that forbid -anyone to deny you these rights or to ask you to surrender the rights. -These restrictions translate to certain responsibilities for you if you -distribute copies of the software, or if you modify it. - - For example, if you distribute copies of such a program, whether -gratis or for a fee, you must give the recipients all the rights that -you have. You must make sure that they, too, receive or can get the -source code. And you must show them these terms so they know their -rights. - - We protect your rights with two steps: (1) copyright the software, and -(2) offer you this license which gives you legal permission to copy, -distribute and/or modify the software. - - Also, for each author's protection and ours, we want to make certain -that everyone understands that there is no warranty for this free -software. If the software is modified by someone else and passed on, we -want its recipients to know that what they have is not the original, so -that any problems introduced by others will not reflect on the original -authors' reputations. - - Finally, any free program is threatened constantly by software -patents. We wish to avoid the danger that redistributors of a free -program will individually obtain patent licenses, in effect making the -program proprietary. To prevent this, we have made it clear that any -patent must be licensed for everyone's free use or not licensed at all. - - The precise terms and conditions for copying, distribution and -modification follow. - - GNU GENERAL PUBLIC LICENSE - TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION - - 0. This License applies to any program or other work which contains -a notice placed by the copyright holder saying it may be distributed -under the terms of this General Public License. The "Program", below, -refers to any such program or work, and a "work based on the Program" -means either the Program or any derivative work under copyright law: -that is to say, a work containing the Program or a portion of it, -either verbatim or with modifications and/or translated into another -language. (Hereinafter, translation is included without limitation in -the term "modification".) Each licensee is addressed as "you". - -Activities other than copying, distribution and modification are not -covered by this License; they are outside its scope. The act of -running the Program is not restricted, and the output from the Program -is covered only if its contents constitute a work based on the -Program (independent of having been made by running the Program). -Whether that is true depends on what the Program does. - - 1. You may copy and distribute verbatim copies of the Program's -source code as you receive it, in any medium, provided that you -conspicuously and appropriately publish on each copy an appropriate -copyright notice and disclaimer of warranty; keep intact all the -notices that refer to this License and to the absence of any warranty; -and give any other recipients of the Program a copy of this License -along with the Program. - -You may charge a fee for the physical act of transferring a copy, and -you may at your option offer warranty protection in exchange for a fee. - - 2. You may modify your copy or copies of the Program or any portion -of it, thus forming a work based on the Program, and copy and -distribute such modifications or work under the terms of Section 1 -above, provided that you also meet all of these conditions: - - a) You must cause the modified files to carry prominent notices - stating that you changed the files and the date of any change. - - b) You must cause any work that you distribute or publish, that in - whole or in part contains or is derived from the Program or any - part thereof, to be licensed as a whole at no charge to all third - parties under the terms of this License. - - c) If the modified program normally reads commands interactively - when run, you must cause it, when started running for such - interactive use in the most ordinary way, to print or display an - announcement including an appropriate copyright notice and a - notice that there is no warranty (or else, saying that you provide - a warranty) and that users may redistribute the program under - these conditions, and telling the user how to view a copy of this - License. (Exception: if the Program itself is interactive but - does not normally print such an announcement, your work based on - the Program is not required to print an announcement.) - -These requirements apply to the modified work as a whole. If -identifiable sections of that work are not derived from the Program, -and can be reasonably considered independent and separate works in -themselves, then this License, and its terms, do not apply to those -sections when you distribute them as separate works. But when you -distribute the same sections as part of a whole which is a work based -on the Program, the distribution of the whole must be on the terms of -this License, whose permissions for other licensees extend to the -entire whole, and thus to each and every part regardless of who wrote it. - -Thus, it is not the intent of this section to claim rights or contest -your rights to work written entirely by you; rather, the intent is to -exercise the right to control the distribution of derivative or -collective works based on the Program. - -In addition, mere aggregation of another work not based on the Program -with the Program (or with a work based on the Program) on a volume of -a storage or distribution medium does not bring the other work under -the scope of this License. - - 3. You may copy and distribute the Program (or a work based on it, -under Section 2) in object code or executable form under the terms of -Sections 1 and 2 above provided that you also do one of the following: - - a) Accompany it with the complete corresponding machine-readable - source code, which must be distributed under the terms of Sections - 1 and 2 above on a medium customarily used for software interchange; or, - - b) Accompany it with a written offer, valid for at least three - years, to give any third party, for a charge no more than your - cost of physically performing source distribution, a complete - machine-readable copy of the corresponding source code, to be - distributed under the terms of Sections 1 and 2 above on a medium - customarily used for software interchange; or, - - c) Accompany it with the information you received as to the offer - to distribute corresponding source code. (This alternative is - allowed only for noncommercial distribution and only if you - received the program in object code or executable form with such - an offer, in accord with Subsection b above.) - -The source code for a work means the preferred form of the work for -making modifications to it. For an executable work, complete source -code means all the source code for all modules it contains, plus any -associated interface definition files, plus the scripts used to -control compilation and installation of the executable. However, as a -special exception, the source code distributed need not include -anything that is normally distributed (in either source or binary -form) with the major components (compiler, kernel, and so on) of the -operating system on which the executable runs, unless that component -itself accompanies the executable. - -If distribution of executable or object code is made by offering -access to copy from a designated place, then offering equivalent -access to copy the source code from the same place counts as -distribution of the source code, even though third parties are not -compelled to copy the source along with the object code. - - 4. You may not copy, modify, sublicense, or distribute the Program -except as expressly provided under this License. Any attempt -otherwise to copy, modify, sublicense or distribute the Program is -void, and will automatically terminate your rights under this License. -However, parties who have received copies, or rights, from you under -this License will not have their licenses terminated so long as such -parties remain in full compliance. - - 5. You are not required to accept this License, since you have not -signed it. However, nothing else grants you permission to modify or -distribute the Program or its derivative works. These actions are -prohibited by law if you do not accept this License. Therefore, by -modifying or distributing the Program (or any work based on the -Program), you indicate your acceptance of this License to do so, and -all its terms and conditions for copying, distributing or modifying -the Program or works based on it. - - 6. Each time you redistribute the Program (or any work based on the -Program), the recipient automatically receives a license from the -original licensor to copy, distribute or modify the Program subject to -these terms and conditions. You may not impose any further -restrictions on the recipients' exercise of the rights granted herein. -You are not responsible for enforcing compliance by third parties to -this License. - - 7. If, as a consequence of a court judgment or allegation of patent -infringement or for any other reason (not limited to patent issues), -conditions are imposed on you (whether by court order, agreement or -otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot -distribute so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you -may not distribute the Program at all. For example, if a patent -license would not permit royalty-free redistribution of the Program by -all those who receive copies directly or indirectly through you, then -the only way you could satisfy both it and this License would be to -refrain entirely from distribution of the Program. - -If any portion of this section is held invalid or unenforceable under -any particular circumstance, the balance of the section is intended to -apply and the section as a whole is intended to apply in other -circumstances. - -It is not the purpose of this section to induce you to infringe any -patents or other property right claims or to contest validity of any -such claims; this section has the sole purpose of protecting the -integrity of the free software distribution system, which is -implemented by public license practices. Many people have made -generous contributions to the wide range of software distributed -through that system in reliance on consistent application of that -system; it is up to the author/donor to decide if he or she is willing -to distribute software through any other system and a licensee cannot -impose that choice. - -This section is intended to make thoroughly clear what is believed to -be a consequence of the rest of this License. - - 8. If the distribution and/or use of the Program is restricted in -certain countries either by patents or by copyrighted interfaces, the -original copyright holder who places the Program under this License -may add an explicit geographical distribution limitation excluding -those countries, so that distribution is permitted only in or among -countries not thus excluded. In such case, this License incorporates -the limitation as if written in the body of this License. - - 9. The Free Software Foundation may publish revised and/or new versions -of the General Public License from time to time. Such new versions will -be similar in spirit to the present version, but may differ in detail to -address new problems or concerns. - -Each version is given a distinguishing version number. If the Program -specifies a version number of this License which applies to it and "any -later version", you have the option of following the terms and conditions -either of that version or of any later version published by the Free -Software Foundation. If the Program does not specify a version number of -this License, you may choose any version ever published by the Free Software -Foundation. - - 10. If you wish to incorporate parts of the Program into other free -programs whose distribution conditions are different, write to the author -to ask for permission. For software which is copyrighted by the Free -Software Foundation, write to the Free Software Foundation; we sometimes -make exceptions for this. Our decision will be guided by the two goals -of preserving the free status of all derivatives of our free software and -of promoting the sharing and reuse of software generally. - - NO WARRANTY - - 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY -FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN -OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES -PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED -OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS -TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE -PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, -REPAIR OR CORRECTION. - - 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR -REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, -INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING -OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED -TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY -YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER -PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE -POSSIBILITY OF SUCH DAMAGES. - - END OF TERMS AND CONDITIONS - - How to Apply These Terms to Your New Programs - - If you develop a new program, and you want it to be of the greatest -possible use to the public, the best way to achieve this is to make it -free software which everyone can redistribute and change under these terms. - - To do so, attach the following notices to the program. It is safest -to attach them to the start of each source file to most effectively -convey the exclusion of warranty; and each file should have at least -the "copyright" line and a pointer to where the full notice is found. - - - Copyright (C) 19yy - - This program is free software; you can redistribute it and/or modify - it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or - (at your option) any later version. - - This program is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - GNU General Public License for more details. - - You should have received a copy of the GNU General Public License - along with this program; if not, write to the Free Software - Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - - -Also add information on how to contact you by electronic and paper mail. - -If the program is interactive, make it output a short notice like this -when it starts in an interactive mode: - - Gnomovision version 69, Copyright (C) 19yy name of author - Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. - This is free software, and you are welcome to redistribute it - under certain conditions; type `show c' for details. - -The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, the commands you use may -be called something other than `show w' and `show c'; they could even be -mouse-clicks or menu items--whatever suits your program. - -You should also get your employer (if you work as a programmer) or your -school, if any, to sign a "copyright disclaimer" for the program, if -necessary. Here is a sample; alter the names: - - Yoyodyne, Inc., hereby disclaims all copyright interest in the program - `Gnomovision' (which makes passes at compilers) written by James Hacker. - - , 1 April 1989 - Ty Coon, President of Vice - -This General Public License does not permit incorporating your program into -proprietary programs. If your program is a subroutine library, you may -consider it more useful to permit linking proprietary applications with the -library. If this is what you want to do, use the GNU Library General -Public License instead of this License. Index: tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile =================================================================== --- tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile (revision 37) +++ tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile (nonexistent) @@ -1,82 +0,0 @@ -############################################################################## -# -# Tool-specific Makefile for the GHDL compiler. -# -# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ -# -# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -# -# All rights reserved -# -############################################################################## - - -PROJECT_DIR = ../.. -RTL_DIR = $(PROJECT_DIR)/rtl/vhdl -BENCH_DIR = $(PROJECT_DIR)/bench/vhdl - - - -ANALYZE=ghdl -a --std=87 --workdir=work -ELABORATE=ghdl -e --std=87 --workdir=work - -.PHONY: all -all: work elaborate - -work: - mkdir work - -work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd - $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd - -work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd -work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ - work/snespad_ctrl.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd - -work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd -work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd - -work/snespad.o: $(RTL_DIR)/snespad.vhd \ - work/snespad_pack-p.o \ - work/snespad_ctrl.o \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad.vhd -work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ - work/snespad.o \ - work/snespad_ctrl-c.o \ - work/snespad_pad-c.o - $(ANALYZE) $(RTL_DIR)/snespad-c.vhd - -work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd - $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd - -work/tb.o: $(BENCH_DIR)/tb.vhd \ - work/snespad_comp-pack.o \ - work/snespad.o - $(ANALYZE) $(BENCH_DIR)/tb.vhd -work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ - work/tb.o \ - work/snespad-c.o - $(ANALYZE) $(BENCH_DIR)/tb-c.vhd - - -.PHONY: elaborate -elaborate: tb_behav_c0 - -tb_behav_c0: analyze - $(ELABORATE) tb_behav_c0; \ - strip tb_behav_c0 - -.PHONY: analyze -analyze: work/tb-c.o - -.PHONY: clean -clean: - rm -rf work tb_behav_c0 *~ Index: tags/rel_0_2_beta/snespad/README =================================================================== --- tags/rel_0_2_beta/snespad/README (revision 37) +++ tags/rel_0_2_beta/snespad/README (nonexistent) @@ -1,128 +0,0 @@ - -README for the SNESpad core -=========================== -Version: $Date: 2004-10-06 16:42:15 $ - - -Description ------------ - -The SNESpad core manages one or more gamepads of the Super Nintendo -Entertainment System in parallel. The button information is provided -statically at a simple interface with dedicated signal lines. -The core has to be configured to fit into the integrating system. Details -about this are given in the section "Integration" below. - - -Integration ------------ - -The interface of the SNESpad core is straight forward. It requires: - - * a clock signal which is evaluated on the rising edge by the internal - registers an asynchronous reset (active level is configurable) - * connections to the gamepad(s) - -The button outputs should be self-describing. - -Configuration of the core is done via generics in the instantiation. There are -four generic parameters: - - * num_pads_g - Number of pads connected to this controller instance (1 to n) - * reset_level_g - Active level of the asynchronous reset at port reset_i - (0 = low active, 1 = high active) - * button_level_g - Active level of the button outputs - (0 = low active, 1 = high active) - * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) - -Button outputs and pad data input are arrays of num_pads_g width. The -assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its -button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. - -The communication to the SNES gamepad relies on a timebase of approximately -6 us. It is therefore necessary to adjust the counters inside the core via the -clock_per_6us_g generic parameter. Let's assume an example where the system -clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the -generic has to be set to 6 x 20 = 120. - - -Adapter Hardware ----------------- - -The required hardware setup is pretty simple if you reuse the connector of a -SNES console. It is quite robust and offers all connections centrally on the -bottom side of the PCB at the pins for the cable socket. In addition, you will -need an external 5V power source. Such a configuration is shown in the -following picture. - -Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 -are the Data Clock for Pad 1 and Pad 2, respectively. They have to be -connected together to pad_clock_i as the core clocks both pads -simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial -Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. - -See snespad.png - - -Verification ------------- - -The SNESpad core comes with a simple testbench that simulates two SNES -gamepads. Serial information is sent to the core and the reported button -states are compared against the input. -You should normally not need to run the testbench. But in case you modified -the VHDL code the testbench gives some hints if the design has been broken. - - -Directory Structure -------------------- - -The core's directory structure follows the proposal of OpenCores.org. - -snespad - | - \--+-- rtl - | | - | \-- vhdl : VHDL code containing the RTL description - | of the core. - | - +-- bench - | | - | \-- vhdl : VHDL testbench code. - | - \-- sim - | - \-- rtl_sim : Directory for running simulations. - - -Compiling the VHDL Code ------------------------ - -VHDL compilation and simulation tasks take place inside in sim/rtl_sim -directory. The project setup supports only the GHDL simulator (see -http://ghdl.free.fr). - -To compile the code simply type at the shell - -$ make - -This should result in a file called tb_behav_c0 which can be executed as any -other executable. - -The basic simple sequence list can be found in COMPILE_LIST. This can be -useful to quickly set up the analyze stage of any compiler or -synthesizer. Especially when synthesizing the code, you want to skip the VHDL -configurations in *-c.vhd and everything below the bench/ directory. - - -References ----------- - - * Gamepads project at OpenCores.org - http://www.opencores.org/projects.cgi/web/gamepads/overview - - * The Hardware Book - http://www.hardwarebook.net/connector/userinput/snescontroller.html - - * Linux gamecon driver - http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd =================================================================== --- tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd (nonexistent) @@ -1,225 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- SNESpad controller core --- --- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity tb is - -end tb; - - -use work.snespad_pack.all; -use work.snespad_comp.snespad; - -architecture behav of tb is - - constant period_c : time := 100 ns; - constant num_pads_c : natural := 2; - constant reset_level_c : natural := 0; - constant button_level_c : natural := 0; - - - signal clk_s : std_logic; - signal reset_s : std_logic; - - signal pad_clk_s : std_logic; - signal pad_latch_s : std_logic; - signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); - - type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); - signal buttons_s : buttons_t; - - signal buttons0_s, - buttons1_s : std_logic_vector(11 downto 0); - - -begin - - dut : snespad - generic map ( - num_pads_g => 2, - reset_level_g => reset_level_c, - button_level_g => button_level_c, - clocks_per_6us_g => 60 - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_clk_o => pad_clk_s, - pad_latch_o => pad_latch_s, - pad_data_i => pad_data_s, - but_a_o => buttons_s(but_pos_a_c), - but_b_o => buttons_s(but_pos_b_c), - but_x_o => buttons_s(but_pos_x_c), - but_y_o => buttons_s(but_pos_y_c), - but_start_o => buttons_s(but_pos_start_c), - but_sel_o => buttons_s(but_pos_sel_c), - but_tl_o => buttons_s(but_pos_tl_c), - but_tr_o => buttons_s(but_pos_tr_c), - but_up_o => buttons_s(but_pos_up_c), - but_down_o => buttons_s(but_pos_down_c), - but_left_o => buttons_s(but_pos_left_c), - but_right_o => buttons_s(but_pos_right_c) - ); - - buttons: process (buttons_s) - begin - for i in 0 to 11 loop - buttons0_s(i) <= buttons_s(i)(0); - buttons1_s(i) <= buttons_s(i)(1); - end loop; - end process buttons; - - ----------------------------------------------------------------------------- - -- DUT Stimuli - ----------------------------------------------------------------------------- - stimuli: process - - procedure dispatch(pad : in natural; - packet : in std_logic_vector(11 downto 0)) is - begin - - wait until pad_latch_s = '0'; - for i in 11 downto 0 loop - wait until pad_clk_s = '0'; - pad_data_s(pad) <= packet(i); - wait until pad_clk_s = '1'; - end loop; - - wait for period_c; - - assert pad_latch_s = '1' - report "Latch not deasserted!" - severity error; - - wait for period_c; - for i in 11 downto 0 loop - assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) - report "Mismatch for received vs. sent buttons!" - severity error; - end loop; - - end dispatch; - - begin - pad_data_s <= (others => '1'); - - wait until reset_s = '1'; - wait for period_c * 4; - - for pad in 0 to 1 loop - dispatch(pad, packet => "000000000000"); - dispatch(pad, packet => "111111111111"); - dispatch(pad, packet => "010101010101"); - dispatch(pad, packet => "101010101010"); - dispatch(pad, packet => "100000000000"); - dispatch(pad, packet => "010000000000"); - dispatch(pad, packet => "001000000000"); - dispatch(pad, packet => "000100000000"); - dispatch(pad, packet => "000010000000"); - dispatch(pad, packet => "000001000000"); - dispatch(pad, packet => "000000100000"); - dispatch(pad, packet => "000000010000"); - dispatch(pad, packet => "000000001000"); - dispatch(pad, packet => "000000000100"); - dispatch(pad, packet => "000000000010"); - dispatch(pad, packet => "000000000001"); - end loop; - - - wait for period_c * 4; - assert false - report "End of simulation reached." - severity failure; - - end process stimuli; - - - ----------------------------------------------------------------------------- - -- Clock Generator - ----------------------------------------------------------------------------- - clk: process - begin - clk_s <= '0'; - wait for period_c / 2; - clk_s <= '1'; - wait for period_c / 2; - end process clk; - - - ----------------------------------------------------------------------------- - -- Reset Generator - ----------------------------------------------------------------------------- - reset: process - begin - if reset_level_c = 0 then - reset_s <= '0'; - else - reset_s <= '1'; - end if; - - wait for period_c * 4 + 10 ns; - - reset_s <= not reset_s; - - wait; - end process reset; - -end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:05:31 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd =================================================================== --- tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd (nonexistent) @@ -1,20 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration tb_behav_c0 of tb is - - for behav - for dut : snespad - use configuration work.snespad_struct_c0; - end for; - end for; - -end tb_behav_c0; Index: tags/rel_0_2_beta/snespad/snespad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: tags/rel_0_2_beta/snespad/snespad.png =================================================================== --- tags/rel_0_2_beta/snespad/snespad.png (revision 37) +++ tags/rel_0_2_beta/snespad/snespad.png (nonexistent)
tags/rel_0_2_beta/snespad/snespad.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) @@ -1,44 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_comp is - - component snespad - generic ( - num_pads_g : natural := 1; - reset_level_g : natural := 0; - button_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - end component snespad; - -end snespad_comp; Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) @@ -1,94 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_pack is - - constant num_buttons_c : natural := 12; - subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); - subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; - - function button_active_f(state : in std_logic; ref : in natural) return std_logic; - function button_reset_f(ref : in natural) return std_logic; - function "=" (a : std_logic; b : integer) return boolean; - - ----------------------------------------------------------------------------- - -- The button positions inside the SNES packet - ----------------------------------------------------------------------------- - constant but_pos_b_c : natural := 11; - constant but_pos_y_c : natural := 10; - constant but_pos_sel_c : natural := 9; - constant but_pos_start_c : natural := 8; - constant but_pos_up_c : natural := 7; - constant but_pos_down_c : natural := 6; - constant but_pos_left_c : natural := 5; - constant but_pos_right_c : natural := 4; - constant but_pos_a_c : natural := 3; - constant but_pos_x_c : natural := 2; - constant but_pos_tl_c : natural := 1; - constant but_pos_tr_c : natural := 0; - -end snespad_pack; - - -package body snespad_pack is - - function button_active_f(state : in std_logic; ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := state; - else - result_v := not state; - end if; - - return result_v; - end button_active_f; - - function button_reset_f(ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := '1'; - else - result_v := '0'; - end if; - - return result_v; - end button_reset_f; - - function "=" (a : std_logic; b : integer) return boolean is - variable result_v : boolean; - begin - result_v := false; - - case a is - when '0' => - if b = 0 then - result_v := true; - end if; - - when '1' => - if b = 1 then - result_v := true; - end if; - - when others => - null; - - end case; - - return result_v; - end; - - -end snespad_pack; Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) @@ -1,25 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_struct_c0 of snespad is - - for struct - for ctrl_b : snespad_ctrl - use configuration work.snespad_ctrl_rtl_c0; - end for; - - for pads - for pad_b : snespad_pad - use configuration work.snespad_pad_rtl_c0; - end for; - end for; - end for; - -end snespad_struct_c0; Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd (nonexistent) @@ -1,196 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad is - - generic ( - -- number of pads connected to this core - num_pads_g : natural := 1; - -- active level of reset_i - reset_level_g : natural := 0; - -- active level of the button outputs - button_level_g : natural := 0; - -- number of clk_i periods during 6us - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Gamepad Interface ------------------------------------------------------ - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - -end snespad; - - -architecture struct of snespad is - - component snespad_ctrl - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - end component snespad_ctrl; - - component snespad_pad - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - pad_data_i : in std_logic; - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - end component snespad_pad; - - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - signal save_buttons_s : boolean; - -begin - - ctrl_b : snespad_ctrl - generic map ( - reset_level_g => reset_level_g, - clocks_per_6us_g => clocks_per_6us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_o => clk_en_s, - shift_buttons_o => shift_buttons_s, - save_buttons_o => save_buttons_s, - pad_clk_o => pad_clk_o, - pad_latch_o => pad_latch_o - ); - - - pads: for i in 0 to num_pads_g-1 generate - pad_b : snespad_pad - generic map ( - reset_level_g => reset_level_g, - button_level_g => button_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_i => clk_en_s, - shift_buttons_i => shift_buttons_s, - save_buttons_i => save_buttons_s, - pad_data_i => pad_data_i(i), - but_a_o => but_a_o(i), - but_b_o => but_b_o(i), - but_x_o => but_x_o(i), - but_y_o => but_y_o(i), - but_start_o => but_start_o(i), - but_sel_o => but_sel_o(i), - but_tl_o => but_tl_o(i), - but_tr_o => but_tr_o(i), - but_up_o => but_up_o(i), - but_down_o => but_down_o(i), - but_left_o => but_left_o(i), - but_right_o => but_right_o(i) - ); - end generate; - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:01:27 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_ctrl_rtl_c0 of snespad_ctrl is - - for rtl - end for; - -end snespad_ctrl_rtl_c0; Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) @@ -1,219 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_ctrl.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_ctrl is - - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - -- Pad Interface ---------------------------------------------------------- - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - -end snespad_ctrl; - - -use work.snespad_pack.all; - -architecture rtl of snespad_ctrl is - - subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; - - type state_t is (IDLE, - IDLE2, - LATCH, - CLOCK, - READ_PAD); - - signal pad_latch_q, - pad_latch_s : std_logic; - - signal pad_clk_q, - pad_clk_s : std_logic; - - signal num_buttons_read_q : num_buttons_read_t; - signal clocks_per_6us_q : clocks_per_6us_t; - - signal state_q, - state_s : state_t; - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert clocks_per_6us_g > 1 - report "clocks_per_6us_g must be at least 2!" - severity failure; - -- pragma translate_on - - - seq: process (reset_i, clk_i) - variable clocks_per_6us_overflow_v : boolean; - begin - if reset_i = reset_level_g then - pad_latch_q <= '1'; - pad_clk_q <= '1'; - - num_buttons_read_q <= num_buttons_c-1; - - clocks_per_6us_q <= 0; - - state_q <= IDLE; - - elsif clk_i'event and clk_i = '1' then - if clk_en_s then - clocks_per_6us_q <= 0; - else - clocks_per_6us_q <= clocks_per_6us_q + 1; - end if; - - if clk_en_s and shift_buttons_s then - if num_buttons_read_q = 0 then - num_buttons_read_q <= num_buttons_c-1; - else - num_buttons_read_q <= num_buttons_read_q - 1; - end if; - end if; - - if clk_en_s then - state_q <= state_s; - end if; - - pad_clk_q <= pad_clk_s; - - pad_latch_q <= pad_latch_s; - - end if; - end process; - - clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; - - - fsm: process (state_q, - num_buttons_read_q) - begin - -- default assignments - pad_clk_s <= '1'; - pad_latch_s <= '1'; - shift_buttons_s <= false; - save_buttons_o <= false; - state_s <= IDLE; - - case state_q is - when IDLE => - save_buttons_o <= true; - state_s <= IDLE2; - - when IDLE2 => - state_s <= LATCH; - - when LATCH => - pad_latch_s <= '0'; - state_s <= READ_PAD; - - when READ_PAD => - pad_latch_s <= '0'; - -- set clock low - -- pad data will be read at end of 6us cycle - pad_clk_s <= '0'; - - shift_buttons_s <= true; - - if num_buttons_read_q = 0 then - -- return to IDLE after last button bit has been read - state_s <= IDLE; - else - state_s <= LATCH; - end if; - - when others => - null; - - end case; - - end process fsm; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - clk_en_o <= clk_en_s; - shift_buttons_o <= shift_buttons_s; - pad_clk_o <= pad_clk_q; - pad_latch_o <= pad_latch_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_pad_rtl_c0 of snespad_pad is - - for rtl - end for; - -end snespad_pad_rtl_c0; Index: tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd (revision 37) +++ tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) @@ -1,154 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_pad.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_pad is - - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - -- Pad Interface ---------------------------------------------------------- - pad_data_i : in std_logic; - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - -end snespad_pad; - - -use work.snespad_pack.all; - -architecture rtl of snespad_pad is - - signal buttons_q, - shift_buttons_q : buttons_t; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert (button_level_g = 0) or (button_level_g = 1) - report "button_level_g must be either 0 or 1!" - severity failure; - -- pragma translate_on - - seq: process (reset_i, clk_i) - variable mult_6us_overflow_v : boolean; - begin - if reset_i = reset_level_g then - for i in buttons_t'range loop - buttons_q(i) <= button_reset_f(button_level_g); - shift_buttons_q(i) <= button_reset_f(button_level_g); - end loop; - - elsif clk_i'event and clk_i = '1' then - if save_buttons_i then - buttons_q <= shift_buttons_q; - end if; - - if clk_en_i and shift_buttons_i then - shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); - shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); - end if; - - end if; - end process; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - but_a_o <= buttons_q(but_pos_a_c); - but_b_o <= buttons_q(but_pos_b_c); - but_x_o <= buttons_q(but_pos_x_c); - but_y_o <= buttons_q(but_pos_y_c); - but_start_o <= buttons_q(but_pos_start_c); - but_sel_o <= buttons_q(but_pos_sel_c); - but_tl_o <= buttons_q(but_pos_tl_c); - but_tr_o <= buttons_q(but_pos_tr_c); - but_up_o <= buttons_q(but_pos_up_c); - but_down_o <= buttons_q(but_pos_down_c); - but_left_o <= buttons_q(but_pos_left_c); - but_right_o <= buttons_q(but_pos_right_c); - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/snespad/COMPILE_LIST =================================================================== --- tags/rel_0_2_beta/snespad/COMPILE_LIST (revision 37) +++ tags/rel_0_2_beta/snespad/COMPILE_LIST (nonexistent) @@ -1,15 +0,0 @@ - -Compile list for the SNESpad core -================================= -Version: $Date: 2004-10-06 16:43:55 $ - -rtl/vhdl/snespad_comp-pack.vhd -rtl/vhdl/snespad_pack-p.vhd -rtl/vhdl/snespad_ctrl.vhd -rtl/vhdl/snespad_pad.vhd -rtl/vhdl/snespad.vhd -bench/vhdl/tb.vhd -rtl/vhdl/snespad_ctrl-c.vhd -rtl/vhdl/snespad_pad-c.vhd -rtl/vhdl/snespad-c.vhd -bench/vhdl/tb-c.vhd Index: tags/rel_0_2_beta/gcpad/README =================================================================== --- tags/rel_0_2_beta/gcpad/README (revision 37) +++ tags/rel_0_2_beta/gcpad/README (nonexistent) @@ -1,114 +0,0 @@ - -README for the GCpad core -========================= -Version: $Date: 2004-10-28 20:00:12 $ - - -Description ------------ - -The GCpad core interfaces to the gamepad used with the Nintendo Gamecube video -gaming system. The core communicates with the gamepad using its proprietary -communication protocol and offers the retrieved information for further -processing. - -To suit the needs of the integrating system, two different flavors of the core -are available: - - * For simple applications the basic flavor manages all communication issues - with the gamepad and provides the current status of the buttons and analog - axes at its interface. The integrating system does not need to interfere - with gamepad communication and can statically read the button and axes - status information. - - * The full flavor allows full control of the gamepad communication by the - integrating system. This flavor offers a command and response interface - which is driven by the system to send arbitrary commands to the - gamepad. The response of the gamepad is available for further processing. - - -Connecting the Pad ------------------- - -Information is exchanged between the gamepad and the host side over a single -wire. Both sides seem to implement an open-collector style output -driver. Therefore, an external pull-up resistor of 1kOhm to the 3.43V supply -is required at the DATA line. -Sticking exactly to the 3.3V supply seems not to be necessary. I use the 3.3V -supply which is available from the FPGA board. Please note that the 5V supply -is required for certain controller types even if the rumble motor is not used. - -The connector seems to be a special type designed for the Gamecube. It might -be hard to find a matching counterpart. If you do not want to rip up the -controller cable then your alternative option might be to reuse an extension -cable. They are quite cheap and pass through all required wires. Just remove -the connector that is plugged into the console and attach your favorite -standard connector. - -See gcpad.png. - - -Verification ------------- - -The GCpad core comes with a simple testbench that includes a simulation model -of a Gamecube controller. Serial information is sent to the core and the -reported button states are compared against the input. Both flavors are tested -in parallel there. -You should normally not need to run the testbench. But in case you modified -the VHDL code the testbench gives some hints if the design has been broken. - - -Directory Structure -------------------- - -The core's directory structure follows the proposal of OpenCores.org. - -gcpad - | - \--+-- doc : Integration Manual - | - +-- rtl - | | - | \-- vhdl : VHDL code containing the RTL description - | of the core. - | - +-- bench - | | - | \-- vhdl : VHDL testbench code. - | - \-- sim - | - \-- rtl_sim : Directory for running simulations. - - -Compiling the VHDL Code ------------------------ - -VHDL compilation and simulation tasks take place inside in sim/rtl_sim -directory. The project setup supports only the GHDL simulator (see -http://ghdl.free.fr). - -To compile the code simply type at the shell - -$ make - -This should result in a file called tb_behav_c0 which can be executed as any -other executable. - -The basic simple sequence list can be found in COMPILE_LIST. This can be -useful to quickly set up the analyze stage of any compiler or -synthesizer. Especially when synthesizing the code, you want to skip the VHDL -configurations in *-c.vhd and everything below the bench/ directory. - - -References ----------- - - * James' excellent page covering many details of the Gamecube controller - protocol - http://www.int03.co.uk/crema/hardware/gamecube/gc-control.htm - - * Yet Another Gamecube Documentation - http://www.gc-linux.org/docs/yagcd/index.html - Refer to section 5.8, 9.1 and 9.2. Index: tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd (nonexistent) @@ -1,17 +0,0 @@ -------------------------------------------------------------------------------- --- --- A testbench model for the --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_mod-c.vhd,v 1.1 2004-10-10 17:26:28 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_mod_behav_c0 of gcpad_mod is - - for behav - end for; - -end gcpad_mod_behav_c0; Index: tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd (nonexistent) @@ -1,207 +0,0 @@ -------------------------------------------------------------------------------- --- --- A testbench model for the --- GCpad controller core --- --- $Id: gcpad_mod.vhd,v 1.3 2004-10-10 20:12:06 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_mod is - - generic ( - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - pad_data_io : inout std_logic; - rx_data_i : in std_logic_vector(63 downto 0) - ); - -end gcpad_mod; - - -architecture behav of gcpad_mod is - - ----------------------------------------------------------------------------- - -- Procedure wait_n_us - -- - -- Purpose: - -- Waits for the given number of clk_i cycles. - -- - procedure wait_n_us(clocks : in natural) is - begin - wait until clk_i = '0'; - for i in 1 to clocks loop - wait until clk_i = '1'; - wait until clk_i = '0'; - end loop; - end wait_n_us; - -- - ----------------------------------------------------------------------------- - - signal time_cnt_q : natural; - signal timeout_s : boolean; - -begin - - ----------------------------------------------------------------------------- - -- Process timeout - -- - -- Purpose: - -- Detects a timeout on incoming pad data stream after 5 us of - -- inactivity. Resynchronizes upon falling edge of pad_data_io. - -- - timeout: process (clk_i, pad_data_io) - begin - if pad_data_io = '0' then - timeout_s <= false; - time_cnt_q <= 0; - elsif clk_i'event and clk_i = '1' then - time_cnt_q <= time_cnt_q + 1; - - if time_cnt_q > 5 * clocks_per_1us_g then - timeout_s <= true; - else - timeout_s <= false; - end if; - end if; - end process timeout; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Process model - -- - -- Purpose: - -- Simple model for the functionality of a GC controller pad. - -- - model: process - - procedure send_packet(packet : in std_logic_vector) is - variable time_low_v, time_high_v : time; - begin - for i in packet'high downto 0 loop - if packet(i) = '0' then - time_low_v := 3 us; - time_high_v := 1 us; - else - time_low_v := 1 us; - time_high_v := 3 us; - end if; - - pad_data_io <= '0'; - wait for time_low_v; - - pad_data_io <= 'H'; - wait for time_high_v; - - end loop; - - end send_packet; - - - variable command_v : std_logic_vector(24 downto 0); - constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; - begin - - loop - command_v := (others => '1'); - pad_data_io <= 'Z'; - - ------------------------------------------------------------------------- - -- Step 1: - -- Receive command and associated data. - -- - wait until pad_data_io = '0'; - wait for 1 ns; - for i in 24 downto 0 loop - -- skip rest if timeout occured - if not timeout_s then - wait_n_us(2 * clocks_per_1us_g); - - command_v(i) := pad_data_io; - - if pad_data_io = '0' then - wait until pad_data_io /= '0'; - end if; - - -- wait for high -> low edge - wait until (pad_data_io = '0') or timeout_s; - - end if; - - wait for 1 ns; - end loop; - - ------------------------------------------------------------------------- - -- Detect command and send response - -- - case command_v(24 downto 17) is - -- get ID - when "00000000" => - wait_n_us(5 * clocks_per_1us_g); - send_packet(id_c); - send_packet("1"); - - -- poll status - when "0H000000" => - wait_n_us(5 * clocks_per_1us_g); - send_packet(rx_data_i); - send_packet("1"); - - when others => - null; - - end case; - - end loop; - end process model; - -- - ----------------------------------------------------------------------------- - -end behav; Index: tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd (nonexistent) @@ -1,676 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- GCpad controller core --- --- $Id: tb.vhd,v 1.4 2004-10-10 20:19:49 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity tb is - -end tb; - - -use work.gcpad_pack.all; -use work.gcpad_comp.gcpad_basic; -use work.gcpad_comp.gcpad_full; - -architecture behav of tb is - - ----------------------------------------------------------------------------- - -- Some known commands for the GC controller - ----------------------------------------------------------------------------- - constant cmd_get_id_c : std_logic_vector(7 downto 0) := "00000000"; - constant cmd_poll_c : std_logic_vector(7 downto 0) := "01000000"; - - - component gcpad_mod - generic ( - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - pad_data_io : inout std_logic; - rx_data_i : in std_logic_vector(63 downto 0) - ); - end component; - - constant period_c : time := 100 ns; - constant reset_level_c : natural := 0; - constant clocks_per_1us_c : natural := 10; - - signal clk_s : std_logic; - signal reset_s : std_logic; - - -- signals for basic gcpad - signal stimuli_1_end_s : boolean; - signal pad_data_1_s : std_logic; - signal buttons_1_s : std_logic_vector(64 downto 0); - signal pad_request_1_s : std_logic; - signal pad_avail_1_s : std_logic; - signal pad_model_data_1_s : std_logic_vector(63 downto 0); - - -- signals for full gcpad - signal stimuli_2_end_s : boolean; - signal pad_data_2_s : std_logic; - signal pad_request_2_s : std_logic; - signal pad_avail_2_s : std_logic; - signal pad_timeout_2_s : std_logic; - signal tx_size_2_s : std_logic_vector( 1 downto 0); - signal tx_command_2_s : std_logic_vector(23 downto 0); - signal rx_size_2_s : std_logic_vector( 3 downto 0); - signal rx_data_2_s : std_logic_vector(63 downto 0); - signal pad_model_data_2_s : std_logic_vector(63 downto 0); - -begin - - basic_b : gcpad_basic - generic map ( - reset_level_g => reset_level_c, - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_request_i => pad_request_1_s, - pad_avail_o => pad_avail_1_s, - pad_data_io => pad_data_1_s, - but_a_o => buttons_1_s(56), - but_b_o => buttons_1_s(57), - but_x_o => buttons_1_s(58), - but_y_o => buttons_1_s(59), - but_z_o => buttons_1_s(52), - but_start_o => buttons_1_s(60), - but_tl_o => buttons_1_s(54), - but_tr_o => buttons_1_s(53), - but_left_o => buttons_1_s(48), - but_right_o => buttons_1_s(49), - but_up_o => buttons_1_s(51), - but_down_o => buttons_1_s(50), - ana_joy_x_o => buttons_1_s(47 downto 40), - ana_joy_y_o => buttons_1_s(39 downto 32), - ana_c_x_o => buttons_1_s(31 downto 24), - ana_c_y_o => buttons_1_s(23 downto 16), - ana_l_o => buttons_1_s(15 downto 8), - ana_r_o => buttons_1_s( 7 downto 0) - ); - - buttons_1_s(64) <= '0'; - buttons_1_s(63 downto 61) <= (others => '0'); - buttons_1_s(55) <= '1'; - - - full_b: gcpad_full - generic map ( - reset_level_g => reset_level_c, - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_request_i => pad_request_2_s, - pad_avail_o => pad_avail_2_s, - pad_timeout_o => pad_timeout_2_s, - tx_size_i => tx_size_2_s, - tx_command_i => tx_command_2_s, - rx_size_i => rx_size_2_s, - rx_data_o => rx_data_2_s, - pad_data_io => pad_data_2_s - ); - - - pad_1 : gcpad_mod - generic map ( - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - pad_data_io => pad_data_1_s, - rx_data_i => pad_model_data_1_s - ); - - - ----------------------------------------------------------------------------- - -- Process stimuli_pad_1 - -- - -- Executes test stimuli with Pad 1, the gcpad_basic flavour. - -- - stimuli_pad_1: process - - --------------------------------------------------------------------------- - -- Procedure poll_pad - -- - -- Requests the status of Pad 1 and checks the received data. - -- - procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - pad_model_data_1_s <= packet; - - -- send request; - pad_request_1_s <= '1'; - wait for 1 * period_c; - pad_request_1_s <= '0'; - - wait for 10 * 40 * period_c; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - - -- check result - for i in 0 to packet'high loop - assert packet(i) = buttons_1_s(i) - report "Button mismatch on Pad 1!" - severity error; - end loop; - - end poll_pad; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad - -- - -- Generates a timeout in gcpad_basic by disturbing the communication. - -- - procedure timeout_gcpad is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- send request; - pad_request_1_s <= '1'; - wait for 1 * period_c; - pad_request_1_s <= '0'; - - wait for 2 * period_c; - - -- disturb communication - pad_data_1_s <= 'X'; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - pad_data_1_s <= 'H'; - wait for 10 * period_c; - - end timeout_gcpad; - -- - --------------------------------------------------------------------------- - - begin - stimuli_1_end_s <= false; - - pad_data_1_s <= 'H'; - pad_request_1_s <= '0'; - pad_model_data_1_s <= (others => '0'); - - wait until reset_s = '1'; - wait for period_c * 4; - - timeout_gcpad; - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - wait for clocks_per_1us_c * 100 * period_c; - poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); - poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); - poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); - - - wait for period_c * 2*40; - stimuli_1_end_s <= true; - wait; - - end process stimuli_pad_1; - -- - ----------------------------------------------------------------------------- - - - pad_2 : gcpad_mod - generic map ( - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - pad_data_io => pad_data_2_s, - rx_data_i => pad_model_data_2_s - ); - - - ----------------------------------------------------------------------------- - -- Process stimuli_pad_2 - -- - -- Executes test stimuli with Pad 2, the gcpad_full flavour. - -- - stimuli_pad_2: process - - --------------------------------------------------------------------------- - -- Procedure issue_command - -- - -- Sets the transmitter command for Pad 2 and starts the request. - -- - procedure issue_command(cmd : in std_logic_vector(23 downto 0); - size : in std_logic_vector( 1 downto 0)) is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - tx_command_2_s <= cmd; - tx_size_2_s <= size; - -- send request; - pad_request_2_s <= '1'; - wait for 1 * period_c; - pad_request_2_s <= '0'; - - end issue_command; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure poll_pad - -- - -- Requests the status of Pad 2 and checks the received data. - -- - procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- set up model answer - pad_model_data_2_s <= packet; - -- set expected number of bytes for gcpad_full - rx_size_2_s <= "1000"; - - cmd_v(23 downto 16) := cmd_poll_c; - cmd_v(15 downto 0) := "0000001100000010"; - issue_command(cmd => cmd_v, - size => "11"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '0' - report "Timout signalled on Pad 2 during status polling!" - severity error; - - -- check result - for i in 0 to packet'high loop - assert packet(i) = rx_data_2_s(i) - report "Data mismatch on Pad 2!" - severity error; - end loop; - - end poll_pad; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad_x - -- - -- Generates a timeout in gcpad_full by disturbing the communication. - -- - procedure timeout_gcpad_x is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - pad_model_data_2_s <= (others => '0'); - rx_size_2_s <= "1000"; - - - cmd_v(23 downto 16) := cmd_poll_c; - cmd_v(15 downto 0) := "0000001100000010"; - issue_command(cmd => cmd_v, - size => "11"); - - -- disturb communication - pad_data_2_s <= 'X'; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - pad_data_2_s <= 'H'; - wait for 10 * period_c; - - assert pad_timeout_2_s = '1' - report "No timeout indicated on Pad 2 when communication has been disturbed!" - severity error; - - end timeout_gcpad_x; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad_short - -- - -- Generates a timeout in gcpad_full by requesting too many bytes for - -- a "get id" command. - -- - procedure timeout_gcpad_short is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- expect too many number of bytes - -- command is "get id", will yield 3 bytes, but 8 bytes are requested - rx_size_2_s <= "1000"; - - - cmd_v(23 downto 16) := cmd_get_id_c; - cmd_v(15 downto 0) := (others => '1'); - issue_command(cmd => cmd_v, - size => "01"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '1' - report "No timout indicated on Pad 2 when too many bytes requested!" - severity error; - - end timeout_gcpad_short; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure get_id - -- - -- Requests the ID information from the GC controller model. - -- - procedure get_id is - variable cmd_v : std_logic_vector(23 downto 0); - constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - rx_size_2_s <= "0011"; - - - cmd_v(23 downto 16) := cmd_get_id_c; - cmd_v(15 downto 0) := (others => '1'); - issue_command(cmd => cmd_v, - size => "01"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '0' - report "Timout signalled on Pad 2 during get id!" - severity error; - - -- check result - for i in 0 to id_c'high loop - assert id_c(i) = rx_data_2_s(i) - report "ID mismatch on Pad 2!" - severity error; - end loop; - - end get_id; - -- - --------------------------------------------------------------------------- - -begin - stimuli_2_end_s <= false; - - pad_data_2_s <= 'H'; - pad_request_2_s <= '0'; - tx_size_2_s <= (others => '0'); - tx_command_2_s <= (others => '0'); - rx_size_2_s <= (others => '0'); - pad_model_data_2_s <= (others => '0'); - - wait until reset_s = '1'; - wait for period_c * 4; - - - get_id; - timeout_gcpad_x; - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - wait for clocks_per_1us_c * 100 * period_c; - poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); - timeout_gcpad_short; - poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); - poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); - - - wait for period_c * 2*40; - stimuli_2_end_s <= true; - wait; - - end process stimuli_pad_2; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Clock Generator - ----------------------------------------------------------------------------- - clk: process - begin - clk_s <= '0'; - wait for period_c / 2; - clk_s <= '1'; - wait for period_c / 2; - end process clk; - - - ----------------------------------------------------------------------------- - -- Reset Generator - ----------------------------------------------------------------------------- - reset: process - begin - if reset_level_c = 0 then - reset_s <= '0'; - else - reset_s <= '1'; - end if; - - wait for period_c * 4 + 10 ns; - - reset_s <= not reset_s; - - wait; - end process reset; - - - ----------------------------------------------------------------------------- - -- End of simulation detection - ----------------------------------------------------------------------------- - eos: process (stimuli_1_end_s, stimuli_2_end_s) - begin - if stimuli_1_end_s and stimuli_2_end_s then - assert false - report "End of simulation reached." - severity failure; - end if; - end process eos; - -end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2004/10/10 17:27:44 arniml --- added second pad --- introduced testbench model for GC controller --- --- Revision 1.2 2004/10/09 17:05:59 arniml --- delay assertion of request signal by real time (instead of delta cycles) --- --- Revision 1.1 2004/10/07 21:24:06 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd (nonexistent) @@ -1,28 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: tb-c.vhd,v 1.2 2004-10-10 17:27:36 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration tb_behav_c0 of tb is - - for behav - for basic_b : gcpad_basic - use configuration work.gcpad_basic_struct_c0; - end for; - - for full_b : gcpad_full - use configuration work.gcpad_full_struct_c0; - end for; - - for all : gcpad_mod - use configuration work.gcpad_mod_behav_c0; - end for; - end for; - -end tb_behav_c0; Index: tags/rel_0_2_beta/gcpad/gcpad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: tags/rel_0_2_beta/gcpad/gcpad.png =================================================================== --- tags/rel_0_2_beta/gcpad/gcpad.png (revision 37) +++ tags/rel_0_2_beta/gcpad/gcpad.png (nonexistent)
tags/rel_0_2_beta/gcpad/gcpad.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd (nonexistent) @@ -1,250 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_ctrl.vhd,v 1.2 2004-10-09 17:04:36 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_ctrl is - - generic ( - reset_level_g : integer := 0 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - -- Control Interface ------------------------------------------------------ - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - -end gcpad_ctrl; - - -use work.gcpad_pack.all; - -architecture rtl of gcpad_ctrl is - - type state_t is (IDLE, - TX, - RX1_START, - RX1_WAIT, - RX2_START, - RX2_WAIT, - RX3_START, - RX3_WAIT, - RX4_START, - RX4_WAIT); - signal state_s, - state_q : state_t; - - signal set_txrx_finished_s : boolean; - signal enable_txrx_finished_s : boolean; - signal txrx_finished_q : std_logic; - - signal timeout_q : std_logic; - -begin - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements. - -- - seq: process (reset_i, clk_i) - begin - if reset_i = reset_level_g then - state_q <= IDLE; - - txrx_finished_q <= '0'; - - timeout_q <= '1'; - - elsif clk_i'event and clk_i = '1' then - state_q <= state_s; - - -- transmit/receive finished flag - if set_txrx_finished_s then - txrx_finished_q <= '1'; - elsif pad_request_i = '1' then - txrx_finished_q <= '0'; - end if; - - if pad_request_i = '1' then - timeout_q <= '1'; - elsif rx_data_ok_i then - timeout_q <= '0'; - end if; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - tx_finished_i, - rx_done_i, - pad_request_i) - begin - rx_en_o <= false; - state_s <= IDLE; - tx_start_o <= false; - set_txrx_finished_s <= false; - enable_txrx_finished_s <= false; - - case state_q is - when IDLE => - -- enable output of txrx_finished flag - -- the flag has to be suppressed while the FSM probes four times - enable_txrx_finished_s <= true; - - if pad_request_i = '1' then - state_s <= TX; - tx_start_o <= true; - else - state_s <= IDLE; - end if; - - when TX => - if not tx_finished_i then - state_s <= TX; - else - state_s <= RX1_START; - end if; - - when RX1_START => - rx_en_o <= true; - state_s <= RX1_WAIT; - - when RX1_WAIT => - if rx_done_i then - state_s <= RX2_START; - else - state_s <= RX1_WAIT; - end if; - - when RX2_START => - rx_en_o <= true; - state_s <= RX2_WAIT; - - when RX2_WAIT => - if rx_done_i then - state_s <= RX3_START; - else - state_s <= RX2_WAIT; - end if; - - when RX3_START => - rx_en_o <= true; - state_s <= RX3_WAIT; - - when RX3_WAIT => - if rx_done_i then - state_s <= RX4_START; - else - state_s <= RX3_WAIT; - end if; - - when RX4_START => - rx_en_o <= true; - state_s <= RX4_WAIT; - - when RX4_WAIT => - if rx_done_i then - state_s <= IDLE; - set_txrx_finished_s <= true; - else - state_s <= RX4_WAIT; - end if; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - pad_avail_o <= txrx_finished_q - when enable_txrx_finished_s else - '0'; - rx_timeout_o <= timeout_q - when enable_txrx_finished_s else - '0'; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_ctrl-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_ctrl_rtl_c0 of gcpad_ctrl is - - for rtl - end for; - -end gcpad_ctrl_rtl_c0; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd (nonexistent) @@ -1,19 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_rx-c.vhd,v 1.2 2004-10-08 21:18:39 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_rx_rtl_c0 of gcpad_rx is - - for rtl - for sampler_b : gcpad_sampler - use configuration work.gcpad_sampler_rtl_c0; - end for; - end for; - -end gcpad_rx_rtl_c0; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd (nonexistent) @@ -1,386 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_rx.vhd,v 1.5 2004-10-09 17:05:12 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -use work.gcpad_pack.buttons_t; - -entity gcpad_rx is - - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Control Interface ------------------------------------------------------ - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - -- Gamepad Interface ------------------------------------------------------ - pad_data_i : in std_logic; - -- Data Interface --------------------------------------------------------- - rx_data_o : out buttons_t - ); - -end gcpad_rx; - - -library ieee; -use ieee.numeric_std.all; -use work.gcpad_pack.all; - -architecture rtl of gcpad_rx is - - component gcpad_sampler - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - wrap_sample_i : in boolean; - sync_sample_i : in boolean; - sample_underflow_o : out boolean; - pad_data_i : in std_logic; - pad_data_o : out std_logic; - sample_o : out std_logic - ); - end component; - - type state_t is (IDLE, - DETECT_TIMEOUT, - WAIT_FOR_1, - WAIT_FOR_0, - FINISHED); - signal state_s, - state_q : state_t; - - signal buttons_q, - shift_buttons_q : buttons_t; - signal save_buttons_s : boolean; - signal shift_buttons_s : boolean; - - signal sync_sample_s : boolean; - signal wrap_sample_s : boolean; - - -- timeout counter counts three sample undeflows - constant cnt_timeout_high_c : natural := 3; - subtype cnt_timeout_t is natural range 0 to cnt_timeout_high_c; - signal cnt_timeout_q : cnt_timeout_t; - signal timeout_q : boolean; - signal sync_timeout_s : boolean; - - - subtype num_buttons_read_t is unsigned(6 downto 0); - signal num_buttons_read_q : num_buttons_read_t; - signal all_buttons_read_s : boolean; - signal reset_num_buttons_s : boolean; - - signal pad_data_s : std_logic; - signal sample_s : std_logic; - signal sample_underflow_s : boolean; - - signal rx_done_s, - rx_done_q : boolean; - -begin - - sampler_b : gcpad_sampler - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - wrap_sample_i => wrap_sample_s, - sync_sample_i => sync_sample_s, - sample_underflow_o => sample_underflow_s, - pad_data_i => pad_data_i, - pad_data_o => pad_data_s, - sample_o => sample_s - ); - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements of this module. - -- - seq: process (reset_i, clk_i) - variable size_v : std_logic_vector(num_buttons_read_t'range); - begin - if reset_i = reset_level_g then - buttons_q <= (others => '0'); - shift_buttons_q <= (others => '0'); - - state_q <= IDLE; - - cnt_timeout_q <= cnt_timeout_high_c; - - timeout_q <= false; - - num_buttons_read_q <= (others => '0'); - rx_done_q <= false; - - elsif clk_i'event and clk_i = '1' then - state_q <= state_s; - - rx_done_q <= rx_done_s; - - -- timeout counter - if sync_timeout_s then - -- explicit preload - cnt_timeout_q <= cnt_timeout_high_c; - timeout_q <= false; - elsif cnt_timeout_q = 0 then - -- wrap-around - cnt_timeout_q <= cnt_timeout_high_c; - timeout_q <= true; - elsif sample_underflow_s then - -- decrement counter when sampler wraps around - cnt_timeout_q <= cnt_timeout_q - 1; - end if; - - - -- count remaining number of buttons to read - if shift_buttons_s then - shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); - - if sample_s = '1' then - shift_buttons_q(0) <= '1'; - else - shift_buttons_q(0) <= '0'; - end if; - - end if; - - if reset_num_buttons_s then - -- explicit preload - size_v(num_buttons_read_t'high downto 3) := rx_size_i; - size_v(2 downto 0) := (others => '0'); - num_buttons_read_q <= unsigned(size_v); - elsif shift_buttons_s then - -- decrement counter when a button bit has been read - if not all_buttons_read_s then - num_buttons_read_q <= num_buttons_read_q - 1; - end if; - end if; - - - -- the buttons - if save_buttons_s then - buttons_q <= shift_buttons_q; - end if; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - -- indicates that all buttons have been read - all_buttons_read_s <= num_buttons_read_q = 0; - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - rx_en_i, - pad_data_s, - wrap_sample_s, - all_buttons_read_s, - sample_underflow_s, - timeout_q) - begin - sync_sample_s <= false; - sync_timeout_s <= false; - state_s <= IDLE; - shift_buttons_s <= false; - save_buttons_s <= false; - rx_done_s <= false; - reset_num_buttons_s <= false; - wrap_sample_s <= false; - - case state_q is - -- IDLE ----------------------------------------------------------------- - -- The idle state. - when IDLE => - if rx_en_i then - state_s <= DETECT_TIMEOUT; - - else - -- keep counters synchronized when no reception is running - sync_sample_s <= true; - sync_timeout_s <= true; - reset_num_buttons_s <= true; - state_s <= IDLE; - - end if; - - when DETECT_TIMEOUT => - state_s <= DETECT_TIMEOUT; - - if pad_data_s = '0' then - sync_sample_s <= true; - state_s <= WAIT_FOR_1; - - else - -- wait for timeout - wrap_sample_s <= true; - if timeout_q then - rx_done_s <= true; - state_s <= IDLE; - end if; - - end if; - - - -- WAIT_FOR_1 ----------------------------------------------------------- - -- Sample counter has expired and a 0 bit has been detected. - -- We must now wait for pad_data_s to become 1. - -- Or abort upon timeout. - when WAIT_FOR_1 => - if pad_data_s = '0' then - if not sample_underflow_s then - state_s <= WAIT_FOR_1; - else - -- timeout while reading buttons! - rx_done_s <= true; - state_s <= IDLE; - end if; - - else - state_s <= WAIT_FOR_0; - end if; - - -- WAIT_FOR_0 ----------------------------------------------------------- - -- pad_data_s is at 1 level now and no timeout occured so far. - -- We wait for the next 0 level on pad_data_s or abort upon timeout. - when WAIT_FOR_0 => - -- wait for falling edge of pad data - if pad_data_s = '0' then - sync_sample_s <= true; - - -- loop again in any case - state_s <= WAIT_FOR_1; - if not all_buttons_read_s then - shift_buttons_s <= true; - end if; - - else - if sample_underflow_s then - if all_buttons_read_s then - -- last button was read - -- so it's ok to timeout - state_s <= FINISHED; - else - -- timeout while reading buttons! - rx_done_s <= true; - state_s <= IDLE; - end if; - - else - state_s <= WAIT_FOR_0; - - end if; - - end if; - - when FINISHED => - -- finally save buttons - save_buttons_s <= true; - rx_done_s <= true; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - rx_done_o <= rx_done_q; - rx_data_ok_o <= save_buttons_s; - rx_data_o <= buttons_q; - - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2004/10/09 00:33:55 arniml --- shift rx_data to button assignment to toplevel --- --- Revision 1.3 2004/10/08 21:18:39 arniml --- move sampler to separate unit --- --- Revision 1.2 2004/10/08 20:51:59 arniml --- turn rx and tx size into bytes instead of bits --- --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd (nonexistent) @@ -1,98 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_pack-p.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package gcpad_pack is - - subtype analog_axis_t is std_logic_vector(7 downto 0); - constant num_buttons_c : natural := 64; - subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); - - function "=" (a : in std_logic; b : in integer) return boolean; - - ----------------------------------------------------------------------------- - -- The button positions inside a gc packet - ----------------------------------------------------------------------------- - -- byte 7 ------------------------------------------------------------------- - constant pos_errstat_c : natural := 63; - constant pos_errlatch_c : natural := 62; - constant pos_unknown1_c : natural := 61; - constant pos_start_c : natural := 60; - constant pos_y_c : natural := 59; - constant pos_x_c : natural := 58; - constant pos_b_c : natural := 57; - constant pos_a_c : natural := 56; - -- byte 6 ------------------------------------------------------------------- - constant pos_unknown2_c : natural := 55; - constant pos_tl_c : natural := 54; - constant pos_tr_c : natural := 53; - constant pos_z_c : natural := 52; - constant pos_up_c : natural := 51; - constant pos_down_c : natural := 50; - constant pos_right_c : natural := 49; - constant pos_left_c : natural := 48; - -- byte 5 ------------------------------------------------------------------- - constant joy_x_high_c : natural := 47; - constant joy_x_low_c : natural := 40; - -- byte 4 ------------------------------------------------------------------- - constant joy_y_high_c : natural := 39; - constant joy_y_low_c : natural := 32; - -- byte 3 ------------------------------------------------------------------- - constant c_x_high_c : natural := 31; - constant c_x_low_c : natural := 24; - -- byte 2 ------------------------------------------------------------------- - constant c_y_high_c : natural := 23; - constant c_y_low_c : natural := 16; - -- byte 1 ------------------------------------------------------------------- - constant l_high_c : natural := 15; - constant l_low_c : natural := 8; - -- byte 0 ------------------------------------------------------------------- - constant r_high_c : natural := 7; - constant r_low_c : natural := 0; - -end gcpad_pack; - - -package body gcpad_pack is - - ----------------------------------------------------------------------------- - -- Function = - -- - -- Compares a std_logic with an integer. - -- - function "=" (a : in std_logic; b : in integer) return boolean is - variable result_v : boolean; - begin - result_v := false; - - case a is - when '0' => - if b = 0 then - result_v := true; - end if; - - when '1' => - if b = 1 then - result_v := true; - end if; - - when others => - null; - - end case; - - return result_v; - end; - -- - ----------------------------------------------------------------------------- - -end gcpad_pack; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd (nonexistent) @@ -1,68 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package gcpad_comp is - - component gcpad_basic - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_data_io : inout std_logic; - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_z_o : out std_logic; - but_start_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - ana_joy_x_o : out std_logic_vector(7 downto 0); - ana_joy_y_o : out std_logic_vector(7 downto 0); - ana_c_x_o : out std_logic_vector(7 downto 0); - ana_c_y_o : out std_logic_vector(7 downto 0); - ana_l_o : out std_logic_vector(7 downto 0); - ana_r_o : out std_logic_vector(7 downto 0) - ); - end component; - - component gcpad_full - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_timeout_o : out std_logic; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0); - rx_size_i : in std_logic_vector( 3 downto 0); - rx_data_o : out std_logic_vector(63 downto 0); - pad_data_io : inout std_logic - ); - end component; - - -end gcpad_comp; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_tx-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_tx_rtl_c0 of gcpad_tx is - - for rtl - end for; - -end gcpad_tx_rtl_c0; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd (nonexistent) @@ -1,312 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_tx.vhd,v 1.2 2004-10-08 20:51:59 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_tx is - - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Pad Interface ---------------------------------------------------------- - pad_data_o : out std_logic; - -- Control Interface ------------------------------------------------------ - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - -end gcpad_tx; - - -library ieee; -use ieee.numeric_std.all; - -use work.gcpad_pack.all; - -architecture rtl of gcpad_tx is - - subtype command_t is std_logic_vector(24 downto 0); - - signal command_q : command_t; - signal load_command_s : boolean; - signal shift_bits_s : boolean; - - constant cnt_long_c : natural := clocks_per_1us_g * 4 - 1; - constant cnt_short_c : natural := clocks_per_1us_g * 1 - 1; - subtype cnt_t is natural range 0 to cnt_long_c; - signal cnt_q : cnt_t; - signal cnt_load_long_s : boolean; - signal cnt_load_short_s : boolean; - signal cnt_finished_s : boolean; - - subtype num_bits_t is unsigned(4 downto 0); - signal num_bits_q : num_bits_t; - signal all_bits_sent_s : boolean; - signal cnt_bit_s : boolean; - - type state_t is (IDLE, - LOAD_COMMAND, - SEND_COMMAND_PHASE1, - SEND_COMMAND_PHASE2); - signal state_s, - state_q : state_t; - - signal pad_data_s, - pad_data_q : std_logic; - - signal tx_finished_s, - tx_finished_q : boolean; - -begin - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements of this module. - -- - seq: process (reset_i, clk_i) - variable size_v : std_logic_vector(num_bits_t'range); - begin - if reset_i = reset_level_g then - command_q <= (others => '1'); - cnt_q <= cnt_long_c; - num_bits_q <= (others => '0'); - pad_data_q <= '1'; - state_q <= IDLE; - tx_finished_q <= false; - - elsif clk_i'event and clk_i = '1' then - tx_finished_q <= tx_finished_s; - - -- fsm - state_q <= state_s; - - -- command register and bit counter - if load_command_s then - command_q(24 downto 1) <= tx_command_i; - command_q(0) <= '1'; - - -- workaround for GHDL concatenation - size_v(num_bits_t'high downto 3) := tx_size_i; - size_v(2 downto 0) := (others => '0'); - num_bits_q <= unsigned(size_v) + 1; - - else - if shift_bits_s then - command_q(command_t'high downto 1) <= command_q(command_t'high-1 downto 0); - end if; - - if cnt_bit_s and not all_bits_sent_s then - num_bits_q <= num_bits_q - 1; - end if; - - end if; - - - -- PWM counter - if cnt_load_long_s then - cnt_q <= cnt_long_c; - elsif cnt_load_short_s then - cnt_q <= cnt_short_c; - else - if not cnt_finished_s then - cnt_q <= cnt_q - 1; - end if; - end if; - - -- PWM output = pad data - pad_data_q <= pad_data_s; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - -- indicates that PWM counter has finished - cnt_finished_s <= cnt_q = 0; - -- indicates that all bits have been sent - all_bits_sent_s <= num_bits_q = 0; - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - cnt_finished_s, - all_bits_sent_s, - tx_start_i, - command_q) - begin - -- defaul assignments - state_s <= IDLE; - shift_bits_s <= false; - cnt_load_long_s <= false; - cnt_load_short_s <= false; - pad_data_s <= '1'; - tx_finished_s <= false; - load_command_s <= false; - cnt_bit_s <= false; - - case state_q is - -- IDLE ----------------------------------------------------------------- - -- The idle state. - -- Advances when the transmitter is started - when IDLE => - if tx_start_i then - state_s <= LOAD_COMMAND; - else - state_s <= IDLE; - end if; - - - -- LOAD_COMMAND --------------------------------------------------------- - -- Prepares the first and all subsequent low phases on pad_data_s. - when LOAD_COMMAND => - state_s <= SEND_COMMAND_PHASE2; - load_command_s <= true; - - -- start counter once to kick the loop - cnt_load_short_s <= true; - - - -- SEND_COMMAND_PHASE1 -------------------------------------------------- - -- Wait for completion of phase 1, the low phase of pad_data_s. - -- The high phase is prepared when the PWM counter has expired. - when SEND_COMMAND_PHASE1 => - state_s <= SEND_COMMAND_PHASE1; - pad_data_s <= '0'; - - if cnt_finished_s then - -- initiate high phase - pad_data_s <= '1'; - if command_q(command_t'high) = '1' then - cnt_load_long_s <= true; - else - cnt_load_short_s <= true; - end if; - - state_s <= SEND_COMMAND_PHASE2; - -- provide next bit - shift_bits_s <= true; - - end if; - - -- SEND_COMMAND_PHASE2 -------------------------------------------------- - -- Wait for completion of phase 2, the high phase of pad_data_s. - -- The next low phase is prepared when the PWM counter has expired. - -- In case all bits have been sent, the tx handshake is asserted and - -- the FSM returns to IDLE state. - when SEND_COMMAND_PHASE2 => - pad_data_s <= '1'; - state_s <= SEND_COMMAND_PHASE2; - - if cnt_finished_s then - if not all_bits_sent_s then - -- more bits to send so loop - - -- prepare low phase - if command_q(command_t'high) = '1' then - cnt_load_short_s <= true; - else - cnt_load_long_s <= true; - end if; - - -- decrement bit counter - cnt_bit_s <= true; - - state_s <= SEND_COMMAND_PHASE1; - - else - -- all bits sent, we're finished - tx_finished_s <= true; - state_s <= IDLE; - - end if; - - end if; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - tx_finished_o <= tx_finished_q; - pad_data_o <= pad_data_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd (nonexistent) @@ -1,27 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_basic-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_basic_struct_c0 of gcpad_basic is - - for struct - for ctrl_b : gcpad_ctrl - use configuration work.gcpad_ctrl_rtl_c0; - end for; - - for tx_b : gcpad_tx - use configuration work.gcpad_tx_rtl_c0; - end for; - - for rx_b : gcpad_rx - use configuration work.gcpad_rx_rtl_c0; - end for; - end for; - -end gcpad_basic_struct_c0; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd (nonexistent) @@ -1,275 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_basic.vhd,v 1.5 2004-10-09 22:18:35 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_basic is - - generic ( - -- active level of reset_i - reset_level_g : integer := 0; - -- number of clk_i periods during 1us - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - -- Gamepad Interface ------------------------------------------------------ - pad_data_io : inout std_logic; - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_z_o : out std_logic; - but_start_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - ana_joy_x_o : out std_logic_vector(7 downto 0); - ana_joy_y_o : out std_logic_vector(7 downto 0); - ana_c_x_o : out std_logic_vector(7 downto 0); - ana_c_y_o : out std_logic_vector(7 downto 0); - ana_l_o : out std_logic_vector(7 downto 0); - ana_r_o : out std_logic_vector(7 downto 0) - ); - -end gcpad_basic; - - -use work.gcpad_pack.all; - -architecture struct of gcpad_basic is - - component gcpad_ctrl - generic ( - reset_level_g : integer := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - end component; - - component gcpad_tx - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_data_o : out std_logic; - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - end component; - - component gcpad_rx - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - pad_data_i : in std_logic; - rx_data_o : out buttons_t - ); - end component; - - - ----------------------------------------------------------------------------- - -- constants for standard status polling - constant rx_size_c : std_logic_vector( 3 downto 0) := "1000"; - signal rx_size_s : std_logic_vector( 3 downto 0); - -- - constant tx_size_c : std_logic_vector( 1 downto 0) := "11"; - signal tx_size_s : std_logic_vector( 1 downto 0); - -- - constant tx_command_c : std_logic_vector(23 downto 0) := "010000000000001100000010"; - signal tx_command_s : std_logic_vector(23 downto 0); - -- - ----------------------------------------------------------------------------- - - signal pad_data_tx_s : std_logic; - - signal tx_start_s : boolean; - signal tx_finished_s : boolean; - - signal rx_en_s, - rx_done_s, - rx_data_ok_s : boolean; - - signal rx_data_s : buttons_t; - -begin - - rx_size_s <= rx_size_c; - tx_size_s <= tx_size_c; - tx_command_s <= tx_command_c; - - ctrl_b : gcpad_ctrl - generic map ( - reset_level_g => reset_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_request_i => pad_request_i, - pad_avail_o => pad_avail_o, - rx_timeout_o => open, - tx_start_o => tx_start_s, - tx_finished_i => tx_finished_s, - rx_en_o => rx_en_s, - rx_done_i => rx_done_s, - rx_data_ok_i => rx_data_ok_s - ); - - tx_b : gcpad_tx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_data_o => pad_data_tx_s, - tx_start_i => tx_start_s, - tx_finished_o => tx_finished_s, - tx_size_i => tx_size_s, - tx_command_i => tx_command_s - ); - - rx_b : gcpad_rx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - rx_en_i => rx_en_s, - rx_done_o => rx_done_s, - rx_data_ok_o => rx_data_ok_s, - rx_size_i => rx_size_s, - pad_data_i => pad_data_io, - rx_data_o => rx_data_s - ); - - - ----------------------------------------------------------------------------- - -- Open collector driver to pad data - ----------------------------------------------------------------------------- - pad_data_io <= '0' - when pad_data_tx_s = '0' else - 'Z'; - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - but_a_o <= rx_data_s(pos_a_c); - but_b_o <= rx_data_s(pos_b_c); - but_x_o <= rx_data_s(pos_x_c); - but_y_o <= rx_data_s(pos_y_c); - but_z_o <= rx_data_s(pos_z_c); - but_start_o <= rx_data_s(pos_start_c); - but_tl_o <= rx_data_s(pos_tl_c); - but_tr_o <= rx_data_s(pos_tr_c); - but_left_o <= rx_data_s(pos_left_c); - but_right_o <= rx_data_s(pos_right_c); - but_up_o <= rx_data_s(pos_up_c); - but_down_o <= rx_data_s(pos_down_c); - ana_joy_x_o <= rx_data_s(joy_x_high_c downto joy_x_low_c); - ana_joy_y_o <= rx_data_s(joy_y_high_c downto joy_y_low_c); - ana_c_x_o <= rx_data_s(c_x_high_c downto c_x_low_c); - ana_c_y_o <= rx_data_s(c_y_high_c downto c_y_low_c); - ana_l_o <= rx_data_s(l_high_c downto l_low_c); - ana_r_o <= rx_data_s(r_high_c downto r_low_c); - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2004/10/09 17:03:43 arniml --- enhance handshaking between gcpad_rx and gcpad_ctrl --- --- Revision 1.3 2004/10/09 00:33:55 arniml --- shift rx_data to button assignment to toplevel --- --- Revision 1.2 2004/10/08 20:51:59 arniml --- turn rx and tx size into bytes instead of bits --- --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd (nonexistent) @@ -1,209 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_full.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_full is - - generic ( - -- active level of reset_i - reset_level_g : integer := 0; - -- number of clk_i periods during 1us - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Pad Communication Interface -------------------------------------------- - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_timeout_o : out std_logic; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0); - rx_size_i : in std_logic_vector( 3 downto 0); - rx_data_o : out std_logic_vector(63 downto 0); - -- Gamepad Interface ------------------------------------------------------ - pad_data_io : inout std_logic - ); - -end gcpad_full; - - -use work.gcpad_pack.all; - -architecture struct of gcpad_full is - - component gcpad_ctrl - generic ( - reset_level_g : integer := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - end component; - - component gcpad_tx - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_data_o : out std_logic; - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - end component; - - component gcpad_rx - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - pad_data_i : in std_logic; - rx_data_o : out buttons_t - ); - end component; - - - signal pad_data_tx_s : std_logic; - - signal tx_start_s : boolean; - signal tx_finished_s : boolean; - - signal rx_en_s, - rx_done_s, - rx_data_ok_s : boolean; - -begin - - ctrl_b : gcpad_ctrl - generic map ( - reset_level_g => reset_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_request_i => pad_request_i, - pad_avail_o => pad_avail_o, - rx_timeout_o => pad_timeout_o, - tx_start_o => tx_start_s, - tx_finished_i => tx_finished_s, - rx_en_o => rx_en_s, - rx_done_i => rx_done_s, - rx_data_ok_i => rx_data_ok_s - ); - - tx_b : gcpad_tx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_data_o => pad_data_tx_s, - tx_start_i => tx_start_s, - tx_finished_o => tx_finished_s, - tx_size_i => tx_size_i, - tx_command_i => tx_command_i - ); - - rx_b : gcpad_rx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - rx_en_i => rx_en_s, - rx_done_o => rx_done_s, - rx_data_ok_o => rx_data_ok_s, - rx_size_i => rx_size_i, - pad_data_i => pad_data_io, - rx_data_o => rx_data_o - ); - - - ----------------------------------------------------------------------------- - -- Open collector driver to pad data - ----------------------------------------------------------------------------- - pad_data_io <= '0' - when pad_data_tx_s = '0' else - 'Z'; - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd (nonexistent) @@ -1,27 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_full-c.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_full_struct_c0 of gcpad_full is - - for struct - for ctrl_b : gcpad_ctrl - use configuration work.gcpad_ctrl_rtl_c0; - end for; - - for tx_b : gcpad_tx - use configuration work.gcpad_tx_rtl_c0; - end for; - - for rx_b : gcpad_rx - use configuration work.gcpad_rx_rtl_c0; - end for; - end for; - -end gcpad_full_struct_c0; Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd (nonexistent) @@ -1,169 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_sampler.vhd,v 1.3 2004-10-09 00:33:12 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_sampler is - - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Control Interface ------------------------------------------------------ - wrap_sample_i : in boolean; - sync_sample_i : in boolean; - sample_underflow_o : out boolean; - -- Pad Interface ---------------------------------------------------------- - pad_data_i : in std_logic; - pad_data_o : out std_logic; - sample_o : out std_logic - ); - -end gcpad_sampler; - - -use work.gcpad_pack.all; - -architecture rtl of gcpad_sampler is - - signal pad_data_sync_q : std_logic_vector(1 downto 0); - signal pad_data_s : std_logic; - - constant cnt_sample_high_c : natural := clocks_per_1us_g * 4 - 1; - subtype cnt_sample_t is natural range 0 to cnt_sample_high_c; - signal cnt_zeros_q : cnt_sample_t; - signal cnt_ones_q : cnt_sample_t; - signal sample_underflow_q : boolean; - - signal more_ones_q : boolean; - -begin - - seq: process (reset_i, clk_i) - variable dec_timeout_v : boolean; - begin - if reset_i = reset_level_g then - cnt_zeros_q <= cnt_sample_high_c; - cnt_ones_q <= cnt_sample_high_c; - more_ones_q <= false; - sample_underflow_q <= false; - - pad_data_sync_q <= (others => '1'); - - elsif clk_i'event and clk_i = '1' then - -- synchronizer for pad data - pad_data_sync_q(0) <= pad_data_i; - pad_data_sync_q(1) <= pad_data_sync_q(0); - - -- sample counter - dec_timeout_v := false; - if sync_sample_i then - -- explicit preload - cnt_zeros_q <= cnt_sample_high_c; - cnt_ones_q <= cnt_sample_high_c; - else - if cnt_zeros_q = 0 then - if wrap_sample_i then - cnt_zeros_q <= cnt_sample_high_c; - end if; - dec_timeout_v := true; - elsif pad_data_s = '0' then - cnt_zeros_q <= cnt_zeros_q - 1; - end if; - - if cnt_ones_q = 0 then - if wrap_sample_i then - cnt_ones_q <= cnt_sample_high_c; - end if; - dec_timeout_v := true; - elsif pad_data_s /= '0' then - cnt_ones_q <= cnt_ones_q - 1; - end if; - end if; - - if cnt_ones_q < cnt_zeros_q then - more_ones_q <= true; - else - more_ones_q <= false; - end if; - - -- detect sample underflow - sample_underflow_q <= dec_timeout_v; - - end if; - - end process seq; - - pad_data_s <= pad_data_sync_q(1); - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - pad_data_o <= pad_data_s; - sample_o <= '1' - when more_ones_q else - '0'; - sample_underflow_o <= sample_underflow_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2004/10/08 21:35:08 arniml --- comments --- -------------------------------------------------------------------------------- Index: tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd =================================================================== --- tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (revision 37) +++ tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_sampler-c.vhd,v 1.1 2004-10-08 21:19:17 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_sampler_rtl_c0 of gcpad_sampler is - - for rtl - end for; - -end gcpad_sampler_rtl_c0; Index: tags/rel_0_2_beta/gcpad/COMPILE_LIST =================================================================== --- tags/rel_0_2_beta/gcpad/COMPILE_LIST (revision 37) +++ tags/rel_0_2_beta/gcpad/COMPILE_LIST (nonexistent) @@ -1,23 +0,0 @@ - -Compile list for the GCpad core -=============================== -Version: $Date: 2004-10-28 20:00:09 $ - -rtl/vhdl/gcpad_pack-p.vhd -rtl/vhdl/gcpad_comp-p.vhd -rtl/vhdl/gcpad_ctrl.vhd -rtl/vhdl/gcpad_tx.vhd -rtl/vhdl/gcpad_sampler.vhd -rtl/vhdl/gcpad_rx.vhd -rtl/vhdl/gcpad_basic.vhd -rtl/vhdl/gcpad_full.vhd -bench/vhdl/gcpad_mod.vhd -bench/vhdl/tb.vhd -rtl/vhdl/gcpad_ctrl-c.vhd -rtl/vhdl/gcpad_tx-c.vhd -rtl/vhdl/gcpad_sampler-c.vhd -rtl/vhdl/gcpad_rx-c.vhd -rtl/vhdl/gcpad_basic-c.vhd -rtl/vhdl/gcpad_full-c.vhd -bench/vhdl/gcpad_mod-c.vhd -bench/vhdl/tb-c.vhd Index: tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf =================================================================== --- tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf (revision 37) +++ tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf (nonexistent)
tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile =================================================================== --- tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile (revision 37) +++ tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile (nonexistent) @@ -1,124 +0,0 @@ -############################################################################## -# -# Tool-specific Makefile for the GHDL compiler. -# -# $Id: Makefile,v 1.3 2004-10-10 17:28:21 arniml Exp $ -# -# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -# -# All rights reserved -# -############################################################################## - - -PROJECT_DIR = ../.. -RTL_DIR = $(PROJECT_DIR)/rtl/vhdl -BENCH_DIR = $(PROJECT_DIR)/bench/vhdl - - - -ANALYZE=ghdl -a --std=87 --workdir=work -ELABORATE=ghdl -e --std=87 --workdir=work - -.PHONY: all -all: work elaborate - -work: - mkdir work - -work/gcpad_pack-p.o: $(RTL_DIR)/gcpad_pack-p.vhd - $(ANALYZE) $(RTL_DIR)/gcpad_pack-p.vhd - -work/gcpad_sampler.o: $(RTL_DIR)/gcpad_sampler.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_sampler.vhd -work/gcpad_sampler-c.o: $(RTL_DIR)/gcpad_sampler-c.vhd \ - work/gcpad_sampler.o - $(ANALYZE) $(RTL_DIR)/gcpad_sampler-c.vhd - -work/gcpad_rx.o: $(RTL_DIR)/gcpad_rx.vhd \ - work/gcpad_sampler.o \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_rx.vhd -work/gcpad_rx-c.o: $(RTL_DIR)/gcpad_rx-c.vhd \ - work/gcpad_sampler-c.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_rx-c.vhd - -work/gcpad_tx.o: $(RTL_DIR)/gcpad_tx.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_tx.vhd -work/gcpad_tx-c.o: $(RTL_DIR)/gcpad_tx-c.vhd \ - work/gcpad_tx.o - $(ANALYZE) $(RTL_DIR)/gcpad_tx-c.vhd - -work/gcpad_ctrl.o: $(RTL_DIR)/gcpad_ctrl.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_ctrl.vhd -work/gcpad_ctrl-c.o: $(RTL_DIR)/gcpad_ctrl-c.vhd \ - work/gcpad_ctrl.o - $(ANALYZE) $(RTL_DIR)/gcpad_ctrl-c.vhd - -work/gcpad_basic.o: $(RTL_DIR)/gcpad_basic.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_ctrl.o \ - work/gcpad_tx.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_basic.vhd -work/gcpad_basic-c.o: $(RTL_DIR)/gcpad_basic-c.vhd \ - work/gcpad_basic.o \ - work/gcpad_ctrl-c.o \ - work/gcpad_tx-c.o \ - work/gcpad_rx-c.o - $(ANALYZE) $(RTL_DIR)/gcpad_basic-c.vhd - -work/gcpad_full.o: $(RTL_DIR)/gcpad_full.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_ctrl.o \ - work/gcpad_tx.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_full.vhd -work/gcpad_full-c.o: $(RTL_DIR)/gcpad_full-c.vhd \ - work/gcpad_full.o \ - work/gcpad_ctrl-c.o \ - work/gcpad_tx-c.o \ - work/gcpad_rx-c.o - $(ANALYZE) $(RTL_DIR)/gcpad_full-c.vhd - -work/gcpad_comp-p.o: $(RTL_DIR)/gcpad_comp-p.vhd - $(ANALYZE) $(RTL_DIR)/gcpad_comp-p.vhd - -work/gcpad_mod.o: $(BENCH_DIR)/gcpad_mod.vhd - $(ANALYZE) $(BENCH_DIR)/gcpad_mod.vhd -work/gcpad_mod-c.o: $(BENCH_DIR)/gcpad_mod-c.vhd \ - work/gcpad_mod.o - $(ANALYZE) $(BENCH_DIR)/gcpad_mod-c.vhd - -work/tb.o: $(BENCH_DIR)/tb.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_comp-p.o \ - work/gcpad_basic.o \ - work/gcpad_full.o \ - work/gcpad_mod.o - $(ANALYZE) $(BENCH_DIR)/tb.vhd -work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ - work/tb.o \ - work/gcpad_basic-c.o \ - work/gcpad_full-c.o \ - work/gcpad_mod-c.o - $(ANALYZE) $(BENCH_DIR)/tb-c.vhd - - -.PHONY: elaborate -elaborate: tb_behav_c0 - -tb_behav_c0: analyze - $(ELABORATE) tb_behav_c0; \ - strip tb_behav_c0 - -.PHONY: analyze -analyze: work/tb-c.o - -.PHONY: clean -clean: - rm -rf work tb_behav_c0 *~ Index: trunk/COPYING =================================================================== --- trunk/COPYING (revision 37) +++ trunk/COPYING (nonexistent) @@ -1,340 +0,0 @@ - GNU GENERAL PUBLIC LICENSE - Version 2, June 1991 - - Copyright (C) 1989, 1991 Free Software Foundation, Inc. - 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - Everyone is permitted to copy and distribute verbatim copies - of this license document, but changing it is not allowed. - - Preamble - - The licenses for most software are designed to take away your -freedom to share and change it. By contrast, the GNU General Public -License is intended to guarantee your freedom to share and change free -software--to make sure the software is free for all its users. This -General Public License applies to most of the Free Software -Foundation's software and to any other program whose authors commit to -using it. (Some other Free Software Foundation software is covered by -the GNU Library General Public License instead.) You can apply it to -your programs, too. - - When we speak of free software, we are referring to freedom, not -price. Our General Public Licenses are designed to make sure that you -have the freedom to distribute copies of free software (and charge for -this service if you wish), that you receive source code or can get it -if you want it, that you can change the software or use pieces of it -in new free programs; and that you know you can do these things. - - To protect your rights, we need to make restrictions that forbid -anyone to deny you these rights or to ask you to surrender the rights. -These restrictions translate to certain responsibilities for you if you -distribute copies of the software, or if you modify it. - - For example, if you distribute copies of such a program, whether -gratis or for a fee, you must give the recipients all the rights that -you have. You must make sure that they, too, receive or can get the -source code. And you must show them these terms so they know their -rights. - - We protect your rights with two steps: (1) copyright the software, and -(2) offer you this license which gives you legal permission to copy, -distribute and/or modify the software. - - Also, for each author's protection and ours, we want to make certain -that everyone understands that there is no warranty for this free -software. If the software is modified by someone else and passed on, we -want its recipients to know that what they have is not the original, so -that any problems introduced by others will not reflect on the original -authors' reputations. - - Finally, any free program is threatened constantly by software -patents. We wish to avoid the danger that redistributors of a free -program will individually obtain patent licenses, in effect making the -program proprietary. To prevent this, we have made it clear that any -patent must be licensed for everyone's free use or not licensed at all. - - The precise terms and conditions for copying, distribution and -modification follow. - - GNU GENERAL PUBLIC LICENSE - TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION - - 0. This License applies to any program or other work which contains -a notice placed by the copyright holder saying it may be distributed -under the terms of this General Public License. The "Program", below, -refers to any such program or work, and a "work based on the Program" -means either the Program or any derivative work under copyright law: -that is to say, a work containing the Program or a portion of it, -either verbatim or with modifications and/or translated into another -language. (Hereinafter, translation is included without limitation in -the term "modification".) Each licensee is addressed as "you". - -Activities other than copying, distribution and modification are not -covered by this License; they are outside its scope. The act of -running the Program is not restricted, and the output from the Program -is covered only if its contents constitute a work based on the -Program (independent of having been made by running the Program). -Whether that is true depends on what the Program does. - - 1. You may copy and distribute verbatim copies of the Program's -source code as you receive it, in any medium, provided that you -conspicuously and appropriately publish on each copy an appropriate -copyright notice and disclaimer of warranty; keep intact all the -notices that refer to this License and to the absence of any warranty; -and give any other recipients of the Program a copy of this License -along with the Program. - -You may charge a fee for the physical act of transferring a copy, and -you may at your option offer warranty protection in exchange for a fee. - - 2. You may modify your copy or copies of the Program or any portion -of it, thus forming a work based on the Program, and copy and -distribute such modifications or work under the terms of Section 1 -above, provided that you also meet all of these conditions: - - a) You must cause the modified files to carry prominent notices - stating that you changed the files and the date of any change. - - b) You must cause any work that you distribute or publish, that in - whole or in part contains or is derived from the Program or any - part thereof, to be licensed as a whole at no charge to all third - parties under the terms of this License. - - c) If the modified program normally reads commands interactively - when run, you must cause it, when started running for such - interactive use in the most ordinary way, to print or display an - announcement including an appropriate copyright notice and a - notice that there is no warranty (or else, saying that you provide - a warranty) and that users may redistribute the program under - these conditions, and telling the user how to view a copy of this - License. (Exception: if the Program itself is interactive but - does not normally print such an announcement, your work based on - the Program is not required to print an announcement.) - -These requirements apply to the modified work as a whole. If -identifiable sections of that work are not derived from the Program, -and can be reasonably considered independent and separate works in -themselves, then this License, and its terms, do not apply to those -sections when you distribute them as separate works. But when you -distribute the same sections as part of a whole which is a work based -on the Program, the distribution of the whole must be on the terms of -this License, whose permissions for other licensees extend to the -entire whole, and thus to each and every part regardless of who wrote it. - -Thus, it is not the intent of this section to claim rights or contest -your rights to work written entirely by you; rather, the intent is to -exercise the right to control the distribution of derivative or -collective works based on the Program. - -In addition, mere aggregation of another work not based on the Program -with the Program (or with a work based on the Program) on a volume of -a storage or distribution medium does not bring the other work under -the scope of this License. - - 3. You may copy and distribute the Program (or a work based on it, -under Section 2) in object code or executable form under the terms of -Sections 1 and 2 above provided that you also do one of the following: - - a) Accompany it with the complete corresponding machine-readable - source code, which must be distributed under the terms of Sections - 1 and 2 above on a medium customarily used for software interchange; or, - - b) Accompany it with a written offer, valid for at least three - years, to give any third party, for a charge no more than your - cost of physically performing source distribution, a complete - machine-readable copy of the corresponding source code, to be - distributed under the terms of Sections 1 and 2 above on a medium - customarily used for software interchange; or, - - c) Accompany it with the information you received as to the offer - to distribute corresponding source code. (This alternative is - allowed only for noncommercial distribution and only if you - received the program in object code or executable form with such - an offer, in accord with Subsection b above.) - -The source code for a work means the preferred form of the work for -making modifications to it. For an executable work, complete source -code means all the source code for all modules it contains, plus any -associated interface definition files, plus the scripts used to -control compilation and installation of the executable. However, as a -special exception, the source code distributed need not include -anything that is normally distributed (in either source or binary -form) with the major components (compiler, kernel, and so on) of the -operating system on which the executable runs, unless that component -itself accompanies the executable. - -If distribution of executable or object code is made by offering -access to copy from a designated place, then offering equivalent -access to copy the source code from the same place counts as -distribution of the source code, even though third parties are not -compelled to copy the source along with the object code. - - 4. You may not copy, modify, sublicense, or distribute the Program -except as expressly provided under this License. Any attempt -otherwise to copy, modify, sublicense or distribute the Program is -void, and will automatically terminate your rights under this License. -However, parties who have received copies, or rights, from you under -this License will not have their licenses terminated so long as such -parties remain in full compliance. - - 5. You are not required to accept this License, since you have not -signed it. However, nothing else grants you permission to modify or -distribute the Program or its derivative works. These actions are -prohibited by law if you do not accept this License. Therefore, by -modifying or distributing the Program (or any work based on the -Program), you indicate your acceptance of this License to do so, and -all its terms and conditions for copying, distributing or modifying -the Program or works based on it. - - 6. Each time you redistribute the Program (or any work based on the -Program), the recipient automatically receives a license from the -original licensor to copy, distribute or modify the Program subject to -these terms and conditions. You may not impose any further -restrictions on the recipients' exercise of the rights granted herein. -You are not responsible for enforcing compliance by third parties to -this License. - - 7. If, as a consequence of a court judgment or allegation of patent -infringement or for any other reason (not limited to patent issues), -conditions are imposed on you (whether by court order, agreement or -otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot -distribute so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you -may not distribute the Program at all. For example, if a patent -license would not permit royalty-free redistribution of the Program by -all those who receive copies directly or indirectly through you, then -the only way you could satisfy both it and this License would be to -refrain entirely from distribution of the Program. - -If any portion of this section is held invalid or unenforceable under -any particular circumstance, the balance of the section is intended to -apply and the section as a whole is intended to apply in other -circumstances. - -It is not the purpose of this section to induce you to infringe any -patents or other property right claims or to contest validity of any -such claims; this section has the sole purpose of protecting the -integrity of the free software distribution system, which is -implemented by public license practices. Many people have made -generous contributions to the wide range of software distributed -through that system in reliance on consistent application of that -system; it is up to the author/donor to decide if he or she is willing -to distribute software through any other system and a licensee cannot -impose that choice. - -This section is intended to make thoroughly clear what is believed to -be a consequence of the rest of this License. - - 8. If the distribution and/or use of the Program is restricted in -certain countries either by patents or by copyrighted interfaces, the -original copyright holder who places the Program under this License -may add an explicit geographical distribution limitation excluding -those countries, so that distribution is permitted only in or among -countries not thus excluded. In such case, this License incorporates -the limitation as if written in the body of this License. - - 9. The Free Software Foundation may publish revised and/or new versions -of the General Public License from time to time. Such new versions will -be similar in spirit to the present version, but may differ in detail to -address new problems or concerns. - -Each version is given a distinguishing version number. If the Program -specifies a version number of this License which applies to it and "any -later version", you have the option of following the terms and conditions -either of that version or of any later version published by the Free -Software Foundation. If the Program does not specify a version number of -this License, you may choose any version ever published by the Free Software -Foundation. - - 10. If you wish to incorporate parts of the Program into other free -programs whose distribution conditions are different, write to the author -to ask for permission. For software which is copyrighted by the Free -Software Foundation, write to the Free Software Foundation; we sometimes -make exceptions for this. Our decision will be guided by the two goals -of preserving the free status of all derivatives of our free software and -of promoting the sharing and reuse of software generally. - - NO WARRANTY - - 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY -FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN -OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES -PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED -OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS -TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE -PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, -REPAIR OR CORRECTION. - - 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR -REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, -INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING -OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED -TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY -YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER -PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE -POSSIBILITY OF SUCH DAMAGES. - - END OF TERMS AND CONDITIONS - - How to Apply These Terms to Your New Programs - - If you develop a new program, and you want it to be of the greatest -possible use to the public, the best way to achieve this is to make it -free software which everyone can redistribute and change under these terms. - - To do so, attach the following notices to the program. It is safest -to attach them to the start of each source file to most effectively -convey the exclusion of warranty; and each file should have at least -the "copyright" line and a pointer to where the full notice is found. - - - Copyright (C) 19yy - - This program is free software; you can redistribute it and/or modify - it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or - (at your option) any later version. - - This program is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - GNU General Public License for more details. - - You should have received a copy of the GNU General Public License - along with this program; if not, write to the Free Software - Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - - -Also add information on how to contact you by electronic and paper mail. - -If the program is interactive, make it output a short notice like this -when it starts in an interactive mode: - - Gnomovision version 69, Copyright (C) 19yy name of author - Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. - This is free software, and you are welcome to redistribute it - under certain conditions; type `show c' for details. - -The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, the commands you use may -be called something other than `show w' and `show c'; they could even be -mouse-clicks or menu items--whatever suits your program. - -You should also get your employer (if you work as a programmer) or your -school, if any, to sign a "copyright disclaimer" for the program, if -necessary. Here is a sample; alter the names: - - Yoyodyne, Inc., hereby disclaims all copyright interest in the program - `Gnomovision' (which makes passes at compilers) written by James Hacker. - - , 1 April 1989 - Ty Coon, President of Vice - -This General Public License does not permit incorporating your program into -proprietary programs. If your program is a subroutine library, you may -consider it more useful to permit linking proprietary applications with the -library. If this is what you want to do, use the GNU Library General -Public License instead of this License. Index: trunk/snespad/sim/rtl_sim/Makefile =================================================================== --- trunk/snespad/sim/rtl_sim/Makefile (revision 37) +++ trunk/snespad/sim/rtl_sim/Makefile (nonexistent) @@ -1,82 +0,0 @@ -############################################################################## -# -# Tool-specific Makefile for the GHDL compiler. -# -# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ -# -# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -# -# All rights reserved -# -############################################################################## - - -PROJECT_DIR = ../.. -RTL_DIR = $(PROJECT_DIR)/rtl/vhdl -BENCH_DIR = $(PROJECT_DIR)/bench/vhdl - - - -ANALYZE=ghdl -a --std=87 --workdir=work -ELABORATE=ghdl -e --std=87 --workdir=work - -.PHONY: all -all: work elaborate - -work: - mkdir work - -work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd - $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd - -work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd -work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ - work/snespad_ctrl.o - $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd - -work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ - work/snespad_pack-p.o - $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd -work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd - -work/snespad.o: $(RTL_DIR)/snespad.vhd \ - work/snespad_pack-p.o \ - work/snespad_ctrl.o \ - work/snespad_pad.o - $(ANALYZE) $(RTL_DIR)/snespad.vhd -work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ - work/snespad.o \ - work/snespad_ctrl-c.o \ - work/snespad_pad-c.o - $(ANALYZE) $(RTL_DIR)/snespad-c.vhd - -work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd - $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd - -work/tb.o: $(BENCH_DIR)/tb.vhd \ - work/snespad_comp-pack.o \ - work/snespad.o - $(ANALYZE) $(BENCH_DIR)/tb.vhd -work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ - work/tb.o \ - work/snespad-c.o - $(ANALYZE) $(BENCH_DIR)/tb-c.vhd - - -.PHONY: elaborate -elaborate: tb_behav_c0 - -tb_behav_c0: analyze - $(ELABORATE) tb_behav_c0; \ - strip tb_behav_c0 - -.PHONY: analyze -analyze: work/tb-c.o - -.PHONY: clean -clean: - rm -rf work tb_behav_c0 *~ Index: trunk/snespad/README =================================================================== --- trunk/snespad/README (revision 37) +++ trunk/snespad/README (nonexistent) @@ -1,128 +0,0 @@ - -README for the SNESpad core -=========================== -Version: $Date: 2004-10-06 16:42:15 $ - - -Description ------------ - -The SNESpad core manages one or more gamepads of the Super Nintendo -Entertainment System in parallel. The button information is provided -statically at a simple interface with dedicated signal lines. -The core has to be configured to fit into the integrating system. Details -about this are given in the section "Integration" below. - - -Integration ------------ - -The interface of the SNESpad core is straight forward. It requires: - - * a clock signal which is evaluated on the rising edge by the internal - registers an asynchronous reset (active level is configurable) - * connections to the gamepad(s) - -The button outputs should be self-describing. - -Configuration of the core is done via generics in the instantiation. There are -four generic parameters: - - * num_pads_g - Number of pads connected to this controller instance (1 to n) - * reset_level_g - Active level of the asynchronous reset at port reset_i - (0 = low active, 1 = high active) - * button_level_g - Active level of the button outputs - (0 = low active, 1 = high active) - * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) - -Button outputs and pad data input are arrays of num_pads_g width. The -assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its -button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. - -The communication to the SNES gamepad relies on a timebase of approximately -6 us. It is therefore necessary to adjust the counters inside the core via the -clock_per_6us_g generic parameter. Let's assume an example where the system -clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the -generic has to be set to 6 x 20 = 120. - - -Adapter Hardware ----------------- - -The required hardware setup is pretty simple if you reuse the connector of a -SNES console. It is quite robust and offers all connections centrally on the -bottom side of the PCB at the pins for the cable socket. In addition, you will -need an external 5V power source. Such a configuration is shown in the -following picture. - -Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 -are the Data Clock for Pad 1 and Pad 2, respectively. They have to be -connected together to pad_clock_i as the core clocks both pads -simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial -Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. - -See snespad.png - - -Verification ------------- - -The SNESpad core comes with a simple testbench that simulates two SNES -gamepads. Serial information is sent to the core and the reported button -states are compared against the input. -You should normally not need to run the testbench. But in case you modified -the VHDL code the testbench gives some hints if the design has been broken. - - -Directory Structure -------------------- - -The core's directory structure follows the proposal of OpenCores.org. - -snespad - | - \--+-- rtl - | | - | \-- vhdl : VHDL code containing the RTL description - | of the core. - | - +-- bench - | | - | \-- vhdl : VHDL testbench code. - | - \-- sim - | - \-- rtl_sim : Directory for running simulations. - - -Compiling the VHDL Code ------------------------ - -VHDL compilation and simulation tasks take place inside in sim/rtl_sim -directory. The project setup supports only the GHDL simulator (see -http://ghdl.free.fr). - -To compile the code simply type at the shell - -$ make - -This should result in a file called tb_behav_c0 which can be executed as any -other executable. - -The basic simple sequence list can be found in COMPILE_LIST. This can be -useful to quickly set up the analyze stage of any compiler or -synthesizer. Especially when synthesizing the code, you want to skip the VHDL -configurations in *-c.vhd and everything below the bench/ directory. - - -References ----------- - - * Gamepads project at OpenCores.org - http://www.opencores.org/projects.cgi/web/gamepads/overview - - * The Hardware Book - http://www.hardwarebook.net/connector/userinput/snescontroller.html - - * Linux gamecon driver - http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: trunk/snespad/bench/vhdl/tb.vhd =================================================================== --- trunk/snespad/bench/vhdl/tb.vhd (revision 37) +++ trunk/snespad/bench/vhdl/tb.vhd (nonexistent) @@ -1,225 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- SNESpad controller core --- --- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity tb is - -end tb; - - -use work.snespad_pack.all; -use work.snespad_comp.snespad; - -architecture behav of tb is - - constant period_c : time := 100 ns; - constant num_pads_c : natural := 2; - constant reset_level_c : natural := 0; - constant button_level_c : natural := 0; - - - signal clk_s : std_logic; - signal reset_s : std_logic; - - signal pad_clk_s : std_logic; - signal pad_latch_s : std_logic; - signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); - - type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); - signal buttons_s : buttons_t; - - signal buttons0_s, - buttons1_s : std_logic_vector(11 downto 0); - - -begin - - dut : snespad - generic map ( - num_pads_g => 2, - reset_level_g => reset_level_c, - button_level_g => button_level_c, - clocks_per_6us_g => 60 - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_clk_o => pad_clk_s, - pad_latch_o => pad_latch_s, - pad_data_i => pad_data_s, - but_a_o => buttons_s(but_pos_a_c), - but_b_o => buttons_s(but_pos_b_c), - but_x_o => buttons_s(but_pos_x_c), - but_y_o => buttons_s(but_pos_y_c), - but_start_o => buttons_s(but_pos_start_c), - but_sel_o => buttons_s(but_pos_sel_c), - but_tl_o => buttons_s(but_pos_tl_c), - but_tr_o => buttons_s(but_pos_tr_c), - but_up_o => buttons_s(but_pos_up_c), - but_down_o => buttons_s(but_pos_down_c), - but_left_o => buttons_s(but_pos_left_c), - but_right_o => buttons_s(but_pos_right_c) - ); - - buttons: process (buttons_s) - begin - for i in 0 to 11 loop - buttons0_s(i) <= buttons_s(i)(0); - buttons1_s(i) <= buttons_s(i)(1); - end loop; - end process buttons; - - ----------------------------------------------------------------------------- - -- DUT Stimuli - ----------------------------------------------------------------------------- - stimuli: process - - procedure dispatch(pad : in natural; - packet : in std_logic_vector(11 downto 0)) is - begin - - wait until pad_latch_s = '0'; - for i in 11 downto 0 loop - wait until pad_clk_s = '0'; - pad_data_s(pad) <= packet(i); - wait until pad_clk_s = '1'; - end loop; - - wait for period_c; - - assert pad_latch_s = '1' - report "Latch not deasserted!" - severity error; - - wait for period_c; - for i in 11 downto 0 loop - assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) - report "Mismatch for received vs. sent buttons!" - severity error; - end loop; - - end dispatch; - - begin - pad_data_s <= (others => '1'); - - wait until reset_s = '1'; - wait for period_c * 4; - - for pad in 0 to 1 loop - dispatch(pad, packet => "000000000000"); - dispatch(pad, packet => "111111111111"); - dispatch(pad, packet => "010101010101"); - dispatch(pad, packet => "101010101010"); - dispatch(pad, packet => "100000000000"); - dispatch(pad, packet => "010000000000"); - dispatch(pad, packet => "001000000000"); - dispatch(pad, packet => "000100000000"); - dispatch(pad, packet => "000010000000"); - dispatch(pad, packet => "000001000000"); - dispatch(pad, packet => "000000100000"); - dispatch(pad, packet => "000000010000"); - dispatch(pad, packet => "000000001000"); - dispatch(pad, packet => "000000000100"); - dispatch(pad, packet => "000000000010"); - dispatch(pad, packet => "000000000001"); - end loop; - - - wait for period_c * 4; - assert false - report "End of simulation reached." - severity failure; - - end process stimuli; - - - ----------------------------------------------------------------------------- - -- Clock Generator - ----------------------------------------------------------------------------- - clk: process - begin - clk_s <= '0'; - wait for period_c / 2; - clk_s <= '1'; - wait for period_c / 2; - end process clk; - - - ----------------------------------------------------------------------------- - -- Reset Generator - ----------------------------------------------------------------------------- - reset: process - begin - if reset_level_c = 0 then - reset_s <= '0'; - else - reset_s <= '1'; - end if; - - wait for period_c * 4 + 10 ns; - - reset_s <= not reset_s; - - wait; - end process reset; - -end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:05:31 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/snespad/bench/vhdl/tb-c.vhd =================================================================== --- trunk/snespad/bench/vhdl/tb-c.vhd (revision 37) +++ trunk/snespad/bench/vhdl/tb-c.vhd (nonexistent) @@ -1,20 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration tb_behav_c0 of tb is - - for behav - for dut : snespad - use configuration work.snespad_struct_c0; - end for; - end for; - -end tb_behav_c0; Index: trunk/snespad/snespad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/snespad/snespad.png =================================================================== --- trunk/snespad/snespad.png (revision 37) +++ trunk/snespad/snespad.png (nonexistent)
trunk/snespad/snespad.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_ctrl_rtl_c0 of snespad_ctrl is - - for rtl - end for; - -end snespad_ctrl_rtl_c0; Index: trunk/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) @@ -1,223 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_ctrl.vhd,v 1.3 2005-09-15 17:28:17 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_ctrl is - - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - -- Pad Interface ---------------------------------------------------------- - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - -end snespad_ctrl; - - -use work.snespad_pack.all; - -architecture rtl of snespad_ctrl is - - subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; - - type state_t is (IDLE, - IDLE2, - LATCH, - READ_PAD); - - signal pad_latch_q, - pad_latch_s : std_logic; - - signal pad_clk_q, - pad_clk_s : std_logic; - - signal num_buttons_read_q : num_buttons_read_t; - signal clocks_per_6us_q : clocks_per_6us_t; - - signal state_q, - state_s : state_t; - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert clocks_per_6us_g > 1 - report "clocks_per_6us_g must be at least 2!" - severity failure; - -- pragma translate_on - - - seq: process (reset_i, clk_i) - begin - if reset_i = reset_level_g then - pad_latch_q <= '1'; - pad_clk_q <= '1'; - - num_buttons_read_q <= num_buttons_c-1; - - clocks_per_6us_q <= 0; - - state_q <= IDLE; - - elsif clk_i'event and clk_i = '1' then - if clk_en_s then - clocks_per_6us_q <= 0; - else - clocks_per_6us_q <= clocks_per_6us_q + 1; - end if; - - if clk_en_s and shift_buttons_s then - if num_buttons_read_q = 0 then - num_buttons_read_q <= num_buttons_c-1; - else - num_buttons_read_q <= num_buttons_read_q - 1; - end if; - end if; - - if clk_en_s then - state_q <= state_s; - end if; - - pad_clk_q <= pad_clk_s; - - pad_latch_q <= pad_latch_s; - - end if; - end process; - - clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; - - - fsm: process (state_q, - num_buttons_read_q) - begin - -- default assignments - pad_clk_s <= '1'; - pad_latch_s <= '1'; - shift_buttons_s <= false; - save_buttons_o <= false; - state_s <= IDLE; - - case state_q is - when IDLE => - save_buttons_o <= true; - state_s <= IDLE2; - - when IDLE2 => - state_s <= LATCH; - - when LATCH => - pad_latch_s <= '0'; - state_s <= READ_PAD; - - when READ_PAD => - pad_latch_s <= '0'; - -- set clock low - -- pad data will be read at end of 6us cycle - pad_clk_s <= '0'; - - shift_buttons_s <= true; - - if num_buttons_read_q = 0 then - -- return to IDLE after last button bit has been read - state_s <= IDLE; - else - state_s <= LATCH; - end if; - - when others => - null; - - end case; - - end process fsm; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - clk_en_o <= clk_en_s; - shift_buttons_o <= shift_buttons_s; - pad_clk_o <= pad_clk_q; - pad_latch_o <= pad_latch_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2005/07/03 21:36:00 arniml --- removed obsolete state CLOCK --- --- Revision 1.1 2004/10/05 17:01:27 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_pad_rtl_c0 of snespad_pad is - - for rtl - end for; - -end snespad_pad_rtl_c0; Index: trunk/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_pad.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) @@ -1,156 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad_pad.vhd,v 1.2 2005-09-15 17:28:02 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad_pad is - - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - -- Control Interface ------------------------------------------------------ - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - -- Pad Interface ---------------------------------------------------------- - pad_data_i : in std_logic; - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - -end snespad_pad; - - -use work.snespad_pack.all; - -architecture rtl of snespad_pad is - - signal buttons_q, - shift_buttons_q : buttons_t; - -begin - - -- pragma translate_off - ----------------------------------------------------------------------------- - -- Check generics - ----------------------------------------------------------------------------- - assert (reset_level_g = 0) or (reset_level_g = 1) - report "reset_level_g must be either 0 or 1!" - severity failure; - - assert (button_level_g = 0) or (button_level_g = 1) - report "button_level_g must be either 0 or 1!" - severity failure; - -- pragma translate_on - - seq: process (reset_i, clk_i) - begin - if reset_i = reset_level_g then - for i in buttons_t'range loop - buttons_q(i) <= button_reset_f(button_level_g); - shift_buttons_q(i) <= button_reset_f(button_level_g); - end loop; - - elsif clk_i'event and clk_i = '1' then - if save_buttons_i then - buttons_q <= shift_buttons_q; - end if; - - if clk_en_i and shift_buttons_i then - shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); - shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); - end if; - - end if; - end process; - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - but_a_o <= buttons_q(but_pos_a_c); - but_b_o <= buttons_q(but_pos_b_c); - but_x_o <= buttons_q(but_pos_x_c); - but_y_o <= buttons_q(but_pos_y_c); - but_start_o <= buttons_q(but_pos_start_c); - but_sel_o <= buttons_q(but_pos_sel_c); - but_tl_o <= buttons_q(but_pos_tl_c); - but_tr_o <= buttons_q(but_pos_tr_c); - but_up_o <= buttons_q(but_pos_up_c); - but_down_o <= buttons_q(but_pos_down_c); - but_left_o <= buttons_q(but_pos_left_c); - but_right_o <= buttons_q(but_pos_right_c); - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:01:27 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) @@ -1,44 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_comp is - - component snespad - generic ( - num_pads_g : natural := 1; - reset_level_g : natural := 0; - button_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - end component snespad; - -end snespad_comp; Index: trunk/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) @@ -1,94 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package snespad_pack is - - constant num_buttons_c : natural := 12; - subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); - subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; - - function button_active_f(state : in std_logic; ref : in natural) return std_logic; - function button_reset_f(ref : in natural) return std_logic; - function "=" (a : std_logic; b : integer) return boolean; - - ----------------------------------------------------------------------------- - -- The button positions inside the SNES packet - ----------------------------------------------------------------------------- - constant but_pos_b_c : natural := 11; - constant but_pos_y_c : natural := 10; - constant but_pos_sel_c : natural := 9; - constant but_pos_start_c : natural := 8; - constant but_pos_up_c : natural := 7; - constant but_pos_down_c : natural := 6; - constant but_pos_left_c : natural := 5; - constant but_pos_right_c : natural := 4; - constant but_pos_a_c : natural := 3; - constant but_pos_x_c : natural := 2; - constant but_pos_tl_c : natural := 1; - constant but_pos_tr_c : natural := 0; - -end snespad_pack; - - -package body snespad_pack is - - function button_active_f(state : in std_logic; ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := state; - else - result_v := not state; - end if; - - return result_v; - end button_active_f; - - function button_reset_f(ref : in natural) return std_logic is - variable result_v : std_logic; - begin - if ref = 0 then - result_v := '1'; - else - result_v := '0'; - end if; - - return result_v; - end button_reset_f; - - function "=" (a : std_logic; b : integer) return boolean is - variable result_v : boolean; - begin - result_v := false; - - case a is - when '0' => - if b = 0 then - result_v := true; - end if; - - when '1' => - if b = 1 then - result_v := true; - end if; - - when others => - null; - - end case; - - return result_v; - end; - - -end snespad_pack; Index: trunk/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad-c.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) @@ -1,25 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration snespad_struct_c0 of snespad is - - for struct - for ctrl_b : snespad_ctrl - use configuration work.snespad_ctrl_rtl_c0; - end for; - - for pads - for pad_b : snespad_pad - use configuration work.snespad_pad_rtl_c0; - end for; - end for; - end for; - -end snespad_struct_c0; Index: trunk/snespad/rtl/vhdl/snespad.vhd =================================================================== --- trunk/snespad/rtl/vhdl/snespad.vhd (revision 37) +++ trunk/snespad/rtl/vhdl/snespad.vhd (nonexistent) @@ -1,196 +0,0 @@ -------------------------------------------------------------------------------- --- --- SNESpad controller core --- --- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity snespad is - - generic ( - -- number of pads connected to this core - num_pads_g : natural := 1; - -- active level of reset_i - reset_level_g : natural := 0; - -- active level of the button outputs - button_level_g : natural := 0; - -- number of clk_i periods during 6us - clocks_per_6us_g : natural := 6 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Gamepad Interface ------------------------------------------------------ - pad_clk_o : out std_logic; - pad_latch_o : out std_logic; - pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic_vector(num_pads_g-1 downto 0); - but_b_o : out std_logic_vector(num_pads_g-1 downto 0); - but_x_o : out std_logic_vector(num_pads_g-1 downto 0); - but_y_o : out std_logic_vector(num_pads_g-1 downto 0); - but_start_o : out std_logic_vector(num_pads_g-1 downto 0); - but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); - but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); - but_up_o : out std_logic_vector(num_pads_g-1 downto 0); - but_down_o : out std_logic_vector(num_pads_g-1 downto 0); - but_left_o : out std_logic_vector(num_pads_g-1 downto 0); - but_right_o : out std_logic_vector(num_pads_g-1 downto 0) - ); - -end snespad; - - -architecture struct of snespad is - - component snespad_ctrl - generic ( - reset_level_g : natural := 0; - clocks_per_6us_g : natural := 6 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_o : out boolean; - shift_buttons_o : out boolean; - save_buttons_o : out boolean; - pad_clk_o : out std_logic; - pad_latch_o : out std_logic - ); - end component snespad_ctrl; - - component snespad_pad - generic ( - reset_level_g : natural := 0; - button_level_g : natural := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - clk_en_i : in boolean; - shift_buttons_i : in boolean; - save_buttons_i : in boolean; - pad_data_i : in std_logic; - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_start_o : out std_logic; - but_sel_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic - ); - end component snespad_pad; - - - signal clk_en_s : boolean; - signal shift_buttons_s : boolean; - signal save_buttons_s : boolean; - -begin - - ctrl_b : snespad_ctrl - generic map ( - reset_level_g => reset_level_g, - clocks_per_6us_g => clocks_per_6us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_o => clk_en_s, - shift_buttons_o => shift_buttons_s, - save_buttons_o => save_buttons_s, - pad_clk_o => pad_clk_o, - pad_latch_o => pad_latch_o - ); - - - pads: for i in 0 to num_pads_g-1 generate - pad_b : snespad_pad - generic map ( - reset_level_g => reset_level_g, - button_level_g => button_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - clk_en_i => clk_en_s, - shift_buttons_i => shift_buttons_s, - save_buttons_i => save_buttons_s, - pad_data_i => pad_data_i(i), - but_a_o => but_a_o(i), - but_b_o => but_b_o(i), - but_x_o => but_x_o(i), - but_y_o => but_y_o(i), - but_start_o => but_start_o(i), - but_sel_o => but_sel_o(i), - but_tl_o => but_tl_o(i), - but_tr_o => but_tr_o(i), - but_up_o => but_up_o(i), - but_down_o => but_down_o(i), - but_left_o => but_left_o(i), - but_right_o => but_right_o(i) - ); - end generate; - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/05 17:01:27 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/snespad/COMPILE_LIST =================================================================== --- trunk/snespad/COMPILE_LIST (revision 37) +++ trunk/snespad/COMPILE_LIST (nonexistent) @@ -1,15 +0,0 @@ - -Compile list for the SNESpad core -================================= -Version: $Date: 2004-10-06 16:43:55 $ - -rtl/vhdl/snespad_comp-pack.vhd -rtl/vhdl/snespad_pack-p.vhd -rtl/vhdl/snespad_ctrl.vhd -rtl/vhdl/snespad_pad.vhd -rtl/vhdl/snespad.vhd -bench/vhdl/tb.vhd -rtl/vhdl/snespad_ctrl-c.vhd -rtl/vhdl/snespad_pad-c.vhd -rtl/vhdl/snespad-c.vhd -bench/vhdl/tb-c.vhd Index: trunk/gcpad/README =================================================================== --- trunk/gcpad/README (revision 37) +++ trunk/gcpad/README (nonexistent) @@ -1,114 +0,0 @@ - -README for the GCpad core -========================= -Version: $Date: 2004-10-28 20:00:12 $ - - -Description ------------ - -The GCpad core interfaces to the gamepad used with the Nintendo Gamecube video -gaming system. The core communicates with the gamepad using its proprietary -communication protocol and offers the retrieved information for further -processing. - -To suit the needs of the integrating system, two different flavors of the core -are available: - - * For simple applications the basic flavor manages all communication issues - with the gamepad and provides the current status of the buttons and analog - axes at its interface. The integrating system does not need to interfere - with gamepad communication and can statically read the button and axes - status information. - - * The full flavor allows full control of the gamepad communication by the - integrating system. This flavor offers a command and response interface - which is driven by the system to send arbitrary commands to the - gamepad. The response of the gamepad is available for further processing. - - -Connecting the Pad ------------------- - -Information is exchanged between the gamepad and the host side over a single -wire. Both sides seem to implement an open-collector style output -driver. Therefore, an external pull-up resistor of 1kOhm to the 3.43V supply -is required at the DATA line. -Sticking exactly to the 3.3V supply seems not to be necessary. I use the 3.3V -supply which is available from the FPGA board. Please note that the 5V supply -is required for certain controller types even if the rumble motor is not used. - -The connector seems to be a special type designed for the Gamecube. It might -be hard to find a matching counterpart. If you do not want to rip up the -controller cable then your alternative option might be to reuse an extension -cable. They are quite cheap and pass through all required wires. Just remove -the connector that is plugged into the console and attach your favorite -standard connector. - -See gcpad.png. - - -Verification ------------- - -The GCpad core comes with a simple testbench that includes a simulation model -of a Gamecube controller. Serial information is sent to the core and the -reported button states are compared against the input. Both flavors are tested -in parallel there. -You should normally not need to run the testbench. But in case you modified -the VHDL code the testbench gives some hints if the design has been broken. - - -Directory Structure -------------------- - -The core's directory structure follows the proposal of OpenCores.org. - -gcpad - | - \--+-- doc : Integration Manual - | - +-- rtl - | | - | \-- vhdl : VHDL code containing the RTL description - | of the core. - | - +-- bench - | | - | \-- vhdl : VHDL testbench code. - | - \-- sim - | - \-- rtl_sim : Directory for running simulations. - - -Compiling the VHDL Code ------------------------ - -VHDL compilation and simulation tasks take place inside in sim/rtl_sim -directory. The project setup supports only the GHDL simulator (see -http://ghdl.free.fr). - -To compile the code simply type at the shell - -$ make - -This should result in a file called tb_behav_c0 which can be executed as any -other executable. - -The basic simple sequence list can be found in COMPILE_LIST. This can be -useful to quickly set up the analyze stage of any compiler or -synthesizer. Especially when synthesizing the code, you want to skip the VHDL -configurations in *-c.vhd and everything below the bench/ directory. - - -References ----------- - - * James' excellent page covering many details of the Gamecube controller - protocol - http://www.int03.co.uk/crema/hardware/gamecube/gc-control.htm - - * Yet Another Gamecube Documentation - http://www.gc-linux.org/docs/yagcd/index.html - Refer to section 5.8, 9.1 and 9.2. Index: trunk/gcpad/bench/vhdl/tb.vhd =================================================================== --- trunk/gcpad/bench/vhdl/tb.vhd (revision 37) +++ trunk/gcpad/bench/vhdl/tb.vhd (nonexistent) @@ -1,676 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- GCpad controller core --- --- $Id: tb.vhd,v 1.4 2004-10-10 20:19:49 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity tb is - -end tb; - - -use work.gcpad_pack.all; -use work.gcpad_comp.gcpad_basic; -use work.gcpad_comp.gcpad_full; - -architecture behav of tb is - - ----------------------------------------------------------------------------- - -- Some known commands for the GC controller - ----------------------------------------------------------------------------- - constant cmd_get_id_c : std_logic_vector(7 downto 0) := "00000000"; - constant cmd_poll_c : std_logic_vector(7 downto 0) := "01000000"; - - - component gcpad_mod - generic ( - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - pad_data_io : inout std_logic; - rx_data_i : in std_logic_vector(63 downto 0) - ); - end component; - - constant period_c : time := 100 ns; - constant reset_level_c : natural := 0; - constant clocks_per_1us_c : natural := 10; - - signal clk_s : std_logic; - signal reset_s : std_logic; - - -- signals for basic gcpad - signal stimuli_1_end_s : boolean; - signal pad_data_1_s : std_logic; - signal buttons_1_s : std_logic_vector(64 downto 0); - signal pad_request_1_s : std_logic; - signal pad_avail_1_s : std_logic; - signal pad_model_data_1_s : std_logic_vector(63 downto 0); - - -- signals for full gcpad - signal stimuli_2_end_s : boolean; - signal pad_data_2_s : std_logic; - signal pad_request_2_s : std_logic; - signal pad_avail_2_s : std_logic; - signal pad_timeout_2_s : std_logic; - signal tx_size_2_s : std_logic_vector( 1 downto 0); - signal tx_command_2_s : std_logic_vector(23 downto 0); - signal rx_size_2_s : std_logic_vector( 3 downto 0); - signal rx_data_2_s : std_logic_vector(63 downto 0); - signal pad_model_data_2_s : std_logic_vector(63 downto 0); - -begin - - basic_b : gcpad_basic - generic map ( - reset_level_g => reset_level_c, - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_request_i => pad_request_1_s, - pad_avail_o => pad_avail_1_s, - pad_data_io => pad_data_1_s, - but_a_o => buttons_1_s(56), - but_b_o => buttons_1_s(57), - but_x_o => buttons_1_s(58), - but_y_o => buttons_1_s(59), - but_z_o => buttons_1_s(52), - but_start_o => buttons_1_s(60), - but_tl_o => buttons_1_s(54), - but_tr_o => buttons_1_s(53), - but_left_o => buttons_1_s(48), - but_right_o => buttons_1_s(49), - but_up_o => buttons_1_s(51), - but_down_o => buttons_1_s(50), - ana_joy_x_o => buttons_1_s(47 downto 40), - ana_joy_y_o => buttons_1_s(39 downto 32), - ana_c_x_o => buttons_1_s(31 downto 24), - ana_c_y_o => buttons_1_s(23 downto 16), - ana_l_o => buttons_1_s(15 downto 8), - ana_r_o => buttons_1_s( 7 downto 0) - ); - - buttons_1_s(64) <= '0'; - buttons_1_s(63 downto 61) <= (others => '0'); - buttons_1_s(55) <= '1'; - - - full_b: gcpad_full - generic map ( - reset_level_g => reset_level_c, - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - reset_i => reset_s, - pad_request_i => pad_request_2_s, - pad_avail_o => pad_avail_2_s, - pad_timeout_o => pad_timeout_2_s, - tx_size_i => tx_size_2_s, - tx_command_i => tx_command_2_s, - rx_size_i => rx_size_2_s, - rx_data_o => rx_data_2_s, - pad_data_io => pad_data_2_s - ); - - - pad_1 : gcpad_mod - generic map ( - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - pad_data_io => pad_data_1_s, - rx_data_i => pad_model_data_1_s - ); - - - ----------------------------------------------------------------------------- - -- Process stimuli_pad_1 - -- - -- Executes test stimuli with Pad 1, the gcpad_basic flavour. - -- - stimuli_pad_1: process - - --------------------------------------------------------------------------- - -- Procedure poll_pad - -- - -- Requests the status of Pad 1 and checks the received data. - -- - procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - pad_model_data_1_s <= packet; - - -- send request; - pad_request_1_s <= '1'; - wait for 1 * period_c; - pad_request_1_s <= '0'; - - wait for 10 * 40 * period_c; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - - -- check result - for i in 0 to packet'high loop - assert packet(i) = buttons_1_s(i) - report "Button mismatch on Pad 1!" - severity error; - end loop; - - end poll_pad; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad - -- - -- Generates a timeout in gcpad_basic by disturbing the communication. - -- - procedure timeout_gcpad is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- send request; - pad_request_1_s <= '1'; - wait for 1 * period_c; - pad_request_1_s <= '0'; - - wait for 2 * period_c; - - -- disturb communication - pad_data_1_s <= 'X'; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - pad_data_1_s <= 'H'; - wait for 10 * period_c; - - end timeout_gcpad; - -- - --------------------------------------------------------------------------- - - begin - stimuli_1_end_s <= false; - - pad_data_1_s <= 'H'; - pad_request_1_s <= '0'; - pad_model_data_1_s <= (others => '0'); - - wait until reset_s = '1'; - wait for period_c * 4; - - timeout_gcpad; - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - wait for clocks_per_1us_c * 100 * period_c; - poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); - poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); - poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); - - - wait for period_c * 2*40; - stimuli_1_end_s <= true; - wait; - - end process stimuli_pad_1; - -- - ----------------------------------------------------------------------------- - - - pad_2 : gcpad_mod - generic map ( - clocks_per_1us_g => clocks_per_1us_c - ) - port map ( - clk_i => clk_s, - pad_data_io => pad_data_2_s, - rx_data_i => pad_model_data_2_s - ); - - - ----------------------------------------------------------------------------- - -- Process stimuli_pad_2 - -- - -- Executes test stimuli with Pad 2, the gcpad_full flavour. - -- - stimuli_pad_2: process - - --------------------------------------------------------------------------- - -- Procedure issue_command - -- - -- Sets the transmitter command for Pad 2 and starts the request. - -- - procedure issue_command(cmd : in std_logic_vector(23 downto 0); - size : in std_logic_vector( 1 downto 0)) is - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - tx_command_2_s <= cmd; - tx_size_2_s <= size; - -- send request; - pad_request_2_s <= '1'; - wait for 1 * period_c; - pad_request_2_s <= '0'; - - end issue_command; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure poll_pad - -- - -- Requests the status of Pad 2 and checks the received data. - -- - procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- set up model answer - pad_model_data_2_s <= packet; - -- set expected number of bytes for gcpad_full - rx_size_2_s <= "1000"; - - cmd_v(23 downto 16) := cmd_poll_c; - cmd_v(15 downto 0) := "0000001100000010"; - issue_command(cmd => cmd_v, - size => "11"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '0' - report "Timout signalled on Pad 2 during status polling!" - severity error; - - -- check result - for i in 0 to packet'high loop - assert packet(i) = rx_data_2_s(i) - report "Data mismatch on Pad 2!" - severity error; - end loop; - - end poll_pad; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad_x - -- - -- Generates a timeout in gcpad_full by disturbing the communication. - -- - procedure timeout_gcpad_x is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - pad_model_data_2_s <= (others => '0'); - rx_size_2_s <= "1000"; - - - cmd_v(23 downto 16) := cmd_poll_c; - cmd_v(15 downto 0) := "0000001100000010"; - issue_command(cmd => cmd_v, - size => "11"); - - -- disturb communication - pad_data_2_s <= 'X'; - - wait until pad_avail_1_s = '1'; - wait for 10 * period_c; - pad_data_2_s <= 'H'; - wait for 10 * period_c; - - assert pad_timeout_2_s = '1' - report "No timeout indicated on Pad 2 when communication has been disturbed!" - severity error; - - end timeout_gcpad_x; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure timeout_gcpad_short - -- - -- Generates a timeout in gcpad_full by requesting too many bytes for - -- a "get id" command. - -- - procedure timeout_gcpad_short is - variable cmd_v : std_logic_vector(23 downto 0); - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - -- expect too many number of bytes - -- command is "get id", will yield 3 bytes, but 8 bytes are requested - rx_size_2_s <= "1000"; - - - cmd_v(23 downto 16) := cmd_get_id_c; - cmd_v(15 downto 0) := (others => '1'); - issue_command(cmd => cmd_v, - size => "01"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '1' - report "No timout indicated on Pad 2 when too many bytes requested!" - severity error; - - end timeout_gcpad_short; - -- - --------------------------------------------------------------------------- - - - --------------------------------------------------------------------------- - -- Procedure get_id - -- - -- Requests the ID information from the GC controller model. - -- - procedure get_id is - variable cmd_v : std_logic_vector(23 downto 0); - constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; - begin - wait until clk_s'event and clk_s = '1'; - wait for 1 ns; - - rx_size_2_s <= "0011"; - - - cmd_v(23 downto 16) := cmd_get_id_c; - cmd_v(15 downto 0) := (others => '1'); - issue_command(cmd => cmd_v, - size => "01"); - - wait until pad_avail_2_s = '1'; - - assert pad_timeout_2_s = '0' - report "Timout signalled on Pad 2 during get id!" - severity error; - - -- check result - for i in 0 to id_c'high loop - assert id_c(i) = rx_data_2_s(i) - report "ID mismatch on Pad 2!" - severity error; - end loop; - - end get_id; - -- - --------------------------------------------------------------------------- - -begin - stimuli_2_end_s <= false; - - pad_data_2_s <= 'H'; - pad_request_2_s <= '0'; - tx_size_2_s <= (others => '0'); - tx_command_2_s <= (others => '0'); - rx_size_2_s <= (others => '0'); - pad_model_data_2_s <= (others => '0'); - - wait until reset_s = '1'; - wait for period_c * 4; - - - get_id; - timeout_gcpad_x; - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - wait for clocks_per_1us_c * 100 * period_c; - poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); - timeout_gcpad_short; - poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); - poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); - poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); - - - wait for period_c * 2*40; - stimuli_2_end_s <= true; - wait; - - end process stimuli_pad_2; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Clock Generator - ----------------------------------------------------------------------------- - clk: process - begin - clk_s <= '0'; - wait for period_c / 2; - clk_s <= '1'; - wait for period_c / 2; - end process clk; - - - ----------------------------------------------------------------------------- - -- Reset Generator - ----------------------------------------------------------------------------- - reset: process - begin - if reset_level_c = 0 then - reset_s <= '0'; - else - reset_s <= '1'; - end if; - - wait for period_c * 4 + 10 ns; - - reset_s <= not reset_s; - - wait; - end process reset; - - - ----------------------------------------------------------------------------- - -- End of simulation detection - ----------------------------------------------------------------------------- - eos: process (stimuli_1_end_s, stimuli_2_end_s) - begin - if stimuli_1_end_s and stimuli_2_end_s then - assert false - report "End of simulation reached." - severity failure; - end if; - end process eos; - -end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2004/10/10 17:27:44 arniml --- added second pad --- introduced testbench model for GC controller --- --- Revision 1.2 2004/10/09 17:05:59 arniml --- delay assertion of request signal by real time (instead of delta cycles) --- --- Revision 1.1 2004/10/07 21:24:06 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/gcpad/bench/vhdl/tb-c.vhd =================================================================== --- trunk/gcpad/bench/vhdl/tb-c.vhd (revision 37) +++ trunk/gcpad/bench/vhdl/tb-c.vhd (nonexistent) @@ -1,28 +0,0 @@ -------------------------------------------------------------------------------- --- --- Testbench for the --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: tb-c.vhd,v 1.2 2004-10-10 17:27:36 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration tb_behav_c0 of tb is - - for behav - for basic_b : gcpad_basic - use configuration work.gcpad_basic_struct_c0; - end for; - - for full_b : gcpad_full - use configuration work.gcpad_full_struct_c0; - end for; - - for all : gcpad_mod - use configuration work.gcpad_mod_behav_c0; - end for; - end for; - -end tb_behav_c0; Index: trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd =================================================================== --- trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd (revision 37) +++ trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd (nonexistent) @@ -1,17 +0,0 @@ -------------------------------------------------------------------------------- --- --- A testbench model for the --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_mod-c.vhd,v 1.1 2004-10-10 17:26:28 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_mod_behav_c0 of gcpad_mod is - - for behav - end for; - -end gcpad_mod_behav_c0; Index: trunk/gcpad/bench/vhdl/gcpad_mod.vhd =================================================================== --- trunk/gcpad/bench/vhdl/gcpad_mod.vhd (revision 37) +++ trunk/gcpad/bench/vhdl/gcpad_mod.vhd (nonexistent) @@ -1,207 +0,0 @@ -------------------------------------------------------------------------------- --- --- A testbench model for the --- GCpad controller core --- --- $Id: gcpad_mod.vhd,v 1.3 2004-10-10 20:12:06 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_mod is - - generic ( - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - pad_data_io : inout std_logic; - rx_data_i : in std_logic_vector(63 downto 0) - ); - -end gcpad_mod; - - -architecture behav of gcpad_mod is - - ----------------------------------------------------------------------------- - -- Procedure wait_n_us - -- - -- Purpose: - -- Waits for the given number of clk_i cycles. - -- - procedure wait_n_us(clocks : in natural) is - begin - wait until clk_i = '0'; - for i in 1 to clocks loop - wait until clk_i = '1'; - wait until clk_i = '0'; - end loop; - end wait_n_us; - -- - ----------------------------------------------------------------------------- - - signal time_cnt_q : natural; - signal timeout_s : boolean; - -begin - - ----------------------------------------------------------------------------- - -- Process timeout - -- - -- Purpose: - -- Detects a timeout on incoming pad data stream after 5 us of - -- inactivity. Resynchronizes upon falling edge of pad_data_io. - -- - timeout: process (clk_i, pad_data_io) - begin - if pad_data_io = '0' then - timeout_s <= false; - time_cnt_q <= 0; - elsif clk_i'event and clk_i = '1' then - time_cnt_q <= time_cnt_q + 1; - - if time_cnt_q > 5 * clocks_per_1us_g then - timeout_s <= true; - else - timeout_s <= false; - end if; - end if; - end process timeout; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Process model - -- - -- Purpose: - -- Simple model for the functionality of a GC controller pad. - -- - model: process - - procedure send_packet(packet : in std_logic_vector) is - variable time_low_v, time_high_v : time; - begin - for i in packet'high downto 0 loop - if packet(i) = '0' then - time_low_v := 3 us; - time_high_v := 1 us; - else - time_low_v := 1 us; - time_high_v := 3 us; - end if; - - pad_data_io <= '0'; - wait for time_low_v; - - pad_data_io <= 'H'; - wait for time_high_v; - - end loop; - - end send_packet; - - - variable command_v : std_logic_vector(24 downto 0); - constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; - begin - - loop - command_v := (others => '1'); - pad_data_io <= 'Z'; - - ------------------------------------------------------------------------- - -- Step 1: - -- Receive command and associated data. - -- - wait until pad_data_io = '0'; - wait for 1 ns; - for i in 24 downto 0 loop - -- skip rest if timeout occured - if not timeout_s then - wait_n_us(2 * clocks_per_1us_g); - - command_v(i) := pad_data_io; - - if pad_data_io = '0' then - wait until pad_data_io /= '0'; - end if; - - -- wait for high -> low edge - wait until (pad_data_io = '0') or timeout_s; - - end if; - - wait for 1 ns; - end loop; - - ------------------------------------------------------------------------- - -- Detect command and send response - -- - case command_v(24 downto 17) is - -- get ID - when "00000000" => - wait_n_us(5 * clocks_per_1us_g); - send_packet(id_c); - send_packet("1"); - - -- poll status - when "0H000000" => - wait_n_us(5 * clocks_per_1us_g); - send_packet(rx_data_i); - send_packet("1"); - - when others => - null; - - end case; - - end loop; - end process model; - -- - ----------------------------------------------------------------------------- - -end behav; Index: trunk/gcpad/gcpad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/gcpad/gcpad.png =================================================================== --- trunk/gcpad/gcpad.png (revision 37) +++ trunk/gcpad/gcpad.png (nonexistent)
trunk/gcpad/gcpad.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd (nonexistent) @@ -1,19 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_rx-c.vhd,v 1.2 2004-10-08 21:18:39 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_rx_rtl_c0 of gcpad_rx is - - for rtl - for sampler_b : gcpad_sampler - use configuration work.gcpad_sampler_rtl_c0; - end for; - end for; - -end gcpad_rx_rtl_c0; Index: trunk/gcpad/rtl/vhdl/gcpad_rx.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_rx.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_rx.vhd (nonexistent) @@ -1,386 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_rx.vhd,v 1.5 2004-10-09 17:05:12 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -use work.gcpad_pack.buttons_t; - -entity gcpad_rx is - - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Control Interface ------------------------------------------------------ - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - -- Gamepad Interface ------------------------------------------------------ - pad_data_i : in std_logic; - -- Data Interface --------------------------------------------------------- - rx_data_o : out buttons_t - ); - -end gcpad_rx; - - -library ieee; -use ieee.numeric_std.all; -use work.gcpad_pack.all; - -architecture rtl of gcpad_rx is - - component gcpad_sampler - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - wrap_sample_i : in boolean; - sync_sample_i : in boolean; - sample_underflow_o : out boolean; - pad_data_i : in std_logic; - pad_data_o : out std_logic; - sample_o : out std_logic - ); - end component; - - type state_t is (IDLE, - DETECT_TIMEOUT, - WAIT_FOR_1, - WAIT_FOR_0, - FINISHED); - signal state_s, - state_q : state_t; - - signal buttons_q, - shift_buttons_q : buttons_t; - signal save_buttons_s : boolean; - signal shift_buttons_s : boolean; - - signal sync_sample_s : boolean; - signal wrap_sample_s : boolean; - - -- timeout counter counts three sample undeflows - constant cnt_timeout_high_c : natural := 3; - subtype cnt_timeout_t is natural range 0 to cnt_timeout_high_c; - signal cnt_timeout_q : cnt_timeout_t; - signal timeout_q : boolean; - signal sync_timeout_s : boolean; - - - subtype num_buttons_read_t is unsigned(6 downto 0); - signal num_buttons_read_q : num_buttons_read_t; - signal all_buttons_read_s : boolean; - signal reset_num_buttons_s : boolean; - - signal pad_data_s : std_logic; - signal sample_s : std_logic; - signal sample_underflow_s : boolean; - - signal rx_done_s, - rx_done_q : boolean; - -begin - - sampler_b : gcpad_sampler - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - wrap_sample_i => wrap_sample_s, - sync_sample_i => sync_sample_s, - sample_underflow_o => sample_underflow_s, - pad_data_i => pad_data_i, - pad_data_o => pad_data_s, - sample_o => sample_s - ); - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements of this module. - -- - seq: process (reset_i, clk_i) - variable size_v : std_logic_vector(num_buttons_read_t'range); - begin - if reset_i = reset_level_g then - buttons_q <= (others => '0'); - shift_buttons_q <= (others => '0'); - - state_q <= IDLE; - - cnt_timeout_q <= cnt_timeout_high_c; - - timeout_q <= false; - - num_buttons_read_q <= (others => '0'); - rx_done_q <= false; - - elsif clk_i'event and clk_i = '1' then - state_q <= state_s; - - rx_done_q <= rx_done_s; - - -- timeout counter - if sync_timeout_s then - -- explicit preload - cnt_timeout_q <= cnt_timeout_high_c; - timeout_q <= false; - elsif cnt_timeout_q = 0 then - -- wrap-around - cnt_timeout_q <= cnt_timeout_high_c; - timeout_q <= true; - elsif sample_underflow_s then - -- decrement counter when sampler wraps around - cnt_timeout_q <= cnt_timeout_q - 1; - end if; - - - -- count remaining number of buttons to read - if shift_buttons_s then - shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); - - if sample_s = '1' then - shift_buttons_q(0) <= '1'; - else - shift_buttons_q(0) <= '0'; - end if; - - end if; - - if reset_num_buttons_s then - -- explicit preload - size_v(num_buttons_read_t'high downto 3) := rx_size_i; - size_v(2 downto 0) := (others => '0'); - num_buttons_read_q <= unsigned(size_v); - elsif shift_buttons_s then - -- decrement counter when a button bit has been read - if not all_buttons_read_s then - num_buttons_read_q <= num_buttons_read_q - 1; - end if; - end if; - - - -- the buttons - if save_buttons_s then - buttons_q <= shift_buttons_q; - end if; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - -- indicates that all buttons have been read - all_buttons_read_s <= num_buttons_read_q = 0; - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - rx_en_i, - pad_data_s, - wrap_sample_s, - all_buttons_read_s, - sample_underflow_s, - timeout_q) - begin - sync_sample_s <= false; - sync_timeout_s <= false; - state_s <= IDLE; - shift_buttons_s <= false; - save_buttons_s <= false; - rx_done_s <= false; - reset_num_buttons_s <= false; - wrap_sample_s <= false; - - case state_q is - -- IDLE ----------------------------------------------------------------- - -- The idle state. - when IDLE => - if rx_en_i then - state_s <= DETECT_TIMEOUT; - - else - -- keep counters synchronized when no reception is running - sync_sample_s <= true; - sync_timeout_s <= true; - reset_num_buttons_s <= true; - state_s <= IDLE; - - end if; - - when DETECT_TIMEOUT => - state_s <= DETECT_TIMEOUT; - - if pad_data_s = '0' then - sync_sample_s <= true; - state_s <= WAIT_FOR_1; - - else - -- wait for timeout - wrap_sample_s <= true; - if timeout_q then - rx_done_s <= true; - state_s <= IDLE; - end if; - - end if; - - - -- WAIT_FOR_1 ----------------------------------------------------------- - -- Sample counter has expired and a 0 bit has been detected. - -- We must now wait for pad_data_s to become 1. - -- Or abort upon timeout. - when WAIT_FOR_1 => - if pad_data_s = '0' then - if not sample_underflow_s then - state_s <= WAIT_FOR_1; - else - -- timeout while reading buttons! - rx_done_s <= true; - state_s <= IDLE; - end if; - - else - state_s <= WAIT_FOR_0; - end if; - - -- WAIT_FOR_0 ----------------------------------------------------------- - -- pad_data_s is at 1 level now and no timeout occured so far. - -- We wait for the next 0 level on pad_data_s or abort upon timeout. - when WAIT_FOR_0 => - -- wait for falling edge of pad data - if pad_data_s = '0' then - sync_sample_s <= true; - - -- loop again in any case - state_s <= WAIT_FOR_1; - if not all_buttons_read_s then - shift_buttons_s <= true; - end if; - - else - if sample_underflow_s then - if all_buttons_read_s then - -- last button was read - -- so it's ok to timeout - state_s <= FINISHED; - else - -- timeout while reading buttons! - rx_done_s <= true; - state_s <= IDLE; - end if; - - else - state_s <= WAIT_FOR_0; - - end if; - - end if; - - when FINISHED => - -- finally save buttons - save_buttons_s <= true; - rx_done_s <= true; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output Mapping - ----------------------------------------------------------------------------- - rx_done_o <= rx_done_q; - rx_data_ok_o <= save_buttons_s; - rx_data_o <= buttons_q; - - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2004/10/09 00:33:55 arniml --- shift rx_data to button assignment to toplevel --- --- Revision 1.3 2004/10/08 21:18:39 arniml --- move sampler to separate unit --- --- Revision 1.2 2004/10/08 20:51:59 arniml --- turn rx and tx size into bytes instead of bits --- --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd (nonexistent) @@ -1,98 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_pack-p.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package gcpad_pack is - - subtype analog_axis_t is std_logic_vector(7 downto 0); - constant num_buttons_c : natural := 64; - subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); - - function "=" (a : in std_logic; b : in integer) return boolean; - - ----------------------------------------------------------------------------- - -- The button positions inside a gc packet - ----------------------------------------------------------------------------- - -- byte 7 ------------------------------------------------------------------- - constant pos_errstat_c : natural := 63; - constant pos_errlatch_c : natural := 62; - constant pos_unknown1_c : natural := 61; - constant pos_start_c : natural := 60; - constant pos_y_c : natural := 59; - constant pos_x_c : natural := 58; - constant pos_b_c : natural := 57; - constant pos_a_c : natural := 56; - -- byte 6 ------------------------------------------------------------------- - constant pos_unknown2_c : natural := 55; - constant pos_tl_c : natural := 54; - constant pos_tr_c : natural := 53; - constant pos_z_c : natural := 52; - constant pos_up_c : natural := 51; - constant pos_down_c : natural := 50; - constant pos_right_c : natural := 49; - constant pos_left_c : natural := 48; - -- byte 5 ------------------------------------------------------------------- - constant joy_x_high_c : natural := 47; - constant joy_x_low_c : natural := 40; - -- byte 4 ------------------------------------------------------------------- - constant joy_y_high_c : natural := 39; - constant joy_y_low_c : natural := 32; - -- byte 3 ------------------------------------------------------------------- - constant c_x_high_c : natural := 31; - constant c_x_low_c : natural := 24; - -- byte 2 ------------------------------------------------------------------- - constant c_y_high_c : natural := 23; - constant c_y_low_c : natural := 16; - -- byte 1 ------------------------------------------------------------------- - constant l_high_c : natural := 15; - constant l_low_c : natural := 8; - -- byte 0 ------------------------------------------------------------------- - constant r_high_c : natural := 7; - constant r_low_c : natural := 0; - -end gcpad_pack; - - -package body gcpad_pack is - - ----------------------------------------------------------------------------- - -- Function = - -- - -- Compares a std_logic with an integer. - -- - function "=" (a : in std_logic; b : in integer) return boolean is - variable result_v : boolean; - begin - result_v := false; - - case a is - when '0' => - if b = 0 then - result_v := true; - end if; - - when '1' => - if b = 1 then - result_v := true; - end if; - - when others => - null; - - end case; - - return result_v; - end; - -- - ----------------------------------------------------------------------------- - -end gcpad_pack; Index: trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd (nonexistent) @@ -1,68 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $ --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -package gcpad_comp is - - component gcpad_basic - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_data_io : inout std_logic; - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_z_o : out std_logic; - but_start_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - ana_joy_x_o : out std_logic_vector(7 downto 0); - ana_joy_y_o : out std_logic_vector(7 downto 0); - ana_c_x_o : out std_logic_vector(7 downto 0); - ana_c_y_o : out std_logic_vector(7 downto 0); - ana_l_o : out std_logic_vector(7 downto 0); - ana_r_o : out std_logic_vector(7 downto 0) - ); - end component; - - component gcpad_full - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_timeout_o : out std_logic; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0); - rx_size_i : in std_logic_vector( 3 downto 0); - rx_data_o : out std_logic_vector(63 downto 0); - pad_data_io : inout std_logic - ); - end component; - - -end gcpad_comp; Index: trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_tx-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_tx_rtl_c0 of gcpad_tx is - - for rtl - end for; - -end gcpad_tx_rtl_c0; Index: trunk/gcpad/rtl/vhdl/gcpad_tx.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_tx.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_tx.vhd (nonexistent) @@ -1,312 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_tx.vhd,v 1.2 2004-10-08 20:51:59 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_tx is - - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Pad Interface ---------------------------------------------------------- - pad_data_o : out std_logic; - -- Control Interface ------------------------------------------------------ - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - -end gcpad_tx; - - -library ieee; -use ieee.numeric_std.all; - -use work.gcpad_pack.all; - -architecture rtl of gcpad_tx is - - subtype command_t is std_logic_vector(24 downto 0); - - signal command_q : command_t; - signal load_command_s : boolean; - signal shift_bits_s : boolean; - - constant cnt_long_c : natural := clocks_per_1us_g * 4 - 1; - constant cnt_short_c : natural := clocks_per_1us_g * 1 - 1; - subtype cnt_t is natural range 0 to cnt_long_c; - signal cnt_q : cnt_t; - signal cnt_load_long_s : boolean; - signal cnt_load_short_s : boolean; - signal cnt_finished_s : boolean; - - subtype num_bits_t is unsigned(4 downto 0); - signal num_bits_q : num_bits_t; - signal all_bits_sent_s : boolean; - signal cnt_bit_s : boolean; - - type state_t is (IDLE, - LOAD_COMMAND, - SEND_COMMAND_PHASE1, - SEND_COMMAND_PHASE2); - signal state_s, - state_q : state_t; - - signal pad_data_s, - pad_data_q : std_logic; - - signal tx_finished_s, - tx_finished_q : boolean; - -begin - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements of this module. - -- - seq: process (reset_i, clk_i) - variable size_v : std_logic_vector(num_bits_t'range); - begin - if reset_i = reset_level_g then - command_q <= (others => '1'); - cnt_q <= cnt_long_c; - num_bits_q <= (others => '0'); - pad_data_q <= '1'; - state_q <= IDLE; - tx_finished_q <= false; - - elsif clk_i'event and clk_i = '1' then - tx_finished_q <= tx_finished_s; - - -- fsm - state_q <= state_s; - - -- command register and bit counter - if load_command_s then - command_q(24 downto 1) <= tx_command_i; - command_q(0) <= '1'; - - -- workaround for GHDL concatenation - size_v(num_bits_t'high downto 3) := tx_size_i; - size_v(2 downto 0) := (others => '0'); - num_bits_q <= unsigned(size_v) + 1; - - else - if shift_bits_s then - command_q(command_t'high downto 1) <= command_q(command_t'high-1 downto 0); - end if; - - if cnt_bit_s and not all_bits_sent_s then - num_bits_q <= num_bits_q - 1; - end if; - - end if; - - - -- PWM counter - if cnt_load_long_s then - cnt_q <= cnt_long_c; - elsif cnt_load_short_s then - cnt_q <= cnt_short_c; - else - if not cnt_finished_s then - cnt_q <= cnt_q - 1; - end if; - end if; - - -- PWM output = pad data - pad_data_q <= pad_data_s; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - -- indicates that PWM counter has finished - cnt_finished_s <= cnt_q = 0; - -- indicates that all bits have been sent - all_bits_sent_s <= num_bits_q = 0; - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - cnt_finished_s, - all_bits_sent_s, - tx_start_i, - command_q) - begin - -- defaul assignments - state_s <= IDLE; - shift_bits_s <= false; - cnt_load_long_s <= false; - cnt_load_short_s <= false; - pad_data_s <= '1'; - tx_finished_s <= false; - load_command_s <= false; - cnt_bit_s <= false; - - case state_q is - -- IDLE ----------------------------------------------------------------- - -- The idle state. - -- Advances when the transmitter is started - when IDLE => - if tx_start_i then - state_s <= LOAD_COMMAND; - else - state_s <= IDLE; - end if; - - - -- LOAD_COMMAND --------------------------------------------------------- - -- Prepares the first and all subsequent low phases on pad_data_s. - when LOAD_COMMAND => - state_s <= SEND_COMMAND_PHASE2; - load_command_s <= true; - - -- start counter once to kick the loop - cnt_load_short_s <= true; - - - -- SEND_COMMAND_PHASE1 -------------------------------------------------- - -- Wait for completion of phase 1, the low phase of pad_data_s. - -- The high phase is prepared when the PWM counter has expired. - when SEND_COMMAND_PHASE1 => - state_s <= SEND_COMMAND_PHASE1; - pad_data_s <= '0'; - - if cnt_finished_s then - -- initiate high phase - pad_data_s <= '1'; - if command_q(command_t'high) = '1' then - cnt_load_long_s <= true; - else - cnt_load_short_s <= true; - end if; - - state_s <= SEND_COMMAND_PHASE2; - -- provide next bit - shift_bits_s <= true; - - end if; - - -- SEND_COMMAND_PHASE2 -------------------------------------------------- - -- Wait for completion of phase 2, the high phase of pad_data_s. - -- The next low phase is prepared when the PWM counter has expired. - -- In case all bits have been sent, the tx handshake is asserted and - -- the FSM returns to IDLE state. - when SEND_COMMAND_PHASE2 => - pad_data_s <= '1'; - state_s <= SEND_COMMAND_PHASE2; - - if cnt_finished_s then - if not all_bits_sent_s then - -- more bits to send so loop - - -- prepare low phase - if command_q(command_t'high) = '1' then - cnt_load_short_s <= true; - else - cnt_load_long_s <= true; - end if; - - -- decrement bit counter - cnt_bit_s <= true; - - state_s <= SEND_COMMAND_PHASE1; - - else - -- all bits sent, we're finished - tx_finished_s <= true; - state_s <= IDLE; - - end if; - - end if; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - tx_finished_o <= tx_finished_q; - pad_data_o <= pad_data_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd (nonexistent) @@ -1,27 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_basic-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_basic_struct_c0 of gcpad_basic is - - for struct - for ctrl_b : gcpad_ctrl - use configuration work.gcpad_ctrl_rtl_c0; - end for; - - for tx_b : gcpad_tx - use configuration work.gcpad_tx_rtl_c0; - end for; - - for rx_b : gcpad_rx - use configuration work.gcpad_rx_rtl_c0; - end for; - end for; - -end gcpad_basic_struct_c0; Index: trunk/gcpad/rtl/vhdl/gcpad_basic.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_basic.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_basic.vhd (nonexistent) @@ -1,275 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_basic.vhd,v 1.5 2004-10-09 22:18:35 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_basic is - - generic ( - -- active level of reset_i - reset_level_g : integer := 0; - -- number of clk_i periods during 1us - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - -- Gamepad Interface ------------------------------------------------------ - pad_data_io : inout std_logic; - -- Buttons Interface ------------------------------------------------------ - but_a_o : out std_logic; - but_b_o : out std_logic; - but_x_o : out std_logic; - but_y_o : out std_logic; - but_z_o : out std_logic; - but_start_o : out std_logic; - but_tl_o : out std_logic; - but_tr_o : out std_logic; - but_left_o : out std_logic; - but_right_o : out std_logic; - but_up_o : out std_logic; - but_down_o : out std_logic; - ana_joy_x_o : out std_logic_vector(7 downto 0); - ana_joy_y_o : out std_logic_vector(7 downto 0); - ana_c_x_o : out std_logic_vector(7 downto 0); - ana_c_y_o : out std_logic_vector(7 downto 0); - ana_l_o : out std_logic_vector(7 downto 0); - ana_r_o : out std_logic_vector(7 downto 0) - ); - -end gcpad_basic; - - -use work.gcpad_pack.all; - -architecture struct of gcpad_basic is - - component gcpad_ctrl - generic ( - reset_level_g : integer := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - end component; - - component gcpad_tx - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_data_o : out std_logic; - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - end component; - - component gcpad_rx - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - pad_data_i : in std_logic; - rx_data_o : out buttons_t - ); - end component; - - - ----------------------------------------------------------------------------- - -- constants for standard status polling - constant rx_size_c : std_logic_vector( 3 downto 0) := "1000"; - signal rx_size_s : std_logic_vector( 3 downto 0); - -- - constant tx_size_c : std_logic_vector( 1 downto 0) := "11"; - signal tx_size_s : std_logic_vector( 1 downto 0); - -- - constant tx_command_c : std_logic_vector(23 downto 0) := "010000000000001100000010"; - signal tx_command_s : std_logic_vector(23 downto 0); - -- - ----------------------------------------------------------------------------- - - signal pad_data_tx_s : std_logic; - - signal tx_start_s : boolean; - signal tx_finished_s : boolean; - - signal rx_en_s, - rx_done_s, - rx_data_ok_s : boolean; - - signal rx_data_s : buttons_t; - -begin - - rx_size_s <= rx_size_c; - tx_size_s <= tx_size_c; - tx_command_s <= tx_command_c; - - ctrl_b : gcpad_ctrl - generic map ( - reset_level_g => reset_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_request_i => pad_request_i, - pad_avail_o => pad_avail_o, - rx_timeout_o => open, - tx_start_o => tx_start_s, - tx_finished_i => tx_finished_s, - rx_en_o => rx_en_s, - rx_done_i => rx_done_s, - rx_data_ok_i => rx_data_ok_s - ); - - tx_b : gcpad_tx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_data_o => pad_data_tx_s, - tx_start_i => tx_start_s, - tx_finished_o => tx_finished_s, - tx_size_i => tx_size_s, - tx_command_i => tx_command_s - ); - - rx_b : gcpad_rx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - rx_en_i => rx_en_s, - rx_done_o => rx_done_s, - rx_data_ok_o => rx_data_ok_s, - rx_size_i => rx_size_s, - pad_data_i => pad_data_io, - rx_data_o => rx_data_s - ); - - - ----------------------------------------------------------------------------- - -- Open collector driver to pad data - ----------------------------------------------------------------------------- - pad_data_io <= '0' - when pad_data_tx_s = '0' else - 'Z'; - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - but_a_o <= rx_data_s(pos_a_c); - but_b_o <= rx_data_s(pos_b_c); - but_x_o <= rx_data_s(pos_x_c); - but_y_o <= rx_data_s(pos_y_c); - but_z_o <= rx_data_s(pos_z_c); - but_start_o <= rx_data_s(pos_start_c); - but_tl_o <= rx_data_s(pos_tl_c); - but_tr_o <= rx_data_s(pos_tr_c); - but_left_o <= rx_data_s(pos_left_c); - but_right_o <= rx_data_s(pos_right_c); - but_up_o <= rx_data_s(pos_up_c); - but_down_o <= rx_data_s(pos_down_c); - ana_joy_x_o <= rx_data_s(joy_x_high_c downto joy_x_low_c); - ana_joy_y_o <= rx_data_s(joy_y_high_c downto joy_y_low_c); - ana_c_x_o <= rx_data_s(c_x_high_c downto c_x_low_c); - ana_c_y_o <= rx_data_s(c_y_high_c downto c_y_low_c); - ana_l_o <= rx_data_s(l_high_c downto l_low_c); - ana_r_o <= rx_data_s(r_high_c downto r_low_c); - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2004/10/09 17:03:43 arniml --- enhance handshaking between gcpad_rx and gcpad_ctrl --- --- Revision 1.3 2004/10/09 00:33:55 arniml --- shift rx_data to button assignment to toplevel --- --- Revision 1.2 2004/10/08 20:51:59 arniml --- turn rx and tx size into bytes instead of bits --- --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_full.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_full.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_full.vhd (nonexistent) @@ -1,209 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_full.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_full is - - generic ( - -- active level of reset_i - reset_level_g : integer := 0; - -- number of clk_i periods during 1us - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Pad Communication Interface -------------------------------------------- - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - pad_timeout_o : out std_logic; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0); - rx_size_i : in std_logic_vector( 3 downto 0); - rx_data_o : out std_logic_vector(63 downto 0); - -- Gamepad Interface ------------------------------------------------------ - pad_data_io : inout std_logic - ); - -end gcpad_full; - - -use work.gcpad_pack.all; - -architecture struct of gcpad_full is - - component gcpad_ctrl - generic ( - reset_level_g : integer := 0 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - end component; - - component gcpad_tx - generic ( - reset_level_g : natural := 0; - clocks_per_1us_g : natural := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - pad_data_o : out std_logic; - tx_start_i : in boolean; - tx_finished_o : out boolean; - tx_size_i : in std_logic_vector( 1 downto 0); - tx_command_i : in std_logic_vector(23 downto 0) - ); - end component; - - component gcpad_rx - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - clk_i : in std_logic; - reset_i : in std_logic; - rx_en_i : in boolean; - rx_done_o : out boolean; - rx_data_ok_o : out boolean; - rx_size_i : in std_logic_vector(3 downto 0); - pad_data_i : in std_logic; - rx_data_o : out buttons_t - ); - end component; - - - signal pad_data_tx_s : std_logic; - - signal tx_start_s : boolean; - signal tx_finished_s : boolean; - - signal rx_en_s, - rx_done_s, - rx_data_ok_s : boolean; - -begin - - ctrl_b : gcpad_ctrl - generic map ( - reset_level_g => reset_level_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_request_i => pad_request_i, - pad_avail_o => pad_avail_o, - rx_timeout_o => pad_timeout_o, - tx_start_o => tx_start_s, - tx_finished_i => tx_finished_s, - rx_en_o => rx_en_s, - rx_done_i => rx_done_s, - rx_data_ok_i => rx_data_ok_s - ); - - tx_b : gcpad_tx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - pad_data_o => pad_data_tx_s, - tx_start_i => tx_start_s, - tx_finished_o => tx_finished_s, - tx_size_i => tx_size_i, - tx_command_i => tx_command_i - ); - - rx_b : gcpad_rx - generic map ( - reset_level_g => reset_level_g, - clocks_per_1us_g => clocks_per_1us_g - ) - port map ( - clk_i => clk_i, - reset_i => reset_i, - rx_en_i => rx_en_s, - rx_done_o => rx_done_s, - rx_data_ok_o => rx_data_ok_s, - rx_size_i => rx_size_i, - pad_data_i => pad_data_io, - rx_data_o => rx_data_o - ); - - - ----------------------------------------------------------------------------- - -- Open collector driver to pad data - ----------------------------------------------------------------------------- - pad_data_io <= '0' - when pad_data_tx_s = '0' else - 'Z'; - -end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd (nonexistent) @@ -1,27 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_full-c.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_full_struct_c0 of gcpad_full is - - for struct - for ctrl_b : gcpad_ctrl - use configuration work.gcpad_ctrl_rtl_c0; - end for; - - for tx_b : gcpad_tx - use configuration work.gcpad_tx_rtl_c0; - end for; - - for rx_b : gcpad_rx - use configuration work.gcpad_rx_rtl_c0; - end for; - end for; - -end gcpad_full_struct_c0; Index: trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd (nonexistent) @@ -1,169 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_sampler.vhd,v 1.3 2004-10-09 00:33:12 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_sampler is - - generic ( - reset_level_g : integer := 0; - clocks_per_1us_g : integer := 2 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - -- Control Interface ------------------------------------------------------ - wrap_sample_i : in boolean; - sync_sample_i : in boolean; - sample_underflow_o : out boolean; - -- Pad Interface ---------------------------------------------------------- - pad_data_i : in std_logic; - pad_data_o : out std_logic; - sample_o : out std_logic - ); - -end gcpad_sampler; - - -use work.gcpad_pack.all; - -architecture rtl of gcpad_sampler is - - signal pad_data_sync_q : std_logic_vector(1 downto 0); - signal pad_data_s : std_logic; - - constant cnt_sample_high_c : natural := clocks_per_1us_g * 4 - 1; - subtype cnt_sample_t is natural range 0 to cnt_sample_high_c; - signal cnt_zeros_q : cnt_sample_t; - signal cnt_ones_q : cnt_sample_t; - signal sample_underflow_q : boolean; - - signal more_ones_q : boolean; - -begin - - seq: process (reset_i, clk_i) - variable dec_timeout_v : boolean; - begin - if reset_i = reset_level_g then - cnt_zeros_q <= cnt_sample_high_c; - cnt_ones_q <= cnt_sample_high_c; - more_ones_q <= false; - sample_underflow_q <= false; - - pad_data_sync_q <= (others => '1'); - - elsif clk_i'event and clk_i = '1' then - -- synchronizer for pad data - pad_data_sync_q(0) <= pad_data_i; - pad_data_sync_q(1) <= pad_data_sync_q(0); - - -- sample counter - dec_timeout_v := false; - if sync_sample_i then - -- explicit preload - cnt_zeros_q <= cnt_sample_high_c; - cnt_ones_q <= cnt_sample_high_c; - else - if cnt_zeros_q = 0 then - if wrap_sample_i then - cnt_zeros_q <= cnt_sample_high_c; - end if; - dec_timeout_v := true; - elsif pad_data_s = '0' then - cnt_zeros_q <= cnt_zeros_q - 1; - end if; - - if cnt_ones_q = 0 then - if wrap_sample_i then - cnt_ones_q <= cnt_sample_high_c; - end if; - dec_timeout_v := true; - elsif pad_data_s /= '0' then - cnt_ones_q <= cnt_ones_q - 1; - end if; - end if; - - if cnt_ones_q < cnt_zeros_q then - more_ones_q <= true; - else - more_ones_q <= false; - end if; - - -- detect sample underflow - sample_underflow_q <= dec_timeout_v; - - end if; - - end process seq; - - pad_data_s <= pad_data_sync_q(1); - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - pad_data_o <= pad_data_s; - sample_o <= '1' - when more_ones_q else - '0'; - sample_underflow_o <= sample_underflow_q; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2004/10/08 21:35:08 arniml --- comments --- -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_sampler-c.vhd,v 1.1 2004-10-08 21:19:17 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_sampler_rtl_c0 of gcpad_sampler is - - for rtl - end for; - -end gcpad_sampler_rtl_c0; Index: trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd (nonexistent) @@ -1,250 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- $Id: gcpad_ctrl.vhd,v 1.2 2004-10-09 17:04:36 arniml Exp $ --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- All rights reserved --- --- Redistribution and use in source and synthezised forms, with or without --- modification, are permitted provided that the following conditions are met: --- --- Redistributions of source code must retain the above copyright notice, --- this list of conditions and the following disclaimer. --- --- Redistributions in synthesized form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in the --- documentation and/or other materials provided with the distribution. --- --- Neither the name of the author nor the names of other contributors may --- be used to endorse or promote products derived from this software without --- specific prior written permission. --- --- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR --- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE --- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR --- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE --- POSSIBILITY OF SUCH DAMAGE. --- --- Please report bugs to the author, but before you do so, please --- make sure that this is not a derivative work and that --- you have the latest version of this file. --- --- The latest version of this file can be found at: --- http://www.opencores.org/cvsweb.shtml/gamepads/ --- --- The project homepage is located at: --- http://www.opencores.org/projects.cgi/web/gamepads/overview --- -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; - -entity gcpad_ctrl is - - generic ( - reset_level_g : integer := 0 - ); - port ( - -- System Interface ------------------------------------------------------- - clk_i : in std_logic; - reset_i : in std_logic; - pad_request_i : in std_logic; - pad_avail_o : out std_logic; - rx_timeout_o : out std_logic; - -- Control Interface ------------------------------------------------------ - tx_start_o : out boolean; - tx_finished_i : in boolean; - rx_en_o : out boolean; - rx_done_i : in boolean; - rx_data_ok_i : in boolean - ); - -end gcpad_ctrl; - - -use work.gcpad_pack.all; - -architecture rtl of gcpad_ctrl is - - type state_t is (IDLE, - TX, - RX1_START, - RX1_WAIT, - RX2_START, - RX2_WAIT, - RX3_START, - RX3_WAIT, - RX4_START, - RX4_WAIT); - signal state_s, - state_q : state_t; - - signal set_txrx_finished_s : boolean; - signal enable_txrx_finished_s : boolean; - signal txrx_finished_q : std_logic; - - signal timeout_q : std_logic; - -begin - - ----------------------------------------------------------------------------- - -- Process seq - -- - -- Purpose: - -- Implements the sequential elements. - -- - seq: process (reset_i, clk_i) - begin - if reset_i = reset_level_g then - state_q <= IDLE; - - txrx_finished_q <= '0'; - - timeout_q <= '1'; - - elsif clk_i'event and clk_i = '1' then - state_q <= state_s; - - -- transmit/receive finished flag - if set_txrx_finished_s then - txrx_finished_q <= '1'; - elsif pad_request_i = '1' then - txrx_finished_q <= '0'; - end if; - - if pad_request_i = '1' then - timeout_q <= '1'; - elsif rx_data_ok_i then - timeout_q <= '0'; - end if; - - end if; - - end process seq; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Process fsm - -- - -- Purpose: - -- Models the controlling state machine. - -- - fsm: process (state_q, - tx_finished_i, - rx_done_i, - pad_request_i) - begin - rx_en_o <= false; - state_s <= IDLE; - tx_start_o <= false; - set_txrx_finished_s <= false; - enable_txrx_finished_s <= false; - - case state_q is - when IDLE => - -- enable output of txrx_finished flag - -- the flag has to be suppressed while the FSM probes four times - enable_txrx_finished_s <= true; - - if pad_request_i = '1' then - state_s <= TX; - tx_start_o <= true; - else - state_s <= IDLE; - end if; - - when TX => - if not tx_finished_i then - state_s <= TX; - else - state_s <= RX1_START; - end if; - - when RX1_START => - rx_en_o <= true; - state_s <= RX1_WAIT; - - when RX1_WAIT => - if rx_done_i then - state_s <= RX2_START; - else - state_s <= RX1_WAIT; - end if; - - when RX2_START => - rx_en_o <= true; - state_s <= RX2_WAIT; - - when RX2_WAIT => - if rx_done_i then - state_s <= RX3_START; - else - state_s <= RX2_WAIT; - end if; - - when RX3_START => - rx_en_o <= true; - state_s <= RX3_WAIT; - - when RX3_WAIT => - if rx_done_i then - state_s <= RX4_START; - else - state_s <= RX3_WAIT; - end if; - - when RX4_START => - rx_en_o <= true; - state_s <= RX4_WAIT; - - when RX4_WAIT => - if rx_done_i then - state_s <= IDLE; - set_txrx_finished_s <= true; - else - state_s <= RX4_WAIT; - end if; - - when others => - null; - - end case; - - end process fsm; - -- - ----------------------------------------------------------------------------- - - - ----------------------------------------------------------------------------- - -- Output mapping - ----------------------------------------------------------------------------- - pad_avail_o <= txrx_finished_q - when enable_txrx_finished_s else - '0'; - rx_timeout_o <= timeout_q - when enable_txrx_finished_s else - '0'; - -end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2004/10/07 21:23:10 arniml --- initial check-in --- -------------------------------------------------------------------------------- Index: trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd =================================================================== --- trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (revision 37) +++ trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (nonexistent) @@ -1,16 +0,0 @@ -------------------------------------------------------------------------------- --- --- GCpad controller core --- --- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) --- --- $Id: gcpad_ctrl-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ --- -------------------------------------------------------------------------------- - -configuration gcpad_ctrl_rtl_c0 of gcpad_ctrl is - - for rtl - end for; - -end gcpad_ctrl_rtl_c0; Index: trunk/gcpad/COMPILE_LIST =================================================================== --- trunk/gcpad/COMPILE_LIST (revision 37) +++ trunk/gcpad/COMPILE_LIST (nonexistent) @@ -1,23 +0,0 @@ - -Compile list for the GCpad core -=============================== -Version: $Date: 2004-10-28 20:00:09 $ - -rtl/vhdl/gcpad_pack-p.vhd -rtl/vhdl/gcpad_comp-p.vhd -rtl/vhdl/gcpad_ctrl.vhd -rtl/vhdl/gcpad_tx.vhd -rtl/vhdl/gcpad_sampler.vhd -rtl/vhdl/gcpad_rx.vhd -rtl/vhdl/gcpad_basic.vhd -rtl/vhdl/gcpad_full.vhd -bench/vhdl/gcpad_mod.vhd -bench/vhdl/tb.vhd -rtl/vhdl/gcpad_ctrl-c.vhd -rtl/vhdl/gcpad_tx-c.vhd -rtl/vhdl/gcpad_sampler-c.vhd -rtl/vhdl/gcpad_rx-c.vhd -rtl/vhdl/gcpad_basic-c.vhd -rtl/vhdl/gcpad_full-c.vhd -bench/vhdl/gcpad_mod-c.vhd -bench/vhdl/tb-c.vhd Index: trunk/gcpad/doc/Integration Manual.sxw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/gcpad/doc/Integration Manual.sxw =================================================================== --- trunk/gcpad/doc/Integration Manual.sxw (revision 37) +++ trunk/gcpad/doc/Integration Manual.sxw (nonexistent)
trunk/gcpad/doc/Integration Manual.sxw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/gcpad/doc/Integration Manual.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/gcpad/doc/Integration Manual.pdf =================================================================== --- trunk/gcpad/doc/Integration Manual.pdf (revision 37) +++ trunk/gcpad/doc/Integration Manual.pdf (nonexistent)
trunk/gcpad/doc/Integration Manual.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/gcpad/sim/rtl_sim/Makefile =================================================================== --- trunk/gcpad/sim/rtl_sim/Makefile (revision 37) +++ trunk/gcpad/sim/rtl_sim/Makefile (nonexistent) @@ -1,124 +0,0 @@ -############################################################################## -# -# Tool-specific Makefile for the GHDL compiler. -# -# $Id: Makefile,v 1.3 2004-10-10 17:28:21 arniml Exp $ -# -# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) -# -# All rights reserved -# -############################################################################## - - -PROJECT_DIR = ../.. -RTL_DIR = $(PROJECT_DIR)/rtl/vhdl -BENCH_DIR = $(PROJECT_DIR)/bench/vhdl - - - -ANALYZE=ghdl -a --std=87 --workdir=work -ELABORATE=ghdl -e --std=87 --workdir=work - -.PHONY: all -all: work elaborate - -work: - mkdir work - -work/gcpad_pack-p.o: $(RTL_DIR)/gcpad_pack-p.vhd - $(ANALYZE) $(RTL_DIR)/gcpad_pack-p.vhd - -work/gcpad_sampler.o: $(RTL_DIR)/gcpad_sampler.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_sampler.vhd -work/gcpad_sampler-c.o: $(RTL_DIR)/gcpad_sampler-c.vhd \ - work/gcpad_sampler.o - $(ANALYZE) $(RTL_DIR)/gcpad_sampler-c.vhd - -work/gcpad_rx.o: $(RTL_DIR)/gcpad_rx.vhd \ - work/gcpad_sampler.o \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_rx.vhd -work/gcpad_rx-c.o: $(RTL_DIR)/gcpad_rx-c.vhd \ - work/gcpad_sampler-c.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_rx-c.vhd - -work/gcpad_tx.o: $(RTL_DIR)/gcpad_tx.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_tx.vhd -work/gcpad_tx-c.o: $(RTL_DIR)/gcpad_tx-c.vhd \ - work/gcpad_tx.o - $(ANALYZE) $(RTL_DIR)/gcpad_tx-c.vhd - -work/gcpad_ctrl.o: $(RTL_DIR)/gcpad_ctrl.vhd \ - work/gcpad_pack-p.o - $(ANALYZE) $(RTL_DIR)/gcpad_ctrl.vhd -work/gcpad_ctrl-c.o: $(RTL_DIR)/gcpad_ctrl-c.vhd \ - work/gcpad_ctrl.o - $(ANALYZE) $(RTL_DIR)/gcpad_ctrl-c.vhd - -work/gcpad_basic.o: $(RTL_DIR)/gcpad_basic.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_ctrl.o \ - work/gcpad_tx.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_basic.vhd -work/gcpad_basic-c.o: $(RTL_DIR)/gcpad_basic-c.vhd \ - work/gcpad_basic.o \ - work/gcpad_ctrl-c.o \ - work/gcpad_tx-c.o \ - work/gcpad_rx-c.o - $(ANALYZE) $(RTL_DIR)/gcpad_basic-c.vhd - -work/gcpad_full.o: $(RTL_DIR)/gcpad_full.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_ctrl.o \ - work/gcpad_tx.o \ - work/gcpad_rx.o - $(ANALYZE) $(RTL_DIR)/gcpad_full.vhd -work/gcpad_full-c.o: $(RTL_DIR)/gcpad_full-c.vhd \ - work/gcpad_full.o \ - work/gcpad_ctrl-c.o \ - work/gcpad_tx-c.o \ - work/gcpad_rx-c.o - $(ANALYZE) $(RTL_DIR)/gcpad_full-c.vhd - -work/gcpad_comp-p.o: $(RTL_DIR)/gcpad_comp-p.vhd - $(ANALYZE) $(RTL_DIR)/gcpad_comp-p.vhd - -work/gcpad_mod.o: $(BENCH_DIR)/gcpad_mod.vhd - $(ANALYZE) $(BENCH_DIR)/gcpad_mod.vhd -work/gcpad_mod-c.o: $(BENCH_DIR)/gcpad_mod-c.vhd \ - work/gcpad_mod.o - $(ANALYZE) $(BENCH_DIR)/gcpad_mod-c.vhd - -work/tb.o: $(BENCH_DIR)/tb.vhd \ - work/gcpad_pack-p.o \ - work/gcpad_comp-p.o \ - work/gcpad_basic.o \ - work/gcpad_full.o \ - work/gcpad_mod.o - $(ANALYZE) $(BENCH_DIR)/tb.vhd -work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ - work/tb.o \ - work/gcpad_basic-c.o \ - work/gcpad_full-c.o \ - work/gcpad_mod-c.o - $(ANALYZE) $(BENCH_DIR)/tb-c.vhd - - -.PHONY: elaborate -elaborate: tb_behav_c0 - -tb_behav_c0: analyze - $(ELABORATE) tb_behav_c0; \ - strip tb_behav_c0 - -.PHONY: analyze -analyze: work/tb-c.o - -.PHONY: clean -clean: - rm -rf work tb_behav_c0 *~ Index: gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 38) @@ -0,0 +1,223 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_ctrl.vhd,v 1.3 2005-09-15 17:28:17 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_ctrl is + + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + +end snespad_ctrl; + + +use work.snespad_pack.all; + +architecture rtl of snespad_ctrl is + + subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; + + type state_t is (IDLE, + IDLE2, + LATCH, + READ_PAD); + + signal pad_latch_q, + pad_latch_s : std_logic; + + signal pad_clk_q, + pad_clk_s : std_logic; + + signal num_buttons_read_q : num_buttons_read_t; + signal clocks_per_6us_q : clocks_per_6us_t; + + signal state_q, + state_s : state_t; + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert clocks_per_6us_g > 1 + report "clocks_per_6us_g must be at least 2!" + severity failure; + -- pragma translate_on + + + seq: process (reset_i, clk_i) + begin + if reset_i = reset_level_g then + pad_latch_q <= '1'; + pad_clk_q <= '1'; + + num_buttons_read_q <= num_buttons_c-1; + + clocks_per_6us_q <= 0; + + state_q <= IDLE; + + elsif clk_i'event and clk_i = '1' then + if clk_en_s then + clocks_per_6us_q <= 0; + else + clocks_per_6us_q <= clocks_per_6us_q + 1; + end if; + + if clk_en_s and shift_buttons_s then + if num_buttons_read_q = 0 then + num_buttons_read_q <= num_buttons_c-1; + else + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + if clk_en_s then + state_q <= state_s; + end if; + + pad_clk_q <= pad_clk_s; + + pad_latch_q <= pad_latch_s; + + end if; + end process; + + clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; + + + fsm: process (state_q, + num_buttons_read_q) + begin + -- default assignments + pad_clk_s <= '1'; + pad_latch_s <= '1'; + shift_buttons_s <= false; + save_buttons_o <= false; + state_s <= IDLE; + + case state_q is + when IDLE => + save_buttons_o <= true; + state_s <= IDLE2; + + when IDLE2 => + state_s <= LATCH; + + when LATCH => + pad_latch_s <= '0'; + state_s <= READ_PAD; + + when READ_PAD => + pad_latch_s <= '0'; + -- set clock low + -- pad data will be read at end of 6us cycle + pad_clk_s <= '0'; + + shift_buttons_s <= true; + + if num_buttons_read_q = 0 then + -- return to IDLE after last button bit has been read + state_s <= IDLE; + else + state_s <= LATCH; + end if; + + when others => + null; + + end case; + + end process fsm; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + clk_en_o <= clk_en_s; + shift_buttons_o <= shift_buttons_s; + pad_clk_o <= pad_clk_q; + pad_latch_o <= pad_latch_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.2 2005/07/03 21:36:00 arniml +-- removed obsolete state CLOCK +-- +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_pad.vhd (revision 38) @@ -0,0 +1,156 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_pad.vhd,v 1.2 2005-09-15 17:28:02 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_pad is + + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + +end snespad_pad; + + +use work.snespad_pack.all; + +architecture rtl of snespad_pad is + + signal buttons_q, + shift_buttons_q : buttons_t; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert (button_level_g = 0) or (button_level_g = 1) + report "button_level_g must be either 0 or 1!" + severity failure; + -- pragma translate_on + + seq: process (reset_i, clk_i) + begin + if reset_i = reset_level_g then + for i in buttons_t'range loop + buttons_q(i) <= button_reset_f(button_level_g); + shift_buttons_q(i) <= button_reset_f(button_level_g); + end loop; + + elsif clk_i'event and clk_i = '1' then + if save_buttons_i then + buttons_q <= shift_buttons_q; + end if; + + if clk_en_i and shift_buttons_i then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); + end if; + + end if; + end process; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + but_a_o <= buttons_q(but_pos_a_c); + but_b_o <= buttons_q(but_pos_b_c); + but_x_o <= buttons_q(but_pos_x_c); + but_y_o <= buttons_q(but_pos_y_c); + but_start_o <= buttons_q(but_pos_start_c); + but_sel_o <= buttons_q(but_pos_sel_c); + but_tl_o <= buttons_q(but_pos_tl_c); + but_tr_o <= buttons_q(but_pos_tr_c); + but_up_o <= buttons_q(but_pos_up_c); + but_down_o <= buttons_q(but_pos_down_c); + but_left_o <= buttons_q(but_pos_left_c); + but_right_o <= buttons_q(but_pos_right_c); + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/snespad/rtl/vhdl/snespad.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad.vhd (revision 38) @@ -0,0 +1,196 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad is + + generic ( + -- number of pads connected to this core + num_pads_g : natural := 1; + -- active level of reset_i + reset_level_g : natural := 0; + -- active level of the button outputs + button_level_g : natural := 0; + -- number of clk_i periods during 6us + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + +end snespad; + + +architecture struct of snespad is + + component snespad_ctrl + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + end component snespad_ctrl; + + component snespad_pad + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + pad_data_i : in std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + end component snespad_pad; + + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + signal save_buttons_s : boolean; + +begin + + ctrl_b : snespad_ctrl + generic map ( + reset_level_g => reset_level_g, + clocks_per_6us_g => clocks_per_6us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_o => clk_en_s, + shift_buttons_o => shift_buttons_s, + save_buttons_o => save_buttons_s, + pad_clk_o => pad_clk_o, + pad_latch_o => pad_latch_o + ); + + + pads: for i in 0 to num_pads_g-1 generate + pad_b : snespad_pad + generic map ( + reset_level_g => reset_level_g, + button_level_g => button_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_i => clk_en_s, + shift_buttons_i => shift_buttons_s, + save_buttons_i => save_buttons_s, + pad_data_i => pad_data_i(i), + but_a_o => but_a_o(i), + but_b_o => but_b_o(i), + but_x_o => but_x_o(i), + but_y_o => but_y_o(i), + but_start_o => but_start_o(i), + but_sel_o => but_sel_o(i), + but_tl_o => but_tl_o(i), + but_tr_o => but_tr_o(i), + but_up_o => but_up_o(i), + but_down_o => but_down_o(i), + but_left_o => but_left_o(i), + but_right_o => but_right_o(i) + ); + end generate; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 38) @@ -0,0 +1,44 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_comp is + + component snespad + generic ( + num_pads_g : natural := 1; + reset_level_g : natural := 0; + button_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + end component snespad; + +end snespad_comp; Index: gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_ctrl_rtl_c0 of snespad_ctrl is + + for rtl + end for; + +end snespad_ctrl_rtl_c0; Index: gamepads/trunk/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_pad_rtl_c0 of snespad_pad is + + for rtl + end for; + +end snespad_pad_rtl_c0; Index: gamepads/trunk/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 38) @@ -0,0 +1,94 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_pack is + + constant num_buttons_c : natural := 12; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; + + function button_active_f(state : in std_logic; ref : in natural) return std_logic; + function button_reset_f(ref : in natural) return std_logic; + function "=" (a : std_logic; b : integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside the SNES packet + ----------------------------------------------------------------------------- + constant but_pos_b_c : natural := 11; + constant but_pos_y_c : natural := 10; + constant but_pos_sel_c : natural := 9; + constant but_pos_start_c : natural := 8; + constant but_pos_up_c : natural := 7; + constant but_pos_down_c : natural := 6; + constant but_pos_left_c : natural := 5; + constant but_pos_right_c : natural := 4; + constant but_pos_a_c : natural := 3; + constant but_pos_x_c : natural := 2; + constant but_pos_tl_c : natural := 1; + constant but_pos_tr_c : natural := 0; + +end snespad_pack; + + +package body snespad_pack is + + function button_active_f(state : in std_logic; ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := state; + else + result_v := not state; + end if; + + return result_v; + end button_active_f; + + function button_reset_f(ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := '1'; + else + result_v := '0'; + end if; + + return result_v; + end button_reset_f; + + function "=" (a : std_logic; b : integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + + +end snespad_pack; Index: gamepads/trunk/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- gamepads/trunk/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) +++ gamepads/trunk/snespad/rtl/vhdl/snespad-c.vhd (revision 38) @@ -0,0 +1,25 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_struct_c0 of snespad is + + for struct + for ctrl_b : snespad_ctrl + use configuration work.snespad_ctrl_rtl_c0; + end for; + + for pads + for pad_b : snespad_pad + use configuration work.snespad_pad_rtl_c0; + end for; + end for; + end for; + +end snespad_struct_c0; Index: gamepads/trunk/snespad/snespad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/trunk/snespad/snespad.png =================================================================== --- gamepads/trunk/snespad/snespad.png (nonexistent) +++ gamepads/trunk/snespad/snespad.png (revision 38)
gamepads/trunk/snespad/snespad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/trunk/snespad/COMPILE_LIST =================================================================== --- gamepads/trunk/snespad/COMPILE_LIST (nonexistent) +++ gamepads/trunk/snespad/COMPILE_LIST (revision 38) @@ -0,0 +1,15 @@ + +Compile list for the SNESpad core +================================= +Version: $Date: 2004-10-06 16:43:55 $ + +rtl/vhdl/snespad_comp-pack.vhd +rtl/vhdl/snespad_pack-p.vhd +rtl/vhdl/snespad_ctrl.vhd +rtl/vhdl/snespad_pad.vhd +rtl/vhdl/snespad.vhd +bench/vhdl/tb.vhd +rtl/vhdl/snespad_ctrl-c.vhd +rtl/vhdl/snespad_pad-c.vhd +rtl/vhdl/snespad-c.vhd +bench/vhdl/tb-c.vhd Index: gamepads/trunk/snespad/README =================================================================== --- gamepads/trunk/snespad/README (nonexistent) +++ gamepads/trunk/snespad/README (revision 38) @@ -0,0 +1,128 @@ + +README for the SNESpad core +=========================== +Version: $Date: 2004-10-06 16:42:15 $ + + +Description +----------- + +The SNESpad core manages one or more gamepads of the Super Nintendo +Entertainment System in parallel. The button information is provided +statically at a simple interface with dedicated signal lines. +The core has to be configured to fit into the integrating system. Details +about this are given in the section "Integration" below. + + +Integration +----------- + +The interface of the SNESpad core is straight forward. It requires: + + * a clock signal which is evaluated on the rising edge by the internal + registers an asynchronous reset (active level is configurable) + * connections to the gamepad(s) + +The button outputs should be self-describing. + +Configuration of the core is done via generics in the instantiation. There are +four generic parameters: + + * num_pads_g - Number of pads connected to this controller instance (1 to n) + * reset_level_g - Active level of the asynchronous reset at port reset_i + (0 = low active, 1 = high active) + * button_level_g - Active level of the button outputs + (0 = low active, 1 = high active) + * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) + +Button outputs and pad data input are arrays of num_pads_g width. The +assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its +button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. + +The communication to the SNES gamepad relies on a timebase of approximately +6 us. It is therefore necessary to adjust the counters inside the core via the +clock_per_6us_g generic parameter. Let's assume an example where the system +clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the +generic has to be set to 6 x 20 = 120. + + +Adapter Hardware +---------------- + +The required hardware setup is pretty simple if you reuse the connector of a +SNES console. It is quite robust and offers all connections centrally on the +bottom side of the PCB at the pins for the cable socket. In addition, you will +need an external 5V power source. Such a configuration is shown in the +following picture. + +Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 +are the Data Clock for Pad 1 and Pad 2, respectively. They have to be +connected together to pad_clock_i as the core clocks both pads +simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial +Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. + +See snespad.png + + +Verification +------------ + +The SNESpad core comes with a simple testbench that simulates two SNES +gamepads. Serial information is sent to the core and the reported button +states are compared against the input. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +snespad + | + \--+-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * Gamepads project at OpenCores.org + http://www.opencores.org/projects.cgi/web/gamepads/overview + + * The Hardware Book + http://www.hardwarebook.net/connector/userinput/snescontroller.html + + * Linux gamecon driver + http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: gamepads/trunk/snespad/bench/vhdl/tb.vhd =================================================================== --- gamepads/trunk/snespad/bench/vhdl/tb.vhd (nonexistent) +++ gamepads/trunk/snespad/bench/vhdl/tb.vhd (revision 38) @@ -0,0 +1,225 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.snespad_pack.all; +use work.snespad_comp.snespad; + +architecture behav of tb is + + constant period_c : time := 100 ns; + constant num_pads_c : natural := 2; + constant reset_level_c : natural := 0; + constant button_level_c : natural := 0; + + + signal clk_s : std_logic; + signal reset_s : std_logic; + + signal pad_clk_s : std_logic; + signal pad_latch_s : std_logic; + signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); + + type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); + signal buttons_s : buttons_t; + + signal buttons0_s, + buttons1_s : std_logic_vector(11 downto 0); + + +begin + + dut : snespad + generic map ( + num_pads_g => 2, + reset_level_g => reset_level_c, + button_level_g => button_level_c, + clocks_per_6us_g => 60 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_clk_o => pad_clk_s, + pad_latch_o => pad_latch_s, + pad_data_i => pad_data_s, + but_a_o => buttons_s(but_pos_a_c), + but_b_o => buttons_s(but_pos_b_c), + but_x_o => buttons_s(but_pos_x_c), + but_y_o => buttons_s(but_pos_y_c), + but_start_o => buttons_s(but_pos_start_c), + but_sel_o => buttons_s(but_pos_sel_c), + but_tl_o => buttons_s(but_pos_tl_c), + but_tr_o => buttons_s(but_pos_tr_c), + but_up_o => buttons_s(but_pos_up_c), + but_down_o => buttons_s(but_pos_down_c), + but_left_o => buttons_s(but_pos_left_c), + but_right_o => buttons_s(but_pos_right_c) + ); + + buttons: process (buttons_s) + begin + for i in 0 to 11 loop + buttons0_s(i) <= buttons_s(i)(0); + buttons1_s(i) <= buttons_s(i)(1); + end loop; + end process buttons; + + ----------------------------------------------------------------------------- + -- DUT Stimuli + ----------------------------------------------------------------------------- + stimuli: process + + procedure dispatch(pad : in natural; + packet : in std_logic_vector(11 downto 0)) is + begin + + wait until pad_latch_s = '0'; + for i in 11 downto 0 loop + wait until pad_clk_s = '0'; + pad_data_s(pad) <= packet(i); + wait until pad_clk_s = '1'; + end loop; + + wait for period_c; + + assert pad_latch_s = '1' + report "Latch not deasserted!" + severity error; + + wait for period_c; + for i in 11 downto 0 loop + assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) + report "Mismatch for received vs. sent buttons!" + severity error; + end loop; + + end dispatch; + + begin + pad_data_s <= (others => '1'); + + wait until reset_s = '1'; + wait for period_c * 4; + + for pad in 0 to 1 loop + dispatch(pad, packet => "000000000000"); + dispatch(pad, packet => "111111111111"); + dispatch(pad, packet => "010101010101"); + dispatch(pad, packet => "101010101010"); + dispatch(pad, packet => "100000000000"); + dispatch(pad, packet => "010000000000"); + dispatch(pad, packet => "001000000000"); + dispatch(pad, packet => "000100000000"); + dispatch(pad, packet => "000010000000"); + dispatch(pad, packet => "000001000000"); + dispatch(pad, packet => "000000100000"); + dispatch(pad, packet => "000000010000"); + dispatch(pad, packet => "000000001000"); + dispatch(pad, packet => "000000000100"); + dispatch(pad, packet => "000000000010"); + dispatch(pad, packet => "000000000001"); + end loop; + + + wait for period_c * 4; + assert false + report "End of simulation reached." + severity failure; + + end process stimuli; + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:05:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/snespad/bench/vhdl/tb-c.vhd =================================================================== --- gamepads/trunk/snespad/bench/vhdl/tb-c.vhd (nonexistent) +++ gamepads/trunk/snespad/bench/vhdl/tb-c.vhd (revision 38) @@ -0,0 +1,20 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for dut : snespad + use configuration work.snespad_struct_c0; + end for; + end for; + +end tb_behav_c0; Index: gamepads/trunk/snespad/sim/rtl_sim/Makefile =================================================================== --- gamepads/trunk/snespad/sim/rtl_sim/Makefile (nonexistent) +++ gamepads/trunk/snespad/sim/rtl_sim/Makefile (revision 38) @@ -0,0 +1,82 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd + +work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd +work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ + work/snespad_ctrl.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd + +work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd +work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd + +work/snespad.o: $(RTL_DIR)/snespad.vhd \ + work/snespad_pack-p.o \ + work/snespad_ctrl.o \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad.vhd +work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ + work/snespad.o \ + work/snespad_ctrl-c.o \ + work/snespad_pad-c.o + $(ANALYZE) $(RTL_DIR)/snespad-c.vhd + +work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd + $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/snespad_comp-pack.o \ + work/snespad.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/snespad-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: gamepads/trunk/gcpad/COMPILE_LIST =================================================================== --- gamepads/trunk/gcpad/COMPILE_LIST (nonexistent) +++ gamepads/trunk/gcpad/COMPILE_LIST (revision 38) @@ -0,0 +1,23 @@ + +Compile list for the GCpad core +=============================== +Version: $Date: 2004-10-28 20:00:09 $ + +rtl/vhdl/gcpad_pack-p.vhd +rtl/vhdl/gcpad_comp-p.vhd +rtl/vhdl/gcpad_ctrl.vhd +rtl/vhdl/gcpad_tx.vhd +rtl/vhdl/gcpad_sampler.vhd +rtl/vhdl/gcpad_rx.vhd +rtl/vhdl/gcpad_basic.vhd +rtl/vhdl/gcpad_full.vhd +bench/vhdl/gcpad_mod.vhd +bench/vhdl/tb.vhd +rtl/vhdl/gcpad_ctrl-c.vhd +rtl/vhdl/gcpad_tx-c.vhd +rtl/vhdl/gcpad_sampler-c.vhd +rtl/vhdl/gcpad_rx-c.vhd +rtl/vhdl/gcpad_basic-c.vhd +rtl/vhdl/gcpad_full-c.vhd +bench/vhdl/gcpad_mod-c.vhd +bench/vhdl/tb-c.vhd Index: gamepads/trunk/gcpad/README =================================================================== --- gamepads/trunk/gcpad/README (nonexistent) +++ gamepads/trunk/gcpad/README (revision 38) @@ -0,0 +1,114 @@ + +README for the GCpad core +========================= +Version: $Date: 2004-10-28 20:00:12 $ + + +Description +----------- + +The GCpad core interfaces to the gamepad used with the Nintendo Gamecube video +gaming system. The core communicates with the gamepad using its proprietary +communication protocol and offers the retrieved information for further +processing. + +To suit the needs of the integrating system, two different flavors of the core +are available: + + * For simple applications the basic flavor manages all communication issues + with the gamepad and provides the current status of the buttons and analog + axes at its interface. The integrating system does not need to interfere + with gamepad communication and can statically read the button and axes + status information. + + * The full flavor allows full control of the gamepad communication by the + integrating system. This flavor offers a command and response interface + which is driven by the system to send arbitrary commands to the + gamepad. The response of the gamepad is available for further processing. + + +Connecting the Pad +------------------ + +Information is exchanged between the gamepad and the host side over a single +wire. Both sides seem to implement an open-collector style output +driver. Therefore, an external pull-up resistor of 1kOhm to the 3.43V supply +is required at the DATA line. +Sticking exactly to the 3.3V supply seems not to be necessary. I use the 3.3V +supply which is available from the FPGA board. Please note that the 5V supply +is required for certain controller types even if the rumble motor is not used. + +The connector seems to be a special type designed for the Gamecube. It might +be hard to find a matching counterpart. If you do not want to rip up the +controller cable then your alternative option might be to reuse an extension +cable. They are quite cheap and pass through all required wires. Just remove +the connector that is plugged into the console and attach your favorite +standard connector. + +See gcpad.png. + + +Verification +------------ + +The GCpad core comes with a simple testbench that includes a simulation model +of a Gamecube controller. Serial information is sent to the core and the +reported button states are compared against the input. Both flavors are tested +in parallel there. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +gcpad + | + \--+-- doc : Integration Manual + | + +-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * James' excellent page covering many details of the Gamecube controller + protocol + http://www.int03.co.uk/crema/hardware/gamecube/gc-control.htm + + * Yet Another Gamecube Documentation + http://www.gc-linux.org/docs/yagcd/index.html + Refer to section 5.8, 9.1 and 9.2. Index: gamepads/trunk/gcpad/gcpad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/trunk/gcpad/gcpad.png =================================================================== --- gamepads/trunk/gcpad/gcpad.png (nonexistent) +++ gamepads/trunk/gcpad/gcpad.png (revision 38)
gamepads/trunk/gcpad/gcpad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/trunk/gcpad/doc/Integration Manual.sxw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/trunk/gcpad/doc/Integration Manual.sxw =================================================================== --- gamepads/trunk/gcpad/doc/Integration Manual.sxw (nonexistent) +++ gamepads/trunk/gcpad/doc/Integration Manual.sxw (revision 38)
gamepads/trunk/gcpad/doc/Integration Manual.sxw Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/trunk/gcpad/doc/Integration Manual.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/trunk/gcpad/doc/Integration Manual.pdf =================================================================== --- gamepads/trunk/gcpad/doc/Integration Manual.pdf (nonexistent) +++ gamepads/trunk/gcpad/doc/Integration Manual.pdf (revision 38)
gamepads/trunk/gcpad/doc/Integration Manual.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/trunk/gcpad/bench/vhdl/tb.vhd =================================================================== --- gamepads/trunk/gcpad/bench/vhdl/tb.vhd (nonexistent) +++ gamepads/trunk/gcpad/bench/vhdl/tb.vhd (revision 38) @@ -0,0 +1,676 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- GCpad controller core +-- +-- $Id: tb.vhd,v 1.4 2004-10-10 20:19:49 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.gcpad_pack.all; +use work.gcpad_comp.gcpad_basic; +use work.gcpad_comp.gcpad_full; + +architecture behav of tb is + + ----------------------------------------------------------------------------- + -- Some known commands for the GC controller + ----------------------------------------------------------------------------- + constant cmd_get_id_c : std_logic_vector(7 downto 0) := "00000000"; + constant cmd_poll_c : std_logic_vector(7 downto 0) := "01000000"; + + + component gcpad_mod + generic ( + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + pad_data_io : inout std_logic; + rx_data_i : in std_logic_vector(63 downto 0) + ); + end component; + + constant period_c : time := 100 ns; + constant reset_level_c : natural := 0; + constant clocks_per_1us_c : natural := 10; + + signal clk_s : std_logic; + signal reset_s : std_logic; + + -- signals for basic gcpad + signal stimuli_1_end_s : boolean; + signal pad_data_1_s : std_logic; + signal buttons_1_s : std_logic_vector(64 downto 0); + signal pad_request_1_s : std_logic; + signal pad_avail_1_s : std_logic; + signal pad_model_data_1_s : std_logic_vector(63 downto 0); + + -- signals for full gcpad + signal stimuli_2_end_s : boolean; + signal pad_data_2_s : std_logic; + signal pad_request_2_s : std_logic; + signal pad_avail_2_s : std_logic; + signal pad_timeout_2_s : std_logic; + signal tx_size_2_s : std_logic_vector( 1 downto 0); + signal tx_command_2_s : std_logic_vector(23 downto 0); + signal rx_size_2_s : std_logic_vector( 3 downto 0); + signal rx_data_2_s : std_logic_vector(63 downto 0); + signal pad_model_data_2_s : std_logic_vector(63 downto 0); + +begin + + basic_b : gcpad_basic + generic map ( + reset_level_g => reset_level_c, + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_request_i => pad_request_1_s, + pad_avail_o => pad_avail_1_s, + pad_data_io => pad_data_1_s, + but_a_o => buttons_1_s(56), + but_b_o => buttons_1_s(57), + but_x_o => buttons_1_s(58), + but_y_o => buttons_1_s(59), + but_z_o => buttons_1_s(52), + but_start_o => buttons_1_s(60), + but_tl_o => buttons_1_s(54), + but_tr_o => buttons_1_s(53), + but_left_o => buttons_1_s(48), + but_right_o => buttons_1_s(49), + but_up_o => buttons_1_s(51), + but_down_o => buttons_1_s(50), + ana_joy_x_o => buttons_1_s(47 downto 40), + ana_joy_y_o => buttons_1_s(39 downto 32), + ana_c_x_o => buttons_1_s(31 downto 24), + ana_c_y_o => buttons_1_s(23 downto 16), + ana_l_o => buttons_1_s(15 downto 8), + ana_r_o => buttons_1_s( 7 downto 0) + ); + + buttons_1_s(64) <= '0'; + buttons_1_s(63 downto 61) <= (others => '0'); + buttons_1_s(55) <= '1'; + + + full_b: gcpad_full + generic map ( + reset_level_g => reset_level_c, + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_request_i => pad_request_2_s, + pad_avail_o => pad_avail_2_s, + pad_timeout_o => pad_timeout_2_s, + tx_size_i => tx_size_2_s, + tx_command_i => tx_command_2_s, + rx_size_i => rx_size_2_s, + rx_data_o => rx_data_2_s, + pad_data_io => pad_data_2_s + ); + + + pad_1 : gcpad_mod + generic map ( + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + pad_data_io => pad_data_1_s, + rx_data_i => pad_model_data_1_s + ); + + + ----------------------------------------------------------------------------- + -- Process stimuli_pad_1 + -- + -- Executes test stimuli with Pad 1, the gcpad_basic flavour. + -- + stimuli_pad_1: process + + --------------------------------------------------------------------------- + -- Procedure poll_pad + -- + -- Requests the status of Pad 1 and checks the received data. + -- + procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + pad_model_data_1_s <= packet; + + -- send request; + pad_request_1_s <= '1'; + wait for 1 * period_c; + pad_request_1_s <= '0'; + + wait for 10 * 40 * period_c; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + + -- check result + for i in 0 to packet'high loop + assert packet(i) = buttons_1_s(i) + report "Button mismatch on Pad 1!" + severity error; + end loop; + + end poll_pad; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad + -- + -- Generates a timeout in gcpad_basic by disturbing the communication. + -- + procedure timeout_gcpad is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- send request; + pad_request_1_s <= '1'; + wait for 1 * period_c; + pad_request_1_s <= '0'; + + wait for 2 * period_c; + + -- disturb communication + pad_data_1_s <= 'X'; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + pad_data_1_s <= 'H'; + wait for 10 * period_c; + + end timeout_gcpad; + -- + --------------------------------------------------------------------------- + + begin + stimuli_1_end_s <= false; + + pad_data_1_s <= 'H'; + pad_request_1_s <= '0'; + pad_model_data_1_s <= (others => '0'); + + wait until reset_s = '1'; + wait for period_c * 4; + + timeout_gcpad; + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + wait for clocks_per_1us_c * 100 * period_c; + poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); + poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); + poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); + + + wait for period_c * 2*40; + stimuli_1_end_s <= true; + wait; + + end process stimuli_pad_1; + -- + ----------------------------------------------------------------------------- + + + pad_2 : gcpad_mod + generic map ( + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + pad_data_io => pad_data_2_s, + rx_data_i => pad_model_data_2_s + ); + + + ----------------------------------------------------------------------------- + -- Process stimuli_pad_2 + -- + -- Executes test stimuli with Pad 2, the gcpad_full flavour. + -- + stimuli_pad_2: process + + --------------------------------------------------------------------------- + -- Procedure issue_command + -- + -- Sets the transmitter command for Pad 2 and starts the request. + -- + procedure issue_command(cmd : in std_logic_vector(23 downto 0); + size : in std_logic_vector( 1 downto 0)) is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + tx_command_2_s <= cmd; + tx_size_2_s <= size; + -- send request; + pad_request_2_s <= '1'; + wait for 1 * period_c; + pad_request_2_s <= '0'; + + end issue_command; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure poll_pad + -- + -- Requests the status of Pad 2 and checks the received data. + -- + procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- set up model answer + pad_model_data_2_s <= packet; + -- set expected number of bytes for gcpad_full + rx_size_2_s <= "1000"; + + cmd_v(23 downto 16) := cmd_poll_c; + cmd_v(15 downto 0) := "0000001100000010"; + issue_command(cmd => cmd_v, + size => "11"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '0' + report "Timout signalled on Pad 2 during status polling!" + severity error; + + -- check result + for i in 0 to packet'high loop + assert packet(i) = rx_data_2_s(i) + report "Data mismatch on Pad 2!" + severity error; + end loop; + + end poll_pad; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad_x + -- + -- Generates a timeout in gcpad_full by disturbing the communication. + -- + procedure timeout_gcpad_x is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + pad_model_data_2_s <= (others => '0'); + rx_size_2_s <= "1000"; + + + cmd_v(23 downto 16) := cmd_poll_c; + cmd_v(15 downto 0) := "0000001100000010"; + issue_command(cmd => cmd_v, + size => "11"); + + -- disturb communication + pad_data_2_s <= 'X'; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + pad_data_2_s <= 'H'; + wait for 10 * period_c; + + assert pad_timeout_2_s = '1' + report "No timeout indicated on Pad 2 when communication has been disturbed!" + severity error; + + end timeout_gcpad_x; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad_short + -- + -- Generates a timeout in gcpad_full by requesting too many bytes for + -- a "get id" command. + -- + procedure timeout_gcpad_short is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- expect too many number of bytes + -- command is "get id", will yield 3 bytes, but 8 bytes are requested + rx_size_2_s <= "1000"; + + + cmd_v(23 downto 16) := cmd_get_id_c; + cmd_v(15 downto 0) := (others => '1'); + issue_command(cmd => cmd_v, + size => "01"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '1' + report "No timout indicated on Pad 2 when too many bytes requested!" + severity error; + + end timeout_gcpad_short; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure get_id + -- + -- Requests the ID information from the GC controller model. + -- + procedure get_id is + variable cmd_v : std_logic_vector(23 downto 0); + constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + rx_size_2_s <= "0011"; + + + cmd_v(23 downto 16) := cmd_get_id_c; + cmd_v(15 downto 0) := (others => '1'); + issue_command(cmd => cmd_v, + size => "01"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '0' + report "Timout signalled on Pad 2 during get id!" + severity error; + + -- check result + for i in 0 to id_c'high loop + assert id_c(i) = rx_data_2_s(i) + report "ID mismatch on Pad 2!" + severity error; + end loop; + + end get_id; + -- + --------------------------------------------------------------------------- + +begin + stimuli_2_end_s <= false; + + pad_data_2_s <= 'H'; + pad_request_2_s <= '0'; + tx_size_2_s <= (others => '0'); + tx_command_2_s <= (others => '0'); + rx_size_2_s <= (others => '0'); + pad_model_data_2_s <= (others => '0'); + + wait until reset_s = '1'; + wait for period_c * 4; + + + get_id; + timeout_gcpad_x; + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + wait for clocks_per_1us_c * 100 * period_c; + poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); + timeout_gcpad_short; + poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); + poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); + + + wait for period_c * 2*40; + stimuli_2_end_s <= true; + wait; + + end process stimuli_pad_2; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + + + ----------------------------------------------------------------------------- + -- End of simulation detection + ----------------------------------------------------------------------------- + eos: process (stimuli_1_end_s, stimuli_2_end_s) + begin + if stimuli_1_end_s and stimuli_2_end_s then + assert false + report "End of simulation reached." + severity failure; + end if; + end process eos; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.3 2004/10/10 17:27:44 arniml +-- added second pad +-- introduced testbench model for GC controller +-- +-- Revision 1.2 2004/10/09 17:05:59 arniml +-- delay assertion of request signal by real time (instead of delta cycles) +-- +-- Revision 1.1 2004/10/07 21:24:06 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/bench/vhdl/gcpad_mod.vhd =================================================================== --- gamepads/trunk/gcpad/bench/vhdl/gcpad_mod.vhd (nonexistent) +++ gamepads/trunk/gcpad/bench/vhdl/gcpad_mod.vhd (revision 38) @@ -0,0 +1,207 @@ +------------------------------------------------------------------------------- +-- +-- A testbench model for the +-- GCpad controller core +-- +-- $Id: gcpad_mod.vhd,v 1.3 2004-10-10 20:12:06 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_mod is + + generic ( + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + pad_data_io : inout std_logic; + rx_data_i : in std_logic_vector(63 downto 0) + ); + +end gcpad_mod; + + +architecture behav of gcpad_mod is + + ----------------------------------------------------------------------------- + -- Procedure wait_n_us + -- + -- Purpose: + -- Waits for the given number of clk_i cycles. + -- + procedure wait_n_us(clocks : in natural) is + begin + wait until clk_i = '0'; + for i in 1 to clocks loop + wait until clk_i = '1'; + wait until clk_i = '0'; + end loop; + end wait_n_us; + -- + ----------------------------------------------------------------------------- + + signal time_cnt_q : natural; + signal timeout_s : boolean; + +begin + + ----------------------------------------------------------------------------- + -- Process timeout + -- + -- Purpose: + -- Detects a timeout on incoming pad data stream after 5 us of + -- inactivity. Resynchronizes upon falling edge of pad_data_io. + -- + timeout: process (clk_i, pad_data_io) + begin + if pad_data_io = '0' then + timeout_s <= false; + time_cnt_q <= 0; + elsif clk_i'event and clk_i = '1' then + time_cnt_q <= time_cnt_q + 1; + + if time_cnt_q > 5 * clocks_per_1us_g then + timeout_s <= true; + else + timeout_s <= false; + end if; + end if; + end process timeout; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process model + -- + -- Purpose: + -- Simple model for the functionality of a GC controller pad. + -- + model: process + + procedure send_packet(packet : in std_logic_vector) is + variable time_low_v, time_high_v : time; + begin + for i in packet'high downto 0 loop + if packet(i) = '0' then + time_low_v := 3 us; + time_high_v := 1 us; + else + time_low_v := 1 us; + time_high_v := 3 us; + end if; + + pad_data_io <= '0'; + wait for time_low_v; + + pad_data_io <= 'H'; + wait for time_high_v; + + end loop; + + end send_packet; + + + variable command_v : std_logic_vector(24 downto 0); + constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; + begin + + loop + command_v := (others => '1'); + pad_data_io <= 'Z'; + + ------------------------------------------------------------------------- + -- Step 1: + -- Receive command and associated data. + -- + wait until pad_data_io = '0'; + wait for 1 ns; + for i in 24 downto 0 loop + -- skip rest if timeout occured + if not timeout_s then + wait_n_us(2 * clocks_per_1us_g); + + command_v(i) := pad_data_io; + + if pad_data_io = '0' then + wait until pad_data_io /= '0'; + end if; + + -- wait for high -> low edge + wait until (pad_data_io = '0') or timeout_s; + + end if; + + wait for 1 ns; + end loop; + + ------------------------------------------------------------------------- + -- Detect command and send response + -- + case command_v(24 downto 17) is + -- get ID + when "00000000" => + wait_n_us(5 * clocks_per_1us_g); + send_packet(id_c); + send_packet("1"); + + -- poll status + when "0H000000" => + wait_n_us(5 * clocks_per_1us_g); + send_packet(rx_data_i); + send_packet("1"); + + when others => + null; + + end case; + + end loop; + end process model; + -- + ----------------------------------------------------------------------------- + +end behav; Index: gamepads/trunk/gcpad/bench/vhdl/tb-c.vhd =================================================================== --- gamepads/trunk/gcpad/bench/vhdl/tb-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/bench/vhdl/tb-c.vhd (revision 38) @@ -0,0 +1,28 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.2 2004-10-10 17:27:36 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for basic_b : gcpad_basic + use configuration work.gcpad_basic_struct_c0; + end for; + + for full_b : gcpad_full + use configuration work.gcpad_full_struct_c0; + end for; + + for all : gcpad_mod + use configuration work.gcpad_mod_behav_c0; + end for; + end for; + +end tb_behav_c0; Index: gamepads/trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd =================================================================== --- gamepads/trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/bench/vhdl/gcpad_mod-c.vhd (revision 38) @@ -0,0 +1,17 @@ +------------------------------------------------------------------------------- +-- +-- A testbench model for the +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_mod-c.vhd,v 1.1 2004-10-10 17:26:28 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_mod_behav_c0 of gcpad_mod is + + for behav + end for; + +end gcpad_mod_behav_c0; Index: gamepads/trunk/gcpad/sim/rtl_sim/Makefile =================================================================== --- gamepads/trunk/gcpad/sim/rtl_sim/Makefile (nonexistent) +++ gamepads/trunk/gcpad/sim/rtl_sim/Makefile (revision 38) @@ -0,0 +1,124 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.3 2004-10-10 17:28:21 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/gcpad_pack-p.o: $(RTL_DIR)/gcpad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/gcpad_pack-p.vhd + +work/gcpad_sampler.o: $(RTL_DIR)/gcpad_sampler.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_sampler.vhd +work/gcpad_sampler-c.o: $(RTL_DIR)/gcpad_sampler-c.vhd \ + work/gcpad_sampler.o + $(ANALYZE) $(RTL_DIR)/gcpad_sampler-c.vhd + +work/gcpad_rx.o: $(RTL_DIR)/gcpad_rx.vhd \ + work/gcpad_sampler.o \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_rx.vhd +work/gcpad_rx-c.o: $(RTL_DIR)/gcpad_rx-c.vhd \ + work/gcpad_sampler-c.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_rx-c.vhd + +work/gcpad_tx.o: $(RTL_DIR)/gcpad_tx.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_tx.vhd +work/gcpad_tx-c.o: $(RTL_DIR)/gcpad_tx-c.vhd \ + work/gcpad_tx.o + $(ANALYZE) $(RTL_DIR)/gcpad_tx-c.vhd + +work/gcpad_ctrl.o: $(RTL_DIR)/gcpad_ctrl.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_ctrl.vhd +work/gcpad_ctrl-c.o: $(RTL_DIR)/gcpad_ctrl-c.vhd \ + work/gcpad_ctrl.o + $(ANALYZE) $(RTL_DIR)/gcpad_ctrl-c.vhd + +work/gcpad_basic.o: $(RTL_DIR)/gcpad_basic.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_ctrl.o \ + work/gcpad_tx.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_basic.vhd +work/gcpad_basic-c.o: $(RTL_DIR)/gcpad_basic-c.vhd \ + work/gcpad_basic.o \ + work/gcpad_ctrl-c.o \ + work/gcpad_tx-c.o \ + work/gcpad_rx-c.o + $(ANALYZE) $(RTL_DIR)/gcpad_basic-c.vhd + +work/gcpad_full.o: $(RTL_DIR)/gcpad_full.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_ctrl.o \ + work/gcpad_tx.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_full.vhd +work/gcpad_full-c.o: $(RTL_DIR)/gcpad_full-c.vhd \ + work/gcpad_full.o \ + work/gcpad_ctrl-c.o \ + work/gcpad_tx-c.o \ + work/gcpad_rx-c.o + $(ANALYZE) $(RTL_DIR)/gcpad_full-c.vhd + +work/gcpad_comp-p.o: $(RTL_DIR)/gcpad_comp-p.vhd + $(ANALYZE) $(RTL_DIR)/gcpad_comp-p.vhd + +work/gcpad_mod.o: $(BENCH_DIR)/gcpad_mod.vhd + $(ANALYZE) $(BENCH_DIR)/gcpad_mod.vhd +work/gcpad_mod-c.o: $(BENCH_DIR)/gcpad_mod-c.vhd \ + work/gcpad_mod.o + $(ANALYZE) $(BENCH_DIR)/gcpad_mod-c.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_comp-p.o \ + work/gcpad_basic.o \ + work/gcpad_full.o \ + work/gcpad_mod.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/gcpad_basic-c.o \ + work/gcpad_full-c.o \ + work/gcpad_mod-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_full-c.vhd (revision 38) @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_full-c.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_full_struct_c0 of gcpad_full is + + for struct + for ctrl_b : gcpad_ctrl + use configuration work.gcpad_ctrl_rtl_c0; + end for; + + for tx_b : gcpad_tx + use configuration work.gcpad_tx_rtl_c0; + end for; + + for rx_b : gcpad_rx + use configuration work.gcpad_rx_rtl_c0; + end for; + end for; + +end gcpad_full_struct_c0; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_full.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_full.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_full.vhd (revision 38) @@ -0,0 +1,209 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_full.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_full is + + generic ( + -- active level of reset_i + reset_level_g : integer := 0; + -- number of clk_i periods during 1us + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Pad Communication Interface -------------------------------------------- + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_timeout_o : out std_logic; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0); + rx_size_i : in std_logic_vector( 3 downto 0); + rx_data_o : out std_logic_vector(63 downto 0); + -- Gamepad Interface ------------------------------------------------------ + pad_data_io : inout std_logic + ); + +end gcpad_full; + + +use work.gcpad_pack.all; + +architecture struct of gcpad_full is + + component gcpad_ctrl + generic ( + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + end component; + + component gcpad_tx + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_data_o : out std_logic; + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + end component; + + component gcpad_rx + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + pad_data_i : in std_logic; + rx_data_o : out buttons_t + ); + end component; + + + signal pad_data_tx_s : std_logic; + + signal tx_start_s : boolean; + signal tx_finished_s : boolean; + + signal rx_en_s, + rx_done_s, + rx_data_ok_s : boolean; + +begin + + ctrl_b : gcpad_ctrl + generic map ( + reset_level_g => reset_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_request_i => pad_request_i, + pad_avail_o => pad_avail_o, + rx_timeout_o => pad_timeout_o, + tx_start_o => tx_start_s, + tx_finished_i => tx_finished_s, + rx_en_o => rx_en_s, + rx_done_i => rx_done_s, + rx_data_ok_i => rx_data_ok_s + ); + + tx_b : gcpad_tx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_data_o => pad_data_tx_s, + tx_start_i => tx_start_s, + tx_finished_o => tx_finished_s, + tx_size_i => tx_size_i, + tx_command_i => tx_command_i + ); + + rx_b : gcpad_rx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + rx_en_i => rx_en_s, + rx_done_o => rx_done_s, + rx_data_ok_o => rx_data_ok_s, + rx_size_i => rx_size_i, + pad_data_i => pad_data_io, + rx_data_o => rx_data_o + ); + + + ----------------------------------------------------------------------------- + -- Open collector driver to pad data + ----------------------------------------------------------------------------- + pad_data_io <= '0' + when pad_data_tx_s = '0' else + 'Z'; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_comp-p.vhd (revision 38) @@ -0,0 +1,68 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package gcpad_comp is + + component gcpad_basic + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_data_io : inout std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_z_o : out std_logic; + but_start_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + ana_joy_x_o : out std_logic_vector(7 downto 0); + ana_joy_y_o : out std_logic_vector(7 downto 0); + ana_c_x_o : out std_logic_vector(7 downto 0); + ana_c_y_o : out std_logic_vector(7 downto 0); + ana_l_o : out std_logic_vector(7 downto 0); + ana_r_o : out std_logic_vector(7 downto 0) + ); + end component; + + component gcpad_full + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_timeout_o : out std_logic; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0); + rx_size_i : in std_logic_vector( 3 downto 0); + rx_data_o : out std_logic_vector(63 downto 0); + pad_data_io : inout std_logic + ); + end component; + + +end gcpad_comp; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic.vhd (revision 38) @@ -0,0 +1,275 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_basic.vhd,v 1.5 2004-10-09 22:18:35 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_basic is + + generic ( + -- active level of reset_i + reset_level_g : integer := 0; + -- number of clk_i periods during 1us + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_data_io : inout std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_z_o : out std_logic; + but_start_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + ana_joy_x_o : out std_logic_vector(7 downto 0); + ana_joy_y_o : out std_logic_vector(7 downto 0); + ana_c_x_o : out std_logic_vector(7 downto 0); + ana_c_y_o : out std_logic_vector(7 downto 0); + ana_l_o : out std_logic_vector(7 downto 0); + ana_r_o : out std_logic_vector(7 downto 0) + ); + +end gcpad_basic; + + +use work.gcpad_pack.all; + +architecture struct of gcpad_basic is + + component gcpad_ctrl + generic ( + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + end component; + + component gcpad_tx + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_data_o : out std_logic; + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + end component; + + component gcpad_rx + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + pad_data_i : in std_logic; + rx_data_o : out buttons_t + ); + end component; + + + ----------------------------------------------------------------------------- + -- constants for standard status polling + constant rx_size_c : std_logic_vector( 3 downto 0) := "1000"; + signal rx_size_s : std_logic_vector( 3 downto 0); + -- + constant tx_size_c : std_logic_vector( 1 downto 0) := "11"; + signal tx_size_s : std_logic_vector( 1 downto 0); + -- + constant tx_command_c : std_logic_vector(23 downto 0) := "010000000000001100000010"; + signal tx_command_s : std_logic_vector(23 downto 0); + -- + ----------------------------------------------------------------------------- + + signal pad_data_tx_s : std_logic; + + signal tx_start_s : boolean; + signal tx_finished_s : boolean; + + signal rx_en_s, + rx_done_s, + rx_data_ok_s : boolean; + + signal rx_data_s : buttons_t; + +begin + + rx_size_s <= rx_size_c; + tx_size_s <= tx_size_c; + tx_command_s <= tx_command_c; + + ctrl_b : gcpad_ctrl + generic map ( + reset_level_g => reset_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_request_i => pad_request_i, + pad_avail_o => pad_avail_o, + rx_timeout_o => open, + tx_start_o => tx_start_s, + tx_finished_i => tx_finished_s, + rx_en_o => rx_en_s, + rx_done_i => rx_done_s, + rx_data_ok_i => rx_data_ok_s + ); + + tx_b : gcpad_tx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_data_o => pad_data_tx_s, + tx_start_i => tx_start_s, + tx_finished_o => tx_finished_s, + tx_size_i => tx_size_s, + tx_command_i => tx_command_s + ); + + rx_b : gcpad_rx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + rx_en_i => rx_en_s, + rx_done_o => rx_done_s, + rx_data_ok_o => rx_data_ok_s, + rx_size_i => rx_size_s, + pad_data_i => pad_data_io, + rx_data_o => rx_data_s + ); + + + ----------------------------------------------------------------------------- + -- Open collector driver to pad data + ----------------------------------------------------------------------------- + pad_data_io <= '0' + when pad_data_tx_s = '0' else + 'Z'; + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + but_a_o <= rx_data_s(pos_a_c); + but_b_o <= rx_data_s(pos_b_c); + but_x_o <= rx_data_s(pos_x_c); + but_y_o <= rx_data_s(pos_y_c); + but_z_o <= rx_data_s(pos_z_c); + but_start_o <= rx_data_s(pos_start_c); + but_tl_o <= rx_data_s(pos_tl_c); + but_tr_o <= rx_data_s(pos_tr_c); + but_left_o <= rx_data_s(pos_left_c); + but_right_o <= rx_data_s(pos_right_c); + but_up_o <= rx_data_s(pos_up_c); + but_down_o <= rx_data_s(pos_down_c); + ana_joy_x_o <= rx_data_s(joy_x_high_c downto joy_x_low_c); + ana_joy_y_o <= rx_data_s(joy_y_high_c downto joy_y_low_c); + ana_c_x_o <= rx_data_s(c_x_high_c downto c_x_low_c); + ana_c_y_o <= rx_data_s(c_y_high_c downto c_y_low_c); + ana_l_o <= rx_data_s(l_high_c downto l_low_c); + ana_r_o <= rx_data_s(r_high_c downto r_low_c); + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.4 2004/10/09 17:03:43 arniml +-- enhance handshaking between gcpad_rx and gcpad_ctrl +-- +-- Revision 1.3 2004/10/09 00:33:55 arniml +-- shift rx_data to button assignment to toplevel +-- +-- Revision 1.2 2004/10/08 20:51:59 arniml +-- turn rx and tx size into bytes instead of bits +-- +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx.vhd (revision 38) @@ -0,0 +1,386 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_rx.vhd,v 1.5 2004-10-09 17:05:12 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use work.gcpad_pack.buttons_t; + +entity gcpad_rx is + + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Control Interface ------------------------------------------------------ + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + -- Gamepad Interface ------------------------------------------------------ + pad_data_i : in std_logic; + -- Data Interface --------------------------------------------------------- + rx_data_o : out buttons_t + ); + +end gcpad_rx; + + +library ieee; +use ieee.numeric_std.all; +use work.gcpad_pack.all; + +architecture rtl of gcpad_rx is + + component gcpad_sampler + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + wrap_sample_i : in boolean; + sync_sample_i : in boolean; + sample_underflow_o : out boolean; + pad_data_i : in std_logic; + pad_data_o : out std_logic; + sample_o : out std_logic + ); + end component; + + type state_t is (IDLE, + DETECT_TIMEOUT, + WAIT_FOR_1, + WAIT_FOR_0, + FINISHED); + signal state_s, + state_q : state_t; + + signal buttons_q, + shift_buttons_q : buttons_t; + signal save_buttons_s : boolean; + signal shift_buttons_s : boolean; + + signal sync_sample_s : boolean; + signal wrap_sample_s : boolean; + + -- timeout counter counts three sample undeflows + constant cnt_timeout_high_c : natural := 3; + subtype cnt_timeout_t is natural range 0 to cnt_timeout_high_c; + signal cnt_timeout_q : cnt_timeout_t; + signal timeout_q : boolean; + signal sync_timeout_s : boolean; + + + subtype num_buttons_read_t is unsigned(6 downto 0); + signal num_buttons_read_q : num_buttons_read_t; + signal all_buttons_read_s : boolean; + signal reset_num_buttons_s : boolean; + + signal pad_data_s : std_logic; + signal sample_s : std_logic; + signal sample_underflow_s : boolean; + + signal rx_done_s, + rx_done_q : boolean; + +begin + + sampler_b : gcpad_sampler + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + wrap_sample_i => wrap_sample_s, + sync_sample_i => sync_sample_s, + sample_underflow_o => sample_underflow_s, + pad_data_i => pad_data_i, + pad_data_o => pad_data_s, + sample_o => sample_s + ); + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements of this module. + -- + seq: process (reset_i, clk_i) + variable size_v : std_logic_vector(num_buttons_read_t'range); + begin + if reset_i = reset_level_g then + buttons_q <= (others => '0'); + shift_buttons_q <= (others => '0'); + + state_q <= IDLE; + + cnt_timeout_q <= cnt_timeout_high_c; + + timeout_q <= false; + + num_buttons_read_q <= (others => '0'); + rx_done_q <= false; + + elsif clk_i'event and clk_i = '1' then + state_q <= state_s; + + rx_done_q <= rx_done_s; + + -- timeout counter + if sync_timeout_s then + -- explicit preload + cnt_timeout_q <= cnt_timeout_high_c; + timeout_q <= false; + elsif cnt_timeout_q = 0 then + -- wrap-around + cnt_timeout_q <= cnt_timeout_high_c; + timeout_q <= true; + elsif sample_underflow_s then + -- decrement counter when sampler wraps around + cnt_timeout_q <= cnt_timeout_q - 1; + end if; + + + -- count remaining number of buttons to read + if shift_buttons_s then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + + if sample_s = '1' then + shift_buttons_q(0) <= '1'; + else + shift_buttons_q(0) <= '0'; + end if; + + end if; + + if reset_num_buttons_s then + -- explicit preload + size_v(num_buttons_read_t'high downto 3) := rx_size_i; + size_v(2 downto 0) := (others => '0'); + num_buttons_read_q <= unsigned(size_v); + elsif shift_buttons_s then + -- decrement counter when a button bit has been read + if not all_buttons_read_s then + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + + -- the buttons + if save_buttons_s then + buttons_q <= shift_buttons_q; + end if; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + -- indicates that all buttons have been read + all_buttons_read_s <= num_buttons_read_q = 0; + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + rx_en_i, + pad_data_s, + wrap_sample_s, + all_buttons_read_s, + sample_underflow_s, + timeout_q) + begin + sync_sample_s <= false; + sync_timeout_s <= false; + state_s <= IDLE; + shift_buttons_s <= false; + save_buttons_s <= false; + rx_done_s <= false; + reset_num_buttons_s <= false; + wrap_sample_s <= false; + + case state_q is + -- IDLE ----------------------------------------------------------------- + -- The idle state. + when IDLE => + if rx_en_i then + state_s <= DETECT_TIMEOUT; + + else + -- keep counters synchronized when no reception is running + sync_sample_s <= true; + sync_timeout_s <= true; + reset_num_buttons_s <= true; + state_s <= IDLE; + + end if; + + when DETECT_TIMEOUT => + state_s <= DETECT_TIMEOUT; + + if pad_data_s = '0' then + sync_sample_s <= true; + state_s <= WAIT_FOR_1; + + else + -- wait for timeout + wrap_sample_s <= true; + if timeout_q then + rx_done_s <= true; + state_s <= IDLE; + end if; + + end if; + + + -- WAIT_FOR_1 ----------------------------------------------------------- + -- Sample counter has expired and a 0 bit has been detected. + -- We must now wait for pad_data_s to become 1. + -- Or abort upon timeout. + when WAIT_FOR_1 => + if pad_data_s = '0' then + if not sample_underflow_s then + state_s <= WAIT_FOR_1; + else + -- timeout while reading buttons! + rx_done_s <= true; + state_s <= IDLE; + end if; + + else + state_s <= WAIT_FOR_0; + end if; + + -- WAIT_FOR_0 ----------------------------------------------------------- + -- pad_data_s is at 1 level now and no timeout occured so far. + -- We wait for the next 0 level on pad_data_s or abort upon timeout. + when WAIT_FOR_0 => + -- wait for falling edge of pad data + if pad_data_s = '0' then + sync_sample_s <= true; + + -- loop again in any case + state_s <= WAIT_FOR_1; + if not all_buttons_read_s then + shift_buttons_s <= true; + end if; + + else + if sample_underflow_s then + if all_buttons_read_s then + -- last button was read + -- so it's ok to timeout + state_s <= FINISHED; + else + -- timeout while reading buttons! + rx_done_s <= true; + state_s <= IDLE; + end if; + + else + state_s <= WAIT_FOR_0; + + end if; + + end if; + + when FINISHED => + -- finally save buttons + save_buttons_s <= true; + rx_done_s <= true; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + rx_done_o <= rx_done_q; + rx_data_ok_o <= save_buttons_s; + rx_data_o <= buttons_q; + + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.4 2004/10/09 00:33:55 arniml +-- shift rx_data to button assignment to toplevel +-- +-- Revision 1.3 2004/10/08 21:18:39 arniml +-- move sampler to separate unit +-- +-- Revision 1.2 2004/10/08 20:51:59 arniml +-- turn rx and tx size into bytes instead of bits +-- +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl.vhd (revision 38) @@ -0,0 +1,250 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_ctrl.vhd,v 1.2 2004-10-09 17:04:36 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_ctrl is + + generic ( + reset_level_g : integer := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + -- Control Interface ------------------------------------------------------ + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + +end gcpad_ctrl; + + +use work.gcpad_pack.all; + +architecture rtl of gcpad_ctrl is + + type state_t is (IDLE, + TX, + RX1_START, + RX1_WAIT, + RX2_START, + RX2_WAIT, + RX3_START, + RX3_WAIT, + RX4_START, + RX4_WAIT); + signal state_s, + state_q : state_t; + + signal set_txrx_finished_s : boolean; + signal enable_txrx_finished_s : boolean; + signal txrx_finished_q : std_logic; + + signal timeout_q : std_logic; + +begin + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements. + -- + seq: process (reset_i, clk_i) + begin + if reset_i = reset_level_g then + state_q <= IDLE; + + txrx_finished_q <= '0'; + + timeout_q <= '1'; + + elsif clk_i'event and clk_i = '1' then + state_q <= state_s; + + -- transmit/receive finished flag + if set_txrx_finished_s then + txrx_finished_q <= '1'; + elsif pad_request_i = '1' then + txrx_finished_q <= '0'; + end if; + + if pad_request_i = '1' then + timeout_q <= '1'; + elsif rx_data_ok_i then + timeout_q <= '0'; + end if; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + tx_finished_i, + rx_done_i, + pad_request_i) + begin + rx_en_o <= false; + state_s <= IDLE; + tx_start_o <= false; + set_txrx_finished_s <= false; + enable_txrx_finished_s <= false; + + case state_q is + when IDLE => + -- enable output of txrx_finished flag + -- the flag has to be suppressed while the FSM probes four times + enable_txrx_finished_s <= true; + + if pad_request_i = '1' then + state_s <= TX; + tx_start_o <= true; + else + state_s <= IDLE; + end if; + + when TX => + if not tx_finished_i then + state_s <= TX; + else + state_s <= RX1_START; + end if; + + when RX1_START => + rx_en_o <= true; + state_s <= RX1_WAIT; + + when RX1_WAIT => + if rx_done_i then + state_s <= RX2_START; + else + state_s <= RX1_WAIT; + end if; + + when RX2_START => + rx_en_o <= true; + state_s <= RX2_WAIT; + + when RX2_WAIT => + if rx_done_i then + state_s <= RX3_START; + else + state_s <= RX2_WAIT; + end if; + + when RX3_START => + rx_en_o <= true; + state_s <= RX3_WAIT; + + when RX3_WAIT => + if rx_done_i then + state_s <= RX4_START; + else + state_s <= RX3_WAIT; + end if; + + when RX4_START => + rx_en_o <= true; + state_s <= RX4_WAIT; + + when RX4_WAIT => + if rx_done_i then + state_s <= IDLE; + set_txrx_finished_s <= true; + else + state_s <= RX4_WAIT; + end if; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + pad_avail_o <= txrx_finished_q + when enable_txrx_finished_s else + '0'; + rx_timeout_o <= timeout_q + when enable_txrx_finished_s else + '0'; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler.vhd (revision 38) @@ -0,0 +1,169 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_sampler.vhd,v 1.3 2004-10-09 00:33:12 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_sampler is + + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Control Interface ------------------------------------------------------ + wrap_sample_i : in boolean; + sync_sample_i : in boolean; + sample_underflow_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + pad_data_o : out std_logic; + sample_o : out std_logic + ); + +end gcpad_sampler; + + +use work.gcpad_pack.all; + +architecture rtl of gcpad_sampler is + + signal pad_data_sync_q : std_logic_vector(1 downto 0); + signal pad_data_s : std_logic; + + constant cnt_sample_high_c : natural := clocks_per_1us_g * 4 - 1; + subtype cnt_sample_t is natural range 0 to cnt_sample_high_c; + signal cnt_zeros_q : cnt_sample_t; + signal cnt_ones_q : cnt_sample_t; + signal sample_underflow_q : boolean; + + signal more_ones_q : boolean; + +begin + + seq: process (reset_i, clk_i) + variable dec_timeout_v : boolean; + begin + if reset_i = reset_level_g then + cnt_zeros_q <= cnt_sample_high_c; + cnt_ones_q <= cnt_sample_high_c; + more_ones_q <= false; + sample_underflow_q <= false; + + pad_data_sync_q <= (others => '1'); + + elsif clk_i'event and clk_i = '1' then + -- synchronizer for pad data + pad_data_sync_q(0) <= pad_data_i; + pad_data_sync_q(1) <= pad_data_sync_q(0); + + -- sample counter + dec_timeout_v := false; + if sync_sample_i then + -- explicit preload + cnt_zeros_q <= cnt_sample_high_c; + cnt_ones_q <= cnt_sample_high_c; + else + if cnt_zeros_q = 0 then + if wrap_sample_i then + cnt_zeros_q <= cnt_sample_high_c; + end if; + dec_timeout_v := true; + elsif pad_data_s = '0' then + cnt_zeros_q <= cnt_zeros_q - 1; + end if; + + if cnt_ones_q = 0 then + if wrap_sample_i then + cnt_ones_q <= cnt_sample_high_c; + end if; + dec_timeout_v := true; + elsif pad_data_s /= '0' then + cnt_ones_q <= cnt_ones_q - 1; + end if; + end if; + + if cnt_ones_q < cnt_zeros_q then + more_ones_q <= true; + else + more_ones_q <= false; + end if; + + -- detect sample underflow + sample_underflow_q <= dec_timeout_v; + + end if; + + end process seq; + + pad_data_s <= pad_data_sync_q(1); + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + pad_data_o <= pad_data_s; + sample_o <= '1' + when more_ones_q else + '0'; + sample_underflow_o <= sample_underflow_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.2 2004/10/08 21:35:08 arniml +-- comments +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_sampler-c.vhd,v 1.1 2004-10-08 21:19:17 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_sampler_rtl_c0 of gcpad_sampler is + + for rtl + end for; + +end gcpad_sampler_rtl_c0; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_rx-c.vhd (revision 38) @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_rx-c.vhd,v 1.2 2004-10-08 21:18:39 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_rx_rtl_c0 of gcpad_rx is + + for rtl + for sampler_b : gcpad_sampler + use configuration work.gcpad_sampler_rtl_c0; + end for; + end for; + +end gcpad_rx_rtl_c0; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx.vhd (revision 38) @@ -0,0 +1,312 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_tx.vhd,v 1.2 2004-10-08 20:51:59 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_tx is + + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Pad Interface ---------------------------------------------------------- + pad_data_o : out std_logic; + -- Control Interface ------------------------------------------------------ + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + +end gcpad_tx; + + +library ieee; +use ieee.numeric_std.all; + +use work.gcpad_pack.all; + +architecture rtl of gcpad_tx is + + subtype command_t is std_logic_vector(24 downto 0); + + signal command_q : command_t; + signal load_command_s : boolean; + signal shift_bits_s : boolean; + + constant cnt_long_c : natural := clocks_per_1us_g * 4 - 1; + constant cnt_short_c : natural := clocks_per_1us_g * 1 - 1; + subtype cnt_t is natural range 0 to cnt_long_c; + signal cnt_q : cnt_t; + signal cnt_load_long_s : boolean; + signal cnt_load_short_s : boolean; + signal cnt_finished_s : boolean; + + subtype num_bits_t is unsigned(4 downto 0); + signal num_bits_q : num_bits_t; + signal all_bits_sent_s : boolean; + signal cnt_bit_s : boolean; + + type state_t is (IDLE, + LOAD_COMMAND, + SEND_COMMAND_PHASE1, + SEND_COMMAND_PHASE2); + signal state_s, + state_q : state_t; + + signal pad_data_s, + pad_data_q : std_logic; + + signal tx_finished_s, + tx_finished_q : boolean; + +begin + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements of this module. + -- + seq: process (reset_i, clk_i) + variable size_v : std_logic_vector(num_bits_t'range); + begin + if reset_i = reset_level_g then + command_q <= (others => '1'); + cnt_q <= cnt_long_c; + num_bits_q <= (others => '0'); + pad_data_q <= '1'; + state_q <= IDLE; + tx_finished_q <= false; + + elsif clk_i'event and clk_i = '1' then + tx_finished_q <= tx_finished_s; + + -- fsm + state_q <= state_s; + + -- command register and bit counter + if load_command_s then + command_q(24 downto 1) <= tx_command_i; + command_q(0) <= '1'; + + -- workaround for GHDL concatenation + size_v(num_bits_t'high downto 3) := tx_size_i; + size_v(2 downto 0) := (others => '0'); + num_bits_q <= unsigned(size_v) + 1; + + else + if shift_bits_s then + command_q(command_t'high downto 1) <= command_q(command_t'high-1 downto 0); + end if; + + if cnt_bit_s and not all_bits_sent_s then + num_bits_q <= num_bits_q - 1; + end if; + + end if; + + + -- PWM counter + if cnt_load_long_s then + cnt_q <= cnt_long_c; + elsif cnt_load_short_s then + cnt_q <= cnt_short_c; + else + if not cnt_finished_s then + cnt_q <= cnt_q - 1; + end if; + end if; + + -- PWM output = pad data + pad_data_q <= pad_data_s; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + -- indicates that PWM counter has finished + cnt_finished_s <= cnt_q = 0; + -- indicates that all bits have been sent + all_bits_sent_s <= num_bits_q = 0; + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + cnt_finished_s, + all_bits_sent_s, + tx_start_i, + command_q) + begin + -- defaul assignments + state_s <= IDLE; + shift_bits_s <= false; + cnt_load_long_s <= false; + cnt_load_short_s <= false; + pad_data_s <= '1'; + tx_finished_s <= false; + load_command_s <= false; + cnt_bit_s <= false; + + case state_q is + -- IDLE ----------------------------------------------------------------- + -- The idle state. + -- Advances when the transmitter is started + when IDLE => + if tx_start_i then + state_s <= LOAD_COMMAND; + else + state_s <= IDLE; + end if; + + + -- LOAD_COMMAND --------------------------------------------------------- + -- Prepares the first and all subsequent low phases on pad_data_s. + when LOAD_COMMAND => + state_s <= SEND_COMMAND_PHASE2; + load_command_s <= true; + + -- start counter once to kick the loop + cnt_load_short_s <= true; + + + -- SEND_COMMAND_PHASE1 -------------------------------------------------- + -- Wait for completion of phase 1, the low phase of pad_data_s. + -- The high phase is prepared when the PWM counter has expired. + when SEND_COMMAND_PHASE1 => + state_s <= SEND_COMMAND_PHASE1; + pad_data_s <= '0'; + + if cnt_finished_s then + -- initiate high phase + pad_data_s <= '1'; + if command_q(command_t'high) = '1' then + cnt_load_long_s <= true; + else + cnt_load_short_s <= true; + end if; + + state_s <= SEND_COMMAND_PHASE2; + -- provide next bit + shift_bits_s <= true; + + end if; + + -- SEND_COMMAND_PHASE2 -------------------------------------------------- + -- Wait for completion of phase 2, the high phase of pad_data_s. + -- The next low phase is prepared when the PWM counter has expired. + -- In case all bits have been sent, the tx handshake is asserted and + -- the FSM returns to IDLE state. + when SEND_COMMAND_PHASE2 => + pad_data_s <= '1'; + state_s <= SEND_COMMAND_PHASE2; + + if cnt_finished_s then + if not all_bits_sent_s then + -- more bits to send so loop + + -- prepare low phase + if command_q(command_t'high) = '1' then + cnt_load_short_s <= true; + else + cnt_load_long_s <= true; + end if; + + -- decrement bit counter + cnt_bit_s <= true; + + state_s <= SEND_COMMAND_PHASE1; + + else + -- all bits sent, we're finished + tx_finished_s <= true; + state_s <= IDLE; + + end if; + + end if; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + tx_finished_o <= tx_finished_q; + pad_data_o <= pad_data_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_basic-c.vhd (revision 38) @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_basic-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_basic_struct_c0 of gcpad_basic is + + for struct + for ctrl_b : gcpad_ctrl + use configuration work.gcpad_ctrl_rtl_c0; + end for; + + for tx_b : gcpad_tx + use configuration work.gcpad_tx_rtl_c0; + end for; + + for rx_b : gcpad_rx + use configuration work.gcpad_rx_rtl_c0; + end for; + end for; + +end gcpad_basic_struct_c0; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_ctrl-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_ctrl_rtl_c0 of gcpad_ctrl is + + for rtl + end for; + +end gcpad_ctrl_rtl_c0; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_pack-p.vhd (revision 38) @@ -0,0 +1,98 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_pack-p.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package gcpad_pack is + + subtype analog_axis_t is std_logic_vector(7 downto 0); + constant num_buttons_c : natural := 64; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + + function "=" (a : in std_logic; b : in integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside a gc packet + ----------------------------------------------------------------------------- + -- byte 7 ------------------------------------------------------------------- + constant pos_errstat_c : natural := 63; + constant pos_errlatch_c : natural := 62; + constant pos_unknown1_c : natural := 61; + constant pos_start_c : natural := 60; + constant pos_y_c : natural := 59; + constant pos_x_c : natural := 58; + constant pos_b_c : natural := 57; + constant pos_a_c : natural := 56; + -- byte 6 ------------------------------------------------------------------- + constant pos_unknown2_c : natural := 55; + constant pos_tl_c : natural := 54; + constant pos_tr_c : natural := 53; + constant pos_z_c : natural := 52; + constant pos_up_c : natural := 51; + constant pos_down_c : natural := 50; + constant pos_right_c : natural := 49; + constant pos_left_c : natural := 48; + -- byte 5 ------------------------------------------------------------------- + constant joy_x_high_c : natural := 47; + constant joy_x_low_c : natural := 40; + -- byte 4 ------------------------------------------------------------------- + constant joy_y_high_c : natural := 39; + constant joy_y_low_c : natural := 32; + -- byte 3 ------------------------------------------------------------------- + constant c_x_high_c : natural := 31; + constant c_x_low_c : natural := 24; + -- byte 2 ------------------------------------------------------------------- + constant c_y_high_c : natural := 23; + constant c_y_low_c : natural := 16; + -- byte 1 ------------------------------------------------------------------- + constant l_high_c : natural := 15; + constant l_low_c : natural := 8; + -- byte 0 ------------------------------------------------------------------- + constant r_high_c : natural := 7; + constant r_low_c : natural := 0; + +end gcpad_pack; + + +package body gcpad_pack is + + ----------------------------------------------------------------------------- + -- Function = + -- + -- Compares a std_logic with an integer. + -- + function "=" (a : in std_logic; b : in integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + -- + ----------------------------------------------------------------------------- + +end gcpad_pack; Index: gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd =================================================================== --- gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd (nonexistent) +++ gamepads/trunk/gcpad/rtl/vhdl/gcpad_tx-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_tx-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_tx_rtl_c0 of gcpad_tx is + + for rtl + end for; + +end gcpad_tx_rtl_c0; Index: gamepads/trunk/COPYING =================================================================== --- gamepads/trunk/COPYING (nonexistent) +++ gamepads/trunk/COPYING (revision 38) @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) 19yy + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) 19yy name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. Index: gamepads/trunk =================================================================== --- gamepads/trunk (nonexistent) +++ gamepads/trunk (revision 38)
gamepads/trunk Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: gamepads/web_uploads =================================================================== --- gamepads/web_uploads (nonexistent) +++ gamepads/web_uploads (revision 38)
gamepads/web_uploads Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: gamepads/branches =================================================================== --- gamepads/branches (nonexistent) +++ gamepads/branches (revision 38)
gamepads/branches Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: gamepads/tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf (revision 38)
gamepads/tags/rel_0_2_beta/gcpad/doc/Integration Manual.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/tags/rel_0_2_beta/gcpad/COMPILE_LIST =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/COMPILE_LIST (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/COMPILE_LIST (revision 38) @@ -0,0 +1,23 @@ + +Compile list for the GCpad core +=============================== +Version: $Date: 2004-10-28 20:00:09 $ + +rtl/vhdl/gcpad_pack-p.vhd +rtl/vhdl/gcpad_comp-p.vhd +rtl/vhdl/gcpad_ctrl.vhd +rtl/vhdl/gcpad_tx.vhd +rtl/vhdl/gcpad_sampler.vhd +rtl/vhdl/gcpad_rx.vhd +rtl/vhdl/gcpad_basic.vhd +rtl/vhdl/gcpad_full.vhd +bench/vhdl/gcpad_mod.vhd +bench/vhdl/tb.vhd +rtl/vhdl/gcpad_ctrl-c.vhd +rtl/vhdl/gcpad_tx-c.vhd +rtl/vhdl/gcpad_sampler-c.vhd +rtl/vhdl/gcpad_rx-c.vhd +rtl/vhdl/gcpad_basic-c.vhd +rtl/vhdl/gcpad_full-c.vhd +bench/vhdl/gcpad_mod-c.vhd +bench/vhdl/tb-c.vhd Index: gamepads/tags/rel_0_2_beta/gcpad/README =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/README (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/README (revision 38) @@ -0,0 +1,114 @@ + +README for the GCpad core +========================= +Version: $Date: 2004-10-28 20:00:12 $ + + +Description +----------- + +The GCpad core interfaces to the gamepad used with the Nintendo Gamecube video +gaming system. The core communicates with the gamepad using its proprietary +communication protocol and offers the retrieved information for further +processing. + +To suit the needs of the integrating system, two different flavors of the core +are available: + + * For simple applications the basic flavor manages all communication issues + with the gamepad and provides the current status of the buttons and analog + axes at its interface. The integrating system does not need to interfere + with gamepad communication and can statically read the button and axes + status information. + + * The full flavor allows full control of the gamepad communication by the + integrating system. This flavor offers a command and response interface + which is driven by the system to send arbitrary commands to the + gamepad. The response of the gamepad is available for further processing. + + +Connecting the Pad +------------------ + +Information is exchanged between the gamepad and the host side over a single +wire. Both sides seem to implement an open-collector style output +driver. Therefore, an external pull-up resistor of 1kOhm to the 3.43V supply +is required at the DATA line. +Sticking exactly to the 3.3V supply seems not to be necessary. I use the 3.3V +supply which is available from the FPGA board. Please note that the 5V supply +is required for certain controller types even if the rumble motor is not used. + +The connector seems to be a special type designed for the Gamecube. It might +be hard to find a matching counterpart. If you do not want to rip up the +controller cable then your alternative option might be to reuse an extension +cable. They are quite cheap and pass through all required wires. Just remove +the connector that is plugged into the console and attach your favorite +standard connector. + +See gcpad.png. + + +Verification +------------ + +The GCpad core comes with a simple testbench that includes a simulation model +of a Gamecube controller. Serial information is sent to the core and the +reported button states are compared against the input. Both flavors are tested +in parallel there. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +gcpad + | + \--+-- doc : Integration Manual + | + +-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * James' excellent page covering many details of the Gamecube controller + protocol + http://www.int03.co.uk/crema/hardware/gamecube/gc-control.htm + + * Yet Another Gamecube Documentation + http://www.gc-linux.org/docs/yagcd/index.html + Refer to section 5.8, 9.1 and 9.2. Index: gamepads/tags/rel_0_2_beta/gcpad/gcpad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/tags/rel_0_2_beta/gcpad/gcpad.png =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/gcpad.png (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/gcpad.png (revision 38)
gamepads/tags/rel_0_2_beta/gcpad/gcpad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb.vhd (revision 38) @@ -0,0 +1,676 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- GCpad controller core +-- +-- $Id: tb.vhd,v 1.4 2004-10-10 20:19:49 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.gcpad_pack.all; +use work.gcpad_comp.gcpad_basic; +use work.gcpad_comp.gcpad_full; + +architecture behav of tb is + + ----------------------------------------------------------------------------- + -- Some known commands for the GC controller + ----------------------------------------------------------------------------- + constant cmd_get_id_c : std_logic_vector(7 downto 0) := "00000000"; + constant cmd_poll_c : std_logic_vector(7 downto 0) := "01000000"; + + + component gcpad_mod + generic ( + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + pad_data_io : inout std_logic; + rx_data_i : in std_logic_vector(63 downto 0) + ); + end component; + + constant period_c : time := 100 ns; + constant reset_level_c : natural := 0; + constant clocks_per_1us_c : natural := 10; + + signal clk_s : std_logic; + signal reset_s : std_logic; + + -- signals for basic gcpad + signal stimuli_1_end_s : boolean; + signal pad_data_1_s : std_logic; + signal buttons_1_s : std_logic_vector(64 downto 0); + signal pad_request_1_s : std_logic; + signal pad_avail_1_s : std_logic; + signal pad_model_data_1_s : std_logic_vector(63 downto 0); + + -- signals for full gcpad + signal stimuli_2_end_s : boolean; + signal pad_data_2_s : std_logic; + signal pad_request_2_s : std_logic; + signal pad_avail_2_s : std_logic; + signal pad_timeout_2_s : std_logic; + signal tx_size_2_s : std_logic_vector( 1 downto 0); + signal tx_command_2_s : std_logic_vector(23 downto 0); + signal rx_size_2_s : std_logic_vector( 3 downto 0); + signal rx_data_2_s : std_logic_vector(63 downto 0); + signal pad_model_data_2_s : std_logic_vector(63 downto 0); + +begin + + basic_b : gcpad_basic + generic map ( + reset_level_g => reset_level_c, + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_request_i => pad_request_1_s, + pad_avail_o => pad_avail_1_s, + pad_data_io => pad_data_1_s, + but_a_o => buttons_1_s(56), + but_b_o => buttons_1_s(57), + but_x_o => buttons_1_s(58), + but_y_o => buttons_1_s(59), + but_z_o => buttons_1_s(52), + but_start_o => buttons_1_s(60), + but_tl_o => buttons_1_s(54), + but_tr_o => buttons_1_s(53), + but_left_o => buttons_1_s(48), + but_right_o => buttons_1_s(49), + but_up_o => buttons_1_s(51), + but_down_o => buttons_1_s(50), + ana_joy_x_o => buttons_1_s(47 downto 40), + ana_joy_y_o => buttons_1_s(39 downto 32), + ana_c_x_o => buttons_1_s(31 downto 24), + ana_c_y_o => buttons_1_s(23 downto 16), + ana_l_o => buttons_1_s(15 downto 8), + ana_r_o => buttons_1_s( 7 downto 0) + ); + + buttons_1_s(64) <= '0'; + buttons_1_s(63 downto 61) <= (others => '0'); + buttons_1_s(55) <= '1'; + + + full_b: gcpad_full + generic map ( + reset_level_g => reset_level_c, + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_request_i => pad_request_2_s, + pad_avail_o => pad_avail_2_s, + pad_timeout_o => pad_timeout_2_s, + tx_size_i => tx_size_2_s, + tx_command_i => tx_command_2_s, + rx_size_i => rx_size_2_s, + rx_data_o => rx_data_2_s, + pad_data_io => pad_data_2_s + ); + + + pad_1 : gcpad_mod + generic map ( + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + pad_data_io => pad_data_1_s, + rx_data_i => pad_model_data_1_s + ); + + + ----------------------------------------------------------------------------- + -- Process stimuli_pad_1 + -- + -- Executes test stimuli with Pad 1, the gcpad_basic flavour. + -- + stimuli_pad_1: process + + --------------------------------------------------------------------------- + -- Procedure poll_pad + -- + -- Requests the status of Pad 1 and checks the received data. + -- + procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + pad_model_data_1_s <= packet; + + -- send request; + pad_request_1_s <= '1'; + wait for 1 * period_c; + pad_request_1_s <= '0'; + + wait for 10 * 40 * period_c; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + + -- check result + for i in 0 to packet'high loop + assert packet(i) = buttons_1_s(i) + report "Button mismatch on Pad 1!" + severity error; + end loop; + + end poll_pad; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad + -- + -- Generates a timeout in gcpad_basic by disturbing the communication. + -- + procedure timeout_gcpad is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- send request; + pad_request_1_s <= '1'; + wait for 1 * period_c; + pad_request_1_s <= '0'; + + wait for 2 * period_c; + + -- disturb communication + pad_data_1_s <= 'X'; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + pad_data_1_s <= 'H'; + wait for 10 * period_c; + + end timeout_gcpad; + -- + --------------------------------------------------------------------------- + + begin + stimuli_1_end_s <= false; + + pad_data_1_s <= 'H'; + pad_request_1_s <= '0'; + pad_model_data_1_s <= (others => '0'); + + wait until reset_s = '1'; + wait for period_c * 4; + + timeout_gcpad; + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + wait for clocks_per_1us_c * 100 * period_c; + poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); + poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); + poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); + + + wait for period_c * 2*40; + stimuli_1_end_s <= true; + wait; + + end process stimuli_pad_1; + -- + ----------------------------------------------------------------------------- + + + pad_2 : gcpad_mod + generic map ( + clocks_per_1us_g => clocks_per_1us_c + ) + port map ( + clk_i => clk_s, + pad_data_io => pad_data_2_s, + rx_data_i => pad_model_data_2_s + ); + + + ----------------------------------------------------------------------------- + -- Process stimuli_pad_2 + -- + -- Executes test stimuli with Pad 2, the gcpad_full flavour. + -- + stimuli_pad_2: process + + --------------------------------------------------------------------------- + -- Procedure issue_command + -- + -- Sets the transmitter command for Pad 2 and starts the request. + -- + procedure issue_command(cmd : in std_logic_vector(23 downto 0); + size : in std_logic_vector( 1 downto 0)) is + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + tx_command_2_s <= cmd; + tx_size_2_s <= size; + -- send request; + pad_request_2_s <= '1'; + wait for 1 * period_c; + pad_request_2_s <= '0'; + + end issue_command; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure poll_pad + -- + -- Requests the status of Pad 2 and checks the received data. + -- + procedure poll_pad(packet : in std_logic_vector(63 downto 0)) is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- set up model answer + pad_model_data_2_s <= packet; + -- set expected number of bytes for gcpad_full + rx_size_2_s <= "1000"; + + cmd_v(23 downto 16) := cmd_poll_c; + cmd_v(15 downto 0) := "0000001100000010"; + issue_command(cmd => cmd_v, + size => "11"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '0' + report "Timout signalled on Pad 2 during status polling!" + severity error; + + -- check result + for i in 0 to packet'high loop + assert packet(i) = rx_data_2_s(i) + report "Data mismatch on Pad 2!" + severity error; + end loop; + + end poll_pad; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad_x + -- + -- Generates a timeout in gcpad_full by disturbing the communication. + -- + procedure timeout_gcpad_x is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + pad_model_data_2_s <= (others => '0'); + rx_size_2_s <= "1000"; + + + cmd_v(23 downto 16) := cmd_poll_c; + cmd_v(15 downto 0) := "0000001100000010"; + issue_command(cmd => cmd_v, + size => "11"); + + -- disturb communication + pad_data_2_s <= 'X'; + + wait until pad_avail_1_s = '1'; + wait for 10 * period_c; + pad_data_2_s <= 'H'; + wait for 10 * period_c; + + assert pad_timeout_2_s = '1' + report "No timeout indicated on Pad 2 when communication has been disturbed!" + severity error; + + end timeout_gcpad_x; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure timeout_gcpad_short + -- + -- Generates a timeout in gcpad_full by requesting too many bytes for + -- a "get id" command. + -- + procedure timeout_gcpad_short is + variable cmd_v : std_logic_vector(23 downto 0); + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + -- expect too many number of bytes + -- command is "get id", will yield 3 bytes, but 8 bytes are requested + rx_size_2_s <= "1000"; + + + cmd_v(23 downto 16) := cmd_get_id_c; + cmd_v(15 downto 0) := (others => '1'); + issue_command(cmd => cmd_v, + size => "01"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '1' + report "No timout indicated on Pad 2 when too many bytes requested!" + severity error; + + end timeout_gcpad_short; + -- + --------------------------------------------------------------------------- + + + --------------------------------------------------------------------------- + -- Procedure get_id + -- + -- Requests the ID information from the GC controller model. + -- + procedure get_id is + variable cmd_v : std_logic_vector(23 downto 0); + constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; + begin + wait until clk_s'event and clk_s = '1'; + wait for 1 ns; + + rx_size_2_s <= "0011"; + + + cmd_v(23 downto 16) := cmd_get_id_c; + cmd_v(15 downto 0) := (others => '1'); + issue_command(cmd => cmd_v, + size => "01"); + + wait until pad_avail_2_s = '1'; + + assert pad_timeout_2_s = '0' + report "Timout signalled on Pad 2 during get id!" + severity error; + + -- check result + for i in 0 to id_c'high loop + assert id_c(i) = rx_data_2_s(i) + report "ID mismatch on Pad 2!" + severity error; + end loop; + + end get_id; + -- + --------------------------------------------------------------------------- + +begin + stimuli_2_end_s <= false; + + pad_data_2_s <= 'H'; + pad_request_2_s <= '0'; + tx_size_2_s <= (others => '0'); + tx_command_2_s <= (others => '0'); + rx_size_2_s <= (others => '0'); + pad_model_data_2_s <= (others => '0'); + + wait until reset_s = '1'; + wait for period_c * 4; + + + get_id; + timeout_gcpad_x; + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + wait for clocks_per_1us_c * 100 * period_c; + poll_pad(packet => "0001111111111111111111111111111111111111111111111111111111111111"); + timeout_gcpad_short; + poll_pad(packet => "0001000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000100010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000010010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000001010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000110000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000101010101010101010101010101010101010101010101010101010101010"); + poll_pad(packet => "0001010111010101010101010101010101010101010101010101010101010101"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000011000000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010100000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010010000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010001000000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000100000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000010000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000001000000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000100000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000010000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000001000000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000100000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000010000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000001000000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000100000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000010000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000001000000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000100000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000010000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000001000000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000100000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000010000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000001000000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000100000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000010000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000001000000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000100000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000010000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000001000000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000100000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000010000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000001000000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000100000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000010000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000001000000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000100000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000010000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000001000000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000100000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000010000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000001000000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000100000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000010000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000001000000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000100000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000010000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000001000000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000100000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000010000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000001000000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000100000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000010000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000001000"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000100"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000010"); + poll_pad(packet => "0000000010000000000000000000000000000000000000000000000000000001"); + + + wait for period_c * 2*40; + stimuli_2_end_s <= true; + wait; + + end process stimuli_pad_2; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + + + ----------------------------------------------------------------------------- + -- End of simulation detection + ----------------------------------------------------------------------------- + eos: process (stimuli_1_end_s, stimuli_2_end_s) + begin + if stimuli_1_end_s and stimuli_2_end_s then + assert false + report "End of simulation reached." + severity failure; + end if; + end process eos; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.3 2004/10/10 17:27:44 arniml +-- added second pad +-- introduced testbench model for GC controller +-- +-- Revision 1.2 2004/10/09 17:05:59 arniml +-- delay assertion of request signal by real time (instead of delta cycles) +-- +-- Revision 1.1 2004/10/07 21:24:06 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod.vhd (revision 38) @@ -0,0 +1,207 @@ +------------------------------------------------------------------------------- +-- +-- A testbench model for the +-- GCpad controller core +-- +-- $Id: gcpad_mod.vhd,v 1.3 2004-10-10 20:12:06 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_mod is + + generic ( + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + pad_data_io : inout std_logic; + rx_data_i : in std_logic_vector(63 downto 0) + ); + +end gcpad_mod; + + +architecture behav of gcpad_mod is + + ----------------------------------------------------------------------------- + -- Procedure wait_n_us + -- + -- Purpose: + -- Waits for the given number of clk_i cycles. + -- + procedure wait_n_us(clocks : in natural) is + begin + wait until clk_i = '0'; + for i in 1 to clocks loop + wait until clk_i = '1'; + wait until clk_i = '0'; + end loop; + end wait_n_us; + -- + ----------------------------------------------------------------------------- + + signal time_cnt_q : natural; + signal timeout_s : boolean; + +begin + + ----------------------------------------------------------------------------- + -- Process timeout + -- + -- Purpose: + -- Detects a timeout on incoming pad data stream after 5 us of + -- inactivity. Resynchronizes upon falling edge of pad_data_io. + -- + timeout: process (clk_i, pad_data_io) + begin + if pad_data_io = '0' then + timeout_s <= false; + time_cnt_q <= 0; + elsif clk_i'event and clk_i = '1' then + time_cnt_q <= time_cnt_q + 1; + + if time_cnt_q > 5 * clocks_per_1us_g then + timeout_s <= true; + else + timeout_s <= false; + end if; + end if; + end process timeout; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process model + -- + -- Purpose: + -- Simple model for the functionality of a GC controller pad. + -- + model: process + + procedure send_packet(packet : in std_logic_vector) is + variable time_low_v, time_high_v : time; + begin + for i in packet'high downto 0 loop + if packet(i) = '0' then + time_low_v := 3 us; + time_high_v := 1 us; + else + time_low_v := 1 us; + time_high_v := 3 us; + end if; + + pad_data_io <= '0'; + wait for time_low_v; + + pad_data_io <= 'H'; + wait for time_high_v; + + end loop; + + end send_packet; + + + variable command_v : std_logic_vector(24 downto 0); + constant id_c : std_logic_vector(23 downto 0) := "000010010000000000000000"; + begin + + loop + command_v := (others => '1'); + pad_data_io <= 'Z'; + + ------------------------------------------------------------------------- + -- Step 1: + -- Receive command and associated data. + -- + wait until pad_data_io = '0'; + wait for 1 ns; + for i in 24 downto 0 loop + -- skip rest if timeout occured + if not timeout_s then + wait_n_us(2 * clocks_per_1us_g); + + command_v(i) := pad_data_io; + + if pad_data_io = '0' then + wait until pad_data_io /= '0'; + end if; + + -- wait for high -> low edge + wait until (pad_data_io = '0') or timeout_s; + + end if; + + wait for 1 ns; + end loop; + + ------------------------------------------------------------------------- + -- Detect command and send response + -- + case command_v(24 downto 17) is + -- get ID + when "00000000" => + wait_n_us(5 * clocks_per_1us_g); + send_packet(id_c); + send_packet("1"); + + -- poll status + when "0H000000" => + wait_n_us(5 * clocks_per_1us_g); + send_packet(rx_data_i); + send_packet("1"); + + when others => + null; + + end case; + + end loop; + end process model; + -- + ----------------------------------------------------------------------------- + +end behav; Index: gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/tb-c.vhd (revision 38) @@ -0,0 +1,28 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.2 2004-10-10 17:27:36 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for basic_b : gcpad_basic + use configuration work.gcpad_basic_struct_c0; + end for; + + for full_b : gcpad_full + use configuration work.gcpad_full_struct_c0; + end for; + + for all : gcpad_mod + use configuration work.gcpad_mod_behav_c0; + end for; + end for; + +end tb_behav_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/bench/vhdl/gcpad_mod-c.vhd (revision 38) @@ -0,0 +1,17 @@ +------------------------------------------------------------------------------- +-- +-- A testbench model for the +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_mod-c.vhd,v 1.1 2004-10-10 17:26:28 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_mod_behav_c0 of gcpad_mod is + + for behav + end for; + +end gcpad_mod_behav_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/sim/rtl_sim/Makefile (revision 38) @@ -0,0 +1,124 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.3 2004-10-10 17:28:21 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/gcpad_pack-p.o: $(RTL_DIR)/gcpad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/gcpad_pack-p.vhd + +work/gcpad_sampler.o: $(RTL_DIR)/gcpad_sampler.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_sampler.vhd +work/gcpad_sampler-c.o: $(RTL_DIR)/gcpad_sampler-c.vhd \ + work/gcpad_sampler.o + $(ANALYZE) $(RTL_DIR)/gcpad_sampler-c.vhd + +work/gcpad_rx.o: $(RTL_DIR)/gcpad_rx.vhd \ + work/gcpad_sampler.o \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_rx.vhd +work/gcpad_rx-c.o: $(RTL_DIR)/gcpad_rx-c.vhd \ + work/gcpad_sampler-c.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_rx-c.vhd + +work/gcpad_tx.o: $(RTL_DIR)/gcpad_tx.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_tx.vhd +work/gcpad_tx-c.o: $(RTL_DIR)/gcpad_tx-c.vhd \ + work/gcpad_tx.o + $(ANALYZE) $(RTL_DIR)/gcpad_tx-c.vhd + +work/gcpad_ctrl.o: $(RTL_DIR)/gcpad_ctrl.vhd \ + work/gcpad_pack-p.o + $(ANALYZE) $(RTL_DIR)/gcpad_ctrl.vhd +work/gcpad_ctrl-c.o: $(RTL_DIR)/gcpad_ctrl-c.vhd \ + work/gcpad_ctrl.o + $(ANALYZE) $(RTL_DIR)/gcpad_ctrl-c.vhd + +work/gcpad_basic.o: $(RTL_DIR)/gcpad_basic.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_ctrl.o \ + work/gcpad_tx.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_basic.vhd +work/gcpad_basic-c.o: $(RTL_DIR)/gcpad_basic-c.vhd \ + work/gcpad_basic.o \ + work/gcpad_ctrl-c.o \ + work/gcpad_tx-c.o \ + work/gcpad_rx-c.o + $(ANALYZE) $(RTL_DIR)/gcpad_basic-c.vhd + +work/gcpad_full.o: $(RTL_DIR)/gcpad_full.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_ctrl.o \ + work/gcpad_tx.o \ + work/gcpad_rx.o + $(ANALYZE) $(RTL_DIR)/gcpad_full.vhd +work/gcpad_full-c.o: $(RTL_DIR)/gcpad_full-c.vhd \ + work/gcpad_full.o \ + work/gcpad_ctrl-c.o \ + work/gcpad_tx-c.o \ + work/gcpad_rx-c.o + $(ANALYZE) $(RTL_DIR)/gcpad_full-c.vhd + +work/gcpad_comp-p.o: $(RTL_DIR)/gcpad_comp-p.vhd + $(ANALYZE) $(RTL_DIR)/gcpad_comp-p.vhd + +work/gcpad_mod.o: $(BENCH_DIR)/gcpad_mod.vhd + $(ANALYZE) $(BENCH_DIR)/gcpad_mod.vhd +work/gcpad_mod-c.o: $(BENCH_DIR)/gcpad_mod-c.vhd \ + work/gcpad_mod.o + $(ANALYZE) $(BENCH_DIR)/gcpad_mod-c.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/gcpad_pack-p.o \ + work/gcpad_comp-p.o \ + work/gcpad_basic.o \ + work/gcpad_full.o \ + work/gcpad_mod.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/gcpad_basic-c.o \ + work/gcpad_full-c.o \ + work/gcpad_mod-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full-c.vhd (revision 38) @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_full-c.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_full_struct_c0 of gcpad_full is + + for struct + for ctrl_b : gcpad_ctrl + use configuration work.gcpad_ctrl_rtl_c0; + end for; + + for tx_b : gcpad_tx + use configuration work.gcpad_tx_rtl_c0; + end for; + + for rx_b : gcpad_rx + use configuration work.gcpad_rx_rtl_c0; + end for; + end for; + +end gcpad_full_struct_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_full.vhd (revision 38) @@ -0,0 +1,209 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_full.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_full is + + generic ( + -- active level of reset_i + reset_level_g : integer := 0; + -- number of clk_i periods during 1us + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Pad Communication Interface -------------------------------------------- + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_timeout_o : out std_logic; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0); + rx_size_i : in std_logic_vector( 3 downto 0); + rx_data_o : out std_logic_vector(63 downto 0); + -- Gamepad Interface ------------------------------------------------------ + pad_data_io : inout std_logic + ); + +end gcpad_full; + + +use work.gcpad_pack.all; + +architecture struct of gcpad_full is + + component gcpad_ctrl + generic ( + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + end component; + + component gcpad_tx + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_data_o : out std_logic; + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + end component; + + component gcpad_rx + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + pad_data_i : in std_logic; + rx_data_o : out buttons_t + ); + end component; + + + signal pad_data_tx_s : std_logic; + + signal tx_start_s : boolean; + signal tx_finished_s : boolean; + + signal rx_en_s, + rx_done_s, + rx_data_ok_s : boolean; + +begin + + ctrl_b : gcpad_ctrl + generic map ( + reset_level_g => reset_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_request_i => pad_request_i, + pad_avail_o => pad_avail_o, + rx_timeout_o => pad_timeout_o, + tx_start_o => tx_start_s, + tx_finished_i => tx_finished_s, + rx_en_o => rx_en_s, + rx_done_i => rx_done_s, + rx_data_ok_i => rx_data_ok_s + ); + + tx_b : gcpad_tx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_data_o => pad_data_tx_s, + tx_start_i => tx_start_s, + tx_finished_o => tx_finished_s, + tx_size_i => tx_size_i, + tx_command_i => tx_command_i + ); + + rx_b : gcpad_rx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + rx_en_i => rx_en_s, + rx_done_o => rx_done_s, + rx_data_ok_o => rx_data_ok_s, + rx_size_i => rx_size_i, + pad_data_i => pad_data_io, + rx_data_o => rx_data_o + ); + + + ----------------------------------------------------------------------------- + -- Open collector driver to pad data + ----------------------------------------------------------------------------- + pad_data_io <= '0' + when pad_data_tx_s = '0' else + 'Z'; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_comp-p.vhd (revision 38) @@ -0,0 +1,68 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package gcpad_comp is + + component gcpad_basic + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_data_io : inout std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_z_o : out std_logic; + but_start_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + ana_joy_x_o : out std_logic_vector(7 downto 0); + ana_joy_y_o : out std_logic_vector(7 downto 0); + ana_c_x_o : out std_logic_vector(7 downto 0); + ana_c_y_o : out std_logic_vector(7 downto 0); + ana_l_o : out std_logic_vector(7 downto 0); + ana_r_o : out std_logic_vector(7 downto 0) + ); + end component; + + component gcpad_full + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + pad_timeout_o : out std_logic; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0); + rx_size_i : in std_logic_vector( 3 downto 0); + rx_data_o : out std_logic_vector(63 downto 0); + pad_data_io : inout std_logic + ); + end component; + + +end gcpad_comp; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic.vhd (revision 38) @@ -0,0 +1,275 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_basic.vhd,v 1.5 2004-10-09 22:18:35 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_basic is + + generic ( + -- active level of reset_i + reset_level_g : integer := 0; + -- number of clk_i periods during 1us + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_data_io : inout std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_z_o : out std_logic; + but_start_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + ana_joy_x_o : out std_logic_vector(7 downto 0); + ana_joy_y_o : out std_logic_vector(7 downto 0); + ana_c_x_o : out std_logic_vector(7 downto 0); + ana_c_y_o : out std_logic_vector(7 downto 0); + ana_l_o : out std_logic_vector(7 downto 0); + ana_r_o : out std_logic_vector(7 downto 0) + ); + +end gcpad_basic; + + +use work.gcpad_pack.all; + +architecture struct of gcpad_basic is + + component gcpad_ctrl + generic ( + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + end component; + + component gcpad_tx + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_data_o : out std_logic; + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + end component; + + component gcpad_rx + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + pad_data_i : in std_logic; + rx_data_o : out buttons_t + ); + end component; + + + ----------------------------------------------------------------------------- + -- constants for standard status polling + constant rx_size_c : std_logic_vector( 3 downto 0) := "1000"; + signal rx_size_s : std_logic_vector( 3 downto 0); + -- + constant tx_size_c : std_logic_vector( 1 downto 0) := "11"; + signal tx_size_s : std_logic_vector( 1 downto 0); + -- + constant tx_command_c : std_logic_vector(23 downto 0) := "010000000000001100000010"; + signal tx_command_s : std_logic_vector(23 downto 0); + -- + ----------------------------------------------------------------------------- + + signal pad_data_tx_s : std_logic; + + signal tx_start_s : boolean; + signal tx_finished_s : boolean; + + signal rx_en_s, + rx_done_s, + rx_data_ok_s : boolean; + + signal rx_data_s : buttons_t; + +begin + + rx_size_s <= rx_size_c; + tx_size_s <= tx_size_c; + tx_command_s <= tx_command_c; + + ctrl_b : gcpad_ctrl + generic map ( + reset_level_g => reset_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_request_i => pad_request_i, + pad_avail_o => pad_avail_o, + rx_timeout_o => open, + tx_start_o => tx_start_s, + tx_finished_i => tx_finished_s, + rx_en_o => rx_en_s, + rx_done_i => rx_done_s, + rx_data_ok_i => rx_data_ok_s + ); + + tx_b : gcpad_tx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + pad_data_o => pad_data_tx_s, + tx_start_i => tx_start_s, + tx_finished_o => tx_finished_s, + tx_size_i => tx_size_s, + tx_command_i => tx_command_s + ); + + rx_b : gcpad_rx + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + rx_en_i => rx_en_s, + rx_done_o => rx_done_s, + rx_data_ok_o => rx_data_ok_s, + rx_size_i => rx_size_s, + pad_data_i => pad_data_io, + rx_data_o => rx_data_s + ); + + + ----------------------------------------------------------------------------- + -- Open collector driver to pad data + ----------------------------------------------------------------------------- + pad_data_io <= '0' + when pad_data_tx_s = '0' else + 'Z'; + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + but_a_o <= rx_data_s(pos_a_c); + but_b_o <= rx_data_s(pos_b_c); + but_x_o <= rx_data_s(pos_x_c); + but_y_o <= rx_data_s(pos_y_c); + but_z_o <= rx_data_s(pos_z_c); + but_start_o <= rx_data_s(pos_start_c); + but_tl_o <= rx_data_s(pos_tl_c); + but_tr_o <= rx_data_s(pos_tr_c); + but_left_o <= rx_data_s(pos_left_c); + but_right_o <= rx_data_s(pos_right_c); + but_up_o <= rx_data_s(pos_up_c); + but_down_o <= rx_data_s(pos_down_c); + ana_joy_x_o <= rx_data_s(joy_x_high_c downto joy_x_low_c); + ana_joy_y_o <= rx_data_s(joy_y_high_c downto joy_y_low_c); + ana_c_x_o <= rx_data_s(c_x_high_c downto c_x_low_c); + ana_c_y_o <= rx_data_s(c_y_high_c downto c_y_low_c); + ana_l_o <= rx_data_s(l_high_c downto l_low_c); + ana_r_o <= rx_data_s(r_high_c downto r_low_c); + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.4 2004/10/09 17:03:43 arniml +-- enhance handshaking between gcpad_rx and gcpad_ctrl +-- +-- Revision 1.3 2004/10/09 00:33:55 arniml +-- shift rx_data to button assignment to toplevel +-- +-- Revision 1.2 2004/10/08 20:51:59 arniml +-- turn rx and tx size into bytes instead of bits +-- +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx.vhd (revision 38) @@ -0,0 +1,386 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_rx.vhd,v 1.5 2004-10-09 17:05:12 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use work.gcpad_pack.buttons_t; + +entity gcpad_rx is + + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Control Interface ------------------------------------------------------ + rx_en_i : in boolean; + rx_done_o : out boolean; + rx_data_ok_o : out boolean; + rx_size_i : in std_logic_vector(3 downto 0); + -- Gamepad Interface ------------------------------------------------------ + pad_data_i : in std_logic; + -- Data Interface --------------------------------------------------------- + rx_data_o : out buttons_t + ); + +end gcpad_rx; + + +library ieee; +use ieee.numeric_std.all; +use work.gcpad_pack.all; + +architecture rtl of gcpad_rx is + + component gcpad_sampler + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + wrap_sample_i : in boolean; + sync_sample_i : in boolean; + sample_underflow_o : out boolean; + pad_data_i : in std_logic; + pad_data_o : out std_logic; + sample_o : out std_logic + ); + end component; + + type state_t is (IDLE, + DETECT_TIMEOUT, + WAIT_FOR_1, + WAIT_FOR_0, + FINISHED); + signal state_s, + state_q : state_t; + + signal buttons_q, + shift_buttons_q : buttons_t; + signal save_buttons_s : boolean; + signal shift_buttons_s : boolean; + + signal sync_sample_s : boolean; + signal wrap_sample_s : boolean; + + -- timeout counter counts three sample undeflows + constant cnt_timeout_high_c : natural := 3; + subtype cnt_timeout_t is natural range 0 to cnt_timeout_high_c; + signal cnt_timeout_q : cnt_timeout_t; + signal timeout_q : boolean; + signal sync_timeout_s : boolean; + + + subtype num_buttons_read_t is unsigned(6 downto 0); + signal num_buttons_read_q : num_buttons_read_t; + signal all_buttons_read_s : boolean; + signal reset_num_buttons_s : boolean; + + signal pad_data_s : std_logic; + signal sample_s : std_logic; + signal sample_underflow_s : boolean; + + signal rx_done_s, + rx_done_q : boolean; + +begin + + sampler_b : gcpad_sampler + generic map ( + reset_level_g => reset_level_g, + clocks_per_1us_g => clocks_per_1us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + wrap_sample_i => wrap_sample_s, + sync_sample_i => sync_sample_s, + sample_underflow_o => sample_underflow_s, + pad_data_i => pad_data_i, + pad_data_o => pad_data_s, + sample_o => sample_s + ); + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements of this module. + -- + seq: process (reset_i, clk_i) + variable size_v : std_logic_vector(num_buttons_read_t'range); + begin + if reset_i = reset_level_g then + buttons_q <= (others => '0'); + shift_buttons_q <= (others => '0'); + + state_q <= IDLE; + + cnt_timeout_q <= cnt_timeout_high_c; + + timeout_q <= false; + + num_buttons_read_q <= (others => '0'); + rx_done_q <= false; + + elsif clk_i'event and clk_i = '1' then + state_q <= state_s; + + rx_done_q <= rx_done_s; + + -- timeout counter + if sync_timeout_s then + -- explicit preload + cnt_timeout_q <= cnt_timeout_high_c; + timeout_q <= false; + elsif cnt_timeout_q = 0 then + -- wrap-around + cnt_timeout_q <= cnt_timeout_high_c; + timeout_q <= true; + elsif sample_underflow_s then + -- decrement counter when sampler wraps around + cnt_timeout_q <= cnt_timeout_q - 1; + end if; + + + -- count remaining number of buttons to read + if shift_buttons_s then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + + if sample_s = '1' then + shift_buttons_q(0) <= '1'; + else + shift_buttons_q(0) <= '0'; + end if; + + end if; + + if reset_num_buttons_s then + -- explicit preload + size_v(num_buttons_read_t'high downto 3) := rx_size_i; + size_v(2 downto 0) := (others => '0'); + num_buttons_read_q <= unsigned(size_v); + elsif shift_buttons_s then + -- decrement counter when a button bit has been read + if not all_buttons_read_s then + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + + -- the buttons + if save_buttons_s then + buttons_q <= shift_buttons_q; + end if; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + -- indicates that all buttons have been read + all_buttons_read_s <= num_buttons_read_q = 0; + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + rx_en_i, + pad_data_s, + wrap_sample_s, + all_buttons_read_s, + sample_underflow_s, + timeout_q) + begin + sync_sample_s <= false; + sync_timeout_s <= false; + state_s <= IDLE; + shift_buttons_s <= false; + save_buttons_s <= false; + rx_done_s <= false; + reset_num_buttons_s <= false; + wrap_sample_s <= false; + + case state_q is + -- IDLE ----------------------------------------------------------------- + -- The idle state. + when IDLE => + if rx_en_i then + state_s <= DETECT_TIMEOUT; + + else + -- keep counters synchronized when no reception is running + sync_sample_s <= true; + sync_timeout_s <= true; + reset_num_buttons_s <= true; + state_s <= IDLE; + + end if; + + when DETECT_TIMEOUT => + state_s <= DETECT_TIMEOUT; + + if pad_data_s = '0' then + sync_sample_s <= true; + state_s <= WAIT_FOR_1; + + else + -- wait for timeout + wrap_sample_s <= true; + if timeout_q then + rx_done_s <= true; + state_s <= IDLE; + end if; + + end if; + + + -- WAIT_FOR_1 ----------------------------------------------------------- + -- Sample counter has expired and a 0 bit has been detected. + -- We must now wait for pad_data_s to become 1. + -- Or abort upon timeout. + when WAIT_FOR_1 => + if pad_data_s = '0' then + if not sample_underflow_s then + state_s <= WAIT_FOR_1; + else + -- timeout while reading buttons! + rx_done_s <= true; + state_s <= IDLE; + end if; + + else + state_s <= WAIT_FOR_0; + end if; + + -- WAIT_FOR_0 ----------------------------------------------------------- + -- pad_data_s is at 1 level now and no timeout occured so far. + -- We wait for the next 0 level on pad_data_s or abort upon timeout. + when WAIT_FOR_0 => + -- wait for falling edge of pad data + if pad_data_s = '0' then + sync_sample_s <= true; + + -- loop again in any case + state_s <= WAIT_FOR_1; + if not all_buttons_read_s then + shift_buttons_s <= true; + end if; + + else + if sample_underflow_s then + if all_buttons_read_s then + -- last button was read + -- so it's ok to timeout + state_s <= FINISHED; + else + -- timeout while reading buttons! + rx_done_s <= true; + state_s <= IDLE; + end if; + + else + state_s <= WAIT_FOR_0; + + end if; + + end if; + + when FINISHED => + -- finally save buttons + save_buttons_s <= true; + rx_done_s <= true; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + rx_done_o <= rx_done_q; + rx_data_ok_o <= save_buttons_s; + rx_data_o <= buttons_q; + + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.4 2004/10/09 00:33:55 arniml +-- shift rx_data to button assignment to toplevel +-- +-- Revision 1.3 2004/10/08 21:18:39 arniml +-- move sampler to separate unit +-- +-- Revision 1.2 2004/10/08 20:51:59 arniml +-- turn rx and tx size into bytes instead of bits +-- +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl.vhd (revision 38) @@ -0,0 +1,250 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_ctrl.vhd,v 1.2 2004-10-09 17:04:36 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_ctrl is + + generic ( + reset_level_g : integer := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + pad_request_i : in std_logic; + pad_avail_o : out std_logic; + rx_timeout_o : out std_logic; + -- Control Interface ------------------------------------------------------ + tx_start_o : out boolean; + tx_finished_i : in boolean; + rx_en_o : out boolean; + rx_done_i : in boolean; + rx_data_ok_i : in boolean + ); + +end gcpad_ctrl; + + +use work.gcpad_pack.all; + +architecture rtl of gcpad_ctrl is + + type state_t is (IDLE, + TX, + RX1_START, + RX1_WAIT, + RX2_START, + RX2_WAIT, + RX3_START, + RX3_WAIT, + RX4_START, + RX4_WAIT); + signal state_s, + state_q : state_t; + + signal set_txrx_finished_s : boolean; + signal enable_txrx_finished_s : boolean; + signal txrx_finished_q : std_logic; + + signal timeout_q : std_logic; + +begin + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements. + -- + seq: process (reset_i, clk_i) + begin + if reset_i = reset_level_g then + state_q <= IDLE; + + txrx_finished_q <= '0'; + + timeout_q <= '1'; + + elsif clk_i'event and clk_i = '1' then + state_q <= state_s; + + -- transmit/receive finished flag + if set_txrx_finished_s then + txrx_finished_q <= '1'; + elsif pad_request_i = '1' then + txrx_finished_q <= '0'; + end if; + + if pad_request_i = '1' then + timeout_q <= '1'; + elsif rx_data_ok_i then + timeout_q <= '0'; + end if; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + tx_finished_i, + rx_done_i, + pad_request_i) + begin + rx_en_o <= false; + state_s <= IDLE; + tx_start_o <= false; + set_txrx_finished_s <= false; + enable_txrx_finished_s <= false; + + case state_q is + when IDLE => + -- enable output of txrx_finished flag + -- the flag has to be suppressed while the FSM probes four times + enable_txrx_finished_s <= true; + + if pad_request_i = '1' then + state_s <= TX; + tx_start_o <= true; + else + state_s <= IDLE; + end if; + + when TX => + if not tx_finished_i then + state_s <= TX; + else + state_s <= RX1_START; + end if; + + when RX1_START => + rx_en_o <= true; + state_s <= RX1_WAIT; + + when RX1_WAIT => + if rx_done_i then + state_s <= RX2_START; + else + state_s <= RX1_WAIT; + end if; + + when RX2_START => + rx_en_o <= true; + state_s <= RX2_WAIT; + + when RX2_WAIT => + if rx_done_i then + state_s <= RX3_START; + else + state_s <= RX2_WAIT; + end if; + + when RX3_START => + rx_en_o <= true; + state_s <= RX3_WAIT; + + when RX3_WAIT => + if rx_done_i then + state_s <= RX4_START; + else + state_s <= RX3_WAIT; + end if; + + when RX4_START => + rx_en_o <= true; + state_s <= RX4_WAIT; + + when RX4_WAIT => + if rx_done_i then + state_s <= IDLE; + set_txrx_finished_s <= true; + else + state_s <= RX4_WAIT; + end if; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + pad_avail_o <= txrx_finished_q + when enable_txrx_finished_s else + '0'; + rx_timeout_o <= timeout_q + when enable_txrx_finished_s else + '0'; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler.vhd (revision 38) @@ -0,0 +1,169 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_sampler.vhd,v 1.3 2004-10-09 00:33:12 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_sampler is + + generic ( + reset_level_g : integer := 0; + clocks_per_1us_g : integer := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Control Interface ------------------------------------------------------ + wrap_sample_i : in boolean; + sync_sample_i : in boolean; + sample_underflow_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + pad_data_o : out std_logic; + sample_o : out std_logic + ); + +end gcpad_sampler; + + +use work.gcpad_pack.all; + +architecture rtl of gcpad_sampler is + + signal pad_data_sync_q : std_logic_vector(1 downto 0); + signal pad_data_s : std_logic; + + constant cnt_sample_high_c : natural := clocks_per_1us_g * 4 - 1; + subtype cnt_sample_t is natural range 0 to cnt_sample_high_c; + signal cnt_zeros_q : cnt_sample_t; + signal cnt_ones_q : cnt_sample_t; + signal sample_underflow_q : boolean; + + signal more_ones_q : boolean; + +begin + + seq: process (reset_i, clk_i) + variable dec_timeout_v : boolean; + begin + if reset_i = reset_level_g then + cnt_zeros_q <= cnt_sample_high_c; + cnt_ones_q <= cnt_sample_high_c; + more_ones_q <= false; + sample_underflow_q <= false; + + pad_data_sync_q <= (others => '1'); + + elsif clk_i'event and clk_i = '1' then + -- synchronizer for pad data + pad_data_sync_q(0) <= pad_data_i; + pad_data_sync_q(1) <= pad_data_sync_q(0); + + -- sample counter + dec_timeout_v := false; + if sync_sample_i then + -- explicit preload + cnt_zeros_q <= cnt_sample_high_c; + cnt_ones_q <= cnt_sample_high_c; + else + if cnt_zeros_q = 0 then + if wrap_sample_i then + cnt_zeros_q <= cnt_sample_high_c; + end if; + dec_timeout_v := true; + elsif pad_data_s = '0' then + cnt_zeros_q <= cnt_zeros_q - 1; + end if; + + if cnt_ones_q = 0 then + if wrap_sample_i then + cnt_ones_q <= cnt_sample_high_c; + end if; + dec_timeout_v := true; + elsif pad_data_s /= '0' then + cnt_ones_q <= cnt_ones_q - 1; + end if; + end if; + + if cnt_ones_q < cnt_zeros_q then + more_ones_q <= true; + else + more_ones_q <= false; + end if; + + -- detect sample underflow + sample_underflow_q <= dec_timeout_v; + + end if; + + end process seq; + + pad_data_s <= pad_data_sync_q(1); + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + pad_data_o <= pad_data_s; + sample_o <= '1' + when more_ones_q else + '0'; + sample_underflow_o <= sample_underflow_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.2 2004/10/08 21:35:08 arniml +-- comments +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_sampler-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_sampler-c.vhd,v 1.1 2004-10-08 21:19:17 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_sampler_rtl_c0 of gcpad_sampler is + + for rtl + end for; + +end gcpad_sampler_rtl_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_rx-c.vhd (revision 38) @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_rx-c.vhd,v 1.2 2004-10-08 21:18:39 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_rx_rtl_c0 of gcpad_rx is + + for rtl + for sampler_b : gcpad_sampler + use configuration work.gcpad_sampler_rtl_c0; + end for; + end for; + +end gcpad_rx_rtl_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx.vhd (revision 38) @@ -0,0 +1,312 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- $Id: gcpad_tx.vhd,v 1.2 2004-10-08 20:51:59 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity gcpad_tx is + + generic ( + reset_level_g : natural := 0; + clocks_per_1us_g : natural := 2 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Pad Interface ---------------------------------------------------------- + pad_data_o : out std_logic; + -- Control Interface ------------------------------------------------------ + tx_start_i : in boolean; + tx_finished_o : out boolean; + tx_size_i : in std_logic_vector( 1 downto 0); + tx_command_i : in std_logic_vector(23 downto 0) + ); + +end gcpad_tx; + + +library ieee; +use ieee.numeric_std.all; + +use work.gcpad_pack.all; + +architecture rtl of gcpad_tx is + + subtype command_t is std_logic_vector(24 downto 0); + + signal command_q : command_t; + signal load_command_s : boolean; + signal shift_bits_s : boolean; + + constant cnt_long_c : natural := clocks_per_1us_g * 4 - 1; + constant cnt_short_c : natural := clocks_per_1us_g * 1 - 1; + subtype cnt_t is natural range 0 to cnt_long_c; + signal cnt_q : cnt_t; + signal cnt_load_long_s : boolean; + signal cnt_load_short_s : boolean; + signal cnt_finished_s : boolean; + + subtype num_bits_t is unsigned(4 downto 0); + signal num_bits_q : num_bits_t; + signal all_bits_sent_s : boolean; + signal cnt_bit_s : boolean; + + type state_t is (IDLE, + LOAD_COMMAND, + SEND_COMMAND_PHASE1, + SEND_COMMAND_PHASE2); + signal state_s, + state_q : state_t; + + signal pad_data_s, + pad_data_q : std_logic; + + signal tx_finished_s, + tx_finished_q : boolean; + +begin + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements of this module. + -- + seq: process (reset_i, clk_i) + variable size_v : std_logic_vector(num_bits_t'range); + begin + if reset_i = reset_level_g then + command_q <= (others => '1'); + cnt_q <= cnt_long_c; + num_bits_q <= (others => '0'); + pad_data_q <= '1'; + state_q <= IDLE; + tx_finished_q <= false; + + elsif clk_i'event and clk_i = '1' then + tx_finished_q <= tx_finished_s; + + -- fsm + state_q <= state_s; + + -- command register and bit counter + if load_command_s then + command_q(24 downto 1) <= tx_command_i; + command_q(0) <= '1'; + + -- workaround for GHDL concatenation + size_v(num_bits_t'high downto 3) := tx_size_i; + size_v(2 downto 0) := (others => '0'); + num_bits_q <= unsigned(size_v) + 1; + + else + if shift_bits_s then + command_q(command_t'high downto 1) <= command_q(command_t'high-1 downto 0); + end if; + + if cnt_bit_s and not all_bits_sent_s then + num_bits_q <= num_bits_q - 1; + end if; + + end if; + + + -- PWM counter + if cnt_load_long_s then + cnt_q <= cnt_long_c; + elsif cnt_load_short_s then + cnt_q <= cnt_short_c; + else + if not cnt_finished_s then + cnt_q <= cnt_q - 1; + end if; + end if; + + -- PWM output = pad data + pad_data_q <= pad_data_s; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + -- indicates that PWM counter has finished + cnt_finished_s <= cnt_q = 0; + -- indicates that all bits have been sent + all_bits_sent_s <= num_bits_q = 0; + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Models the controlling state machine. + -- + fsm: process (state_q, + cnt_finished_s, + all_bits_sent_s, + tx_start_i, + command_q) + begin + -- defaul assignments + state_s <= IDLE; + shift_bits_s <= false; + cnt_load_long_s <= false; + cnt_load_short_s <= false; + pad_data_s <= '1'; + tx_finished_s <= false; + load_command_s <= false; + cnt_bit_s <= false; + + case state_q is + -- IDLE ----------------------------------------------------------------- + -- The idle state. + -- Advances when the transmitter is started + when IDLE => + if tx_start_i then + state_s <= LOAD_COMMAND; + else + state_s <= IDLE; + end if; + + + -- LOAD_COMMAND --------------------------------------------------------- + -- Prepares the first and all subsequent low phases on pad_data_s. + when LOAD_COMMAND => + state_s <= SEND_COMMAND_PHASE2; + load_command_s <= true; + + -- start counter once to kick the loop + cnt_load_short_s <= true; + + + -- SEND_COMMAND_PHASE1 -------------------------------------------------- + -- Wait for completion of phase 1, the low phase of pad_data_s. + -- The high phase is prepared when the PWM counter has expired. + when SEND_COMMAND_PHASE1 => + state_s <= SEND_COMMAND_PHASE1; + pad_data_s <= '0'; + + if cnt_finished_s then + -- initiate high phase + pad_data_s <= '1'; + if command_q(command_t'high) = '1' then + cnt_load_long_s <= true; + else + cnt_load_short_s <= true; + end if; + + state_s <= SEND_COMMAND_PHASE2; + -- provide next bit + shift_bits_s <= true; + + end if; + + -- SEND_COMMAND_PHASE2 -------------------------------------------------- + -- Wait for completion of phase 2, the high phase of pad_data_s. + -- The next low phase is prepared when the PWM counter has expired. + -- In case all bits have been sent, the tx handshake is asserted and + -- the FSM returns to IDLE state. + when SEND_COMMAND_PHASE2 => + pad_data_s <= '1'; + state_s <= SEND_COMMAND_PHASE2; + + if cnt_finished_s then + if not all_bits_sent_s then + -- more bits to send so loop + + -- prepare low phase + if command_q(command_t'high) = '1' then + cnt_load_short_s <= true; + else + cnt_load_long_s <= true; + end if; + + -- decrement bit counter + cnt_bit_s <= true; + + state_s <= SEND_COMMAND_PHASE1; + + else + -- all bits sent, we're finished + tx_finished_s <= true; + state_s <= IDLE; + + end if; + + end if; + + when others => + null; + + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output mapping + ----------------------------------------------------------------------------- + tx_finished_o <= tx_finished_q; + pad_data_o <= pad_data_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/07 21:23:10 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_basic-c.vhd (revision 38) @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_basic-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_basic_struct_c0 of gcpad_basic is + + for struct + for ctrl_b : gcpad_ctrl + use configuration work.gcpad_ctrl_rtl_c0; + end for; + + for tx_b : gcpad_tx + use configuration work.gcpad_tx_rtl_c0; + end for; + + for rx_b : gcpad_rx + use configuration work.gcpad_rx_rtl_c0; + end for; + end for; + +end gcpad_basic_struct_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_ctrl-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_ctrl-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_ctrl_rtl_c0 of gcpad_ctrl is + + for rtl + end for; + +end gcpad_ctrl_rtl_c0; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_pack-p.vhd (revision 38) @@ -0,0 +1,98 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_pack-p.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package gcpad_pack is + + subtype analog_axis_t is std_logic_vector(7 downto 0); + constant num_buttons_c : natural := 64; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + + function "=" (a : in std_logic; b : in integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside a gc packet + ----------------------------------------------------------------------------- + -- byte 7 ------------------------------------------------------------------- + constant pos_errstat_c : natural := 63; + constant pos_errlatch_c : natural := 62; + constant pos_unknown1_c : natural := 61; + constant pos_start_c : natural := 60; + constant pos_y_c : natural := 59; + constant pos_x_c : natural := 58; + constant pos_b_c : natural := 57; + constant pos_a_c : natural := 56; + -- byte 6 ------------------------------------------------------------------- + constant pos_unknown2_c : natural := 55; + constant pos_tl_c : natural := 54; + constant pos_tr_c : natural := 53; + constant pos_z_c : natural := 52; + constant pos_up_c : natural := 51; + constant pos_down_c : natural := 50; + constant pos_right_c : natural := 49; + constant pos_left_c : natural := 48; + -- byte 5 ------------------------------------------------------------------- + constant joy_x_high_c : natural := 47; + constant joy_x_low_c : natural := 40; + -- byte 4 ------------------------------------------------------------------- + constant joy_y_high_c : natural := 39; + constant joy_y_low_c : natural := 32; + -- byte 3 ------------------------------------------------------------------- + constant c_x_high_c : natural := 31; + constant c_x_low_c : natural := 24; + -- byte 2 ------------------------------------------------------------------- + constant c_y_high_c : natural := 23; + constant c_y_low_c : natural := 16; + -- byte 1 ------------------------------------------------------------------- + constant l_high_c : natural := 15; + constant l_low_c : natural := 8; + -- byte 0 ------------------------------------------------------------------- + constant r_high_c : natural := 7; + constant r_low_c : natural := 0; + +end gcpad_pack; + + +package body gcpad_pack is + + ----------------------------------------------------------------------------- + -- Function = + -- + -- Compares a std_logic with an integer. + -- + function "=" (a : in std_logic; b : in integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + -- + ----------------------------------------------------------------------------- + +end gcpad_pack; Index: gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/gcpad/rtl/vhdl/gcpad_tx-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- GCpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: gcpad_tx-c.vhd,v 1.1 2004-10-07 21:23:10 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration gcpad_tx_rtl_c0 of gcpad_tx is + + for rtl + end for; + +end gcpad_tx_rtl_c0; Index: gamepads/tags/rel_0_2_beta/snespad/snespad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/tags/rel_0_2_beta/snespad/snespad.png =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/snespad.png (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/snespad.png (revision 38)
gamepads/tags/rel_0_2_beta/snespad/snespad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/tags/rel_0_2_beta/snespad/COMPILE_LIST =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/COMPILE_LIST (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/COMPILE_LIST (revision 38) @@ -0,0 +1,15 @@ + +Compile list for the SNESpad core +================================= +Version: $Date: 2004-10-06 16:43:55 $ + +rtl/vhdl/snespad_comp-pack.vhd +rtl/vhdl/snespad_pack-p.vhd +rtl/vhdl/snespad_ctrl.vhd +rtl/vhdl/snespad_pad.vhd +rtl/vhdl/snespad.vhd +bench/vhdl/tb.vhd +rtl/vhdl/snespad_ctrl-c.vhd +rtl/vhdl/snespad_pad-c.vhd +rtl/vhdl/snespad-c.vhd +bench/vhdl/tb-c.vhd Index: gamepads/tags/rel_0_2_beta/snespad/README =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/README (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/README (revision 38) @@ -0,0 +1,128 @@ + +README for the SNESpad core +=========================== +Version: $Date: 2004-10-06 16:42:15 $ + + +Description +----------- + +The SNESpad core manages one or more gamepads of the Super Nintendo +Entertainment System in parallel. The button information is provided +statically at a simple interface with dedicated signal lines. +The core has to be configured to fit into the integrating system. Details +about this are given in the section "Integration" below. + + +Integration +----------- + +The interface of the SNESpad core is straight forward. It requires: + + * a clock signal which is evaluated on the rising edge by the internal + registers an asynchronous reset (active level is configurable) + * connections to the gamepad(s) + +The button outputs should be self-describing. + +Configuration of the core is done via generics in the instantiation. There are +four generic parameters: + + * num_pads_g - Number of pads connected to this controller instance (1 to n) + * reset_level_g - Active level of the asynchronous reset at port reset_i + (0 = low active, 1 = high active) + * button_level_g - Active level of the button outputs + (0 = low active, 1 = high active) + * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) + +Button outputs and pad data input are arrays of num_pads_g width. The +assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its +button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. + +The communication to the SNES gamepad relies on a timebase of approximately +6 us. It is therefore necessary to adjust the counters inside the core via the +clock_per_6us_g generic parameter. Let's assume an example where the system +clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the +generic has to be set to 6 x 20 = 120. + + +Adapter Hardware +---------------- + +The required hardware setup is pretty simple if you reuse the connector of a +SNES console. It is quite robust and offers all connections centrally on the +bottom side of the PCB at the pins for the cable socket. In addition, you will +need an external 5V power source. Such a configuration is shown in the +following picture. + +Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 +are the Data Clock for Pad 1 and Pad 2, respectively. They have to be +connected together to pad_clock_i as the core clocks both pads +simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial +Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. + +See snespad.png + + +Verification +------------ + +The SNESpad core comes with a simple testbench that simulates two SNES +gamepads. Serial information is sent to the core and the reported button +states are compared against the input. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +snespad + | + \--+-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * Gamepads project at OpenCores.org + http://www.opencores.org/projects.cgi/web/gamepads/overview + + * The Hardware Book + http://www.hardwarebook.net/connector/userinput/snescontroller.html + + * Linux gamecon driver + http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad.vhd (revision 38) @@ -0,0 +1,196 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad is + + generic ( + -- number of pads connected to this core + num_pads_g : natural := 1; + -- active level of reset_i + reset_level_g : natural := 0; + -- active level of the button outputs + button_level_g : natural := 0; + -- number of clk_i periods during 6us + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + +end snespad; + + +architecture struct of snespad is + + component snespad_ctrl + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + end component snespad_ctrl; + + component snespad_pad + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + pad_data_i : in std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + end component snespad_pad; + + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + signal save_buttons_s : boolean; + +begin + + ctrl_b : snespad_ctrl + generic map ( + reset_level_g => reset_level_g, + clocks_per_6us_g => clocks_per_6us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_o => clk_en_s, + shift_buttons_o => shift_buttons_s, + save_buttons_o => save_buttons_s, + pad_clk_o => pad_clk_o, + pad_latch_o => pad_latch_o + ); + + + pads: for i in 0 to num_pads_g-1 generate + pad_b : snespad_pad + generic map ( + reset_level_g => reset_level_g, + button_level_g => button_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_i => clk_en_s, + shift_buttons_i => shift_buttons_s, + save_buttons_i => save_buttons_s, + pad_data_i => pad_data_i(i), + but_a_o => but_a_o(i), + but_b_o => but_b_o(i), + but_x_o => but_x_o(i), + but_y_o => but_y_o(i), + but_start_o => but_start_o(i), + but_sel_o => but_sel_o(i), + but_tl_o => but_tl_o(i), + but_tr_o => but_tr_o(i), + but_up_o => but_up_o(i), + but_down_o => but_down_o(i), + but_left_o => but_left_o(i), + but_right_o => but_right_o(i) + ); + end generate; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 38) @@ -0,0 +1,44 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_comp is + + component snespad + generic ( + num_pads_g : natural := 1; + reset_level_g : natural := 0; + button_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + end component snespad; + +end snespad_comp; Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_ctrl_rtl_c0 of snespad_ctrl is + + for rtl + end for; + +end snespad_ctrl_rtl_c0; Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 38) @@ -0,0 +1,219 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_ctrl.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_ctrl is + + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + +end snespad_ctrl; + + +use work.snespad_pack.all; + +architecture rtl of snespad_ctrl is + + subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; + + type state_t is (IDLE, + IDLE2, + LATCH, + CLOCK, + READ_PAD); + + signal pad_latch_q, + pad_latch_s : std_logic; + + signal pad_clk_q, + pad_clk_s : std_logic; + + signal num_buttons_read_q : num_buttons_read_t; + signal clocks_per_6us_q : clocks_per_6us_t; + + signal state_q, + state_s : state_t; + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert clocks_per_6us_g > 1 + report "clocks_per_6us_g must be at least 2!" + severity failure; + -- pragma translate_on + + + seq: process (reset_i, clk_i) + variable clocks_per_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + pad_latch_q <= '1'; + pad_clk_q <= '1'; + + num_buttons_read_q <= num_buttons_c-1; + + clocks_per_6us_q <= 0; + + state_q <= IDLE; + + elsif clk_i'event and clk_i = '1' then + if clk_en_s then + clocks_per_6us_q <= 0; + else + clocks_per_6us_q <= clocks_per_6us_q + 1; + end if; + + if clk_en_s and shift_buttons_s then + if num_buttons_read_q = 0 then + num_buttons_read_q <= num_buttons_c-1; + else + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + if clk_en_s then + state_q <= state_s; + end if; + + pad_clk_q <= pad_clk_s; + + pad_latch_q <= pad_latch_s; + + end if; + end process; + + clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; + + + fsm: process (state_q, + num_buttons_read_q) + begin + -- default assignments + pad_clk_s <= '1'; + pad_latch_s <= '1'; + shift_buttons_s <= false; + save_buttons_o <= false; + state_s <= IDLE; + + case state_q is + when IDLE => + save_buttons_o <= true; + state_s <= IDLE2; + + when IDLE2 => + state_s <= LATCH; + + when LATCH => + pad_latch_s <= '0'; + state_s <= READ_PAD; + + when READ_PAD => + pad_latch_s <= '0'; + -- set clock low + -- pad data will be read at end of 6us cycle + pad_clk_s <= '0'; + + shift_buttons_s <= true; + + if num_buttons_read_q = 0 then + -- return to IDLE after last button bit has been read + state_s <= IDLE; + else + state_s <= LATCH; + end if; + + when others => + null; + + end case; + + end process fsm; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + clk_en_o <= clk_en_s; + shift_buttons_o <= shift_buttons_s; + pad_clk_o <= pad_clk_q; + pad_latch_o <= pad_latch_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_pad_rtl_c0 of snespad_pad is + + for rtl + end for; + +end snespad_pad_rtl_c0; Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pad.vhd (revision 38) @@ -0,0 +1,154 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_pad.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_pad is + + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + +end snespad_pad; + + +use work.snespad_pack.all; + +architecture rtl of snespad_pad is + + signal buttons_q, + shift_buttons_q : buttons_t; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert (button_level_g = 0) or (button_level_g = 1) + report "button_level_g must be either 0 or 1!" + severity failure; + -- pragma translate_on + + seq: process (reset_i, clk_i) + variable mult_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + for i in buttons_t'range loop + buttons_q(i) <= button_reset_f(button_level_g); + shift_buttons_q(i) <= button_reset_f(button_level_g); + end loop; + + elsif clk_i'event and clk_i = '1' then + if save_buttons_i then + buttons_q <= shift_buttons_q; + end if; + + if clk_en_i and shift_buttons_i then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); + end if; + + end if; + end process; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + but_a_o <= buttons_q(but_pos_a_c); + but_b_o <= buttons_q(but_pos_b_c); + but_x_o <= buttons_q(but_pos_x_c); + but_y_o <= buttons_q(but_pos_y_c); + but_start_o <= buttons_q(but_pos_start_c); + but_sel_o <= buttons_q(but_pos_sel_c); + but_tl_o <= buttons_q(but_pos_tl_c); + but_tr_o <= buttons_q(but_pos_tr_c); + but_up_o <= buttons_q(but_pos_up_c); + but_down_o <= buttons_q(but_pos_down_c); + but_left_o <= buttons_q(but_pos_left_c); + but_right_o <= buttons_q(but_pos_right_c); + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 38) @@ -0,0 +1,94 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_pack is + + constant num_buttons_c : natural := 12; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; + + function button_active_f(state : in std_logic; ref : in natural) return std_logic; + function button_reset_f(ref : in natural) return std_logic; + function "=" (a : std_logic; b : integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside the SNES packet + ----------------------------------------------------------------------------- + constant but_pos_b_c : natural := 11; + constant but_pos_y_c : natural := 10; + constant but_pos_sel_c : natural := 9; + constant but_pos_start_c : natural := 8; + constant but_pos_up_c : natural := 7; + constant but_pos_down_c : natural := 6; + constant but_pos_left_c : natural := 5; + constant but_pos_right_c : natural := 4; + constant but_pos_a_c : natural := 3; + constant but_pos_x_c : natural := 2; + constant but_pos_tl_c : natural := 1; + constant but_pos_tr_c : natural := 0; + +end snespad_pack; + + +package body snespad_pack is + + function button_active_f(state : in std_logic; ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := state; + else + result_v := not state; + end if; + + return result_v; + end button_active_f; + + function button_reset_f(ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := '1'; + else + result_v := '0'; + end if; + + return result_v; + end button_reset_f; + + function "=" (a : std_logic; b : integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + + +end snespad_pack; Index: gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/rtl/vhdl/snespad-c.vhd (revision 38) @@ -0,0 +1,25 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_struct_c0 of snespad is + + for struct + for ctrl_b : snespad_ctrl + use configuration work.snespad_ctrl_rtl_c0; + end for; + + for pads + for pad_b : snespad_pad + use configuration work.snespad_pad_rtl_c0; + end for; + end for; + end for; + +end snespad_struct_c0; Index: gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb.vhd (revision 38) @@ -0,0 +1,225 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.snespad_pack.all; +use work.snespad_comp.snespad; + +architecture behav of tb is + + constant period_c : time := 100 ns; + constant num_pads_c : natural := 2; + constant reset_level_c : natural := 0; + constant button_level_c : natural := 0; + + + signal clk_s : std_logic; + signal reset_s : std_logic; + + signal pad_clk_s : std_logic; + signal pad_latch_s : std_logic; + signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); + + type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); + signal buttons_s : buttons_t; + + signal buttons0_s, + buttons1_s : std_logic_vector(11 downto 0); + + +begin + + dut : snespad + generic map ( + num_pads_g => 2, + reset_level_g => reset_level_c, + button_level_g => button_level_c, + clocks_per_6us_g => 60 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_clk_o => pad_clk_s, + pad_latch_o => pad_latch_s, + pad_data_i => pad_data_s, + but_a_o => buttons_s(but_pos_a_c), + but_b_o => buttons_s(but_pos_b_c), + but_x_o => buttons_s(but_pos_x_c), + but_y_o => buttons_s(but_pos_y_c), + but_start_o => buttons_s(but_pos_start_c), + but_sel_o => buttons_s(but_pos_sel_c), + but_tl_o => buttons_s(but_pos_tl_c), + but_tr_o => buttons_s(but_pos_tr_c), + but_up_o => buttons_s(but_pos_up_c), + but_down_o => buttons_s(but_pos_down_c), + but_left_o => buttons_s(but_pos_left_c), + but_right_o => buttons_s(but_pos_right_c) + ); + + buttons: process (buttons_s) + begin + for i in 0 to 11 loop + buttons0_s(i) <= buttons_s(i)(0); + buttons1_s(i) <= buttons_s(i)(1); + end loop; + end process buttons; + + ----------------------------------------------------------------------------- + -- DUT Stimuli + ----------------------------------------------------------------------------- + stimuli: process + + procedure dispatch(pad : in natural; + packet : in std_logic_vector(11 downto 0)) is + begin + + wait until pad_latch_s = '0'; + for i in 11 downto 0 loop + wait until pad_clk_s = '0'; + pad_data_s(pad) <= packet(i); + wait until pad_clk_s = '1'; + end loop; + + wait for period_c; + + assert pad_latch_s = '1' + report "Latch not deasserted!" + severity error; + + wait for period_c; + for i in 11 downto 0 loop + assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) + report "Mismatch for received vs. sent buttons!" + severity error; + end loop; + + end dispatch; + + begin + pad_data_s <= (others => '1'); + + wait until reset_s = '1'; + wait for period_c * 4; + + for pad in 0 to 1 loop + dispatch(pad, packet => "000000000000"); + dispatch(pad, packet => "111111111111"); + dispatch(pad, packet => "010101010101"); + dispatch(pad, packet => "101010101010"); + dispatch(pad, packet => "100000000000"); + dispatch(pad, packet => "010000000000"); + dispatch(pad, packet => "001000000000"); + dispatch(pad, packet => "000100000000"); + dispatch(pad, packet => "000010000000"); + dispatch(pad, packet => "000001000000"); + dispatch(pad, packet => "000000100000"); + dispatch(pad, packet => "000000010000"); + dispatch(pad, packet => "000000001000"); + dispatch(pad, packet => "000000000100"); + dispatch(pad, packet => "000000000010"); + dispatch(pad, packet => "000000000001"); + end loop; + + + wait for period_c * 4; + assert false + report "End of simulation reached." + severity failure; + + end process stimuli; + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:05:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/bench/vhdl/tb-c.vhd (revision 38) @@ -0,0 +1,20 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for dut : snespad + use configuration work.snespad_struct_c0; + end for; + end for; + +end tb_behav_c0; Index: gamepads/tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile =================================================================== --- gamepads/tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile (nonexistent) +++ gamepads/tags/rel_0_2_beta/snespad/sim/rtl_sim/Makefile (revision 38) @@ -0,0 +1,82 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd + +work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd +work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ + work/snespad_ctrl.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd + +work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd +work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd + +work/snespad.o: $(RTL_DIR)/snespad.vhd \ + work/snespad_pack-p.o \ + work/snespad_ctrl.o \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad.vhd +work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ + work/snespad.o \ + work/snespad_ctrl-c.o \ + work/snespad_pad-c.o + $(ANALYZE) $(RTL_DIR)/snespad-c.vhd + +work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd + $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/snespad_comp-pack.o \ + work/snespad.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/snespad-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: gamepads/tags/rel_0_2_beta/COPYING =================================================================== --- gamepads/tags/rel_0_2_beta/COPYING (nonexistent) +++ gamepads/tags/rel_0_2_beta/COPYING (revision 38) @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) 19yy + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) 19yy name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. Index: gamepads/tags/rel_0_1_beta/snespad/snespad.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: gamepads/tags/rel_0_1_beta/snespad/snespad.png =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/snespad.png (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/snespad.png (revision 38)
gamepads/tags/rel_0_1_beta/snespad/snespad.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: gamepads/tags/rel_0_1_beta/snespad/COMPILE_LIST =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/COMPILE_LIST (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/COMPILE_LIST (revision 38) @@ -0,0 +1,15 @@ + +Compile list for the SNESpad core +================================= +Version: $Date: 2004-10-06 16:43:55 $ + +rtl/vhdl/snespad_comp-pack.vhd +rtl/vhdl/snespad_pack-p.vhd +rtl/vhdl/snespad_ctrl.vhd +rtl/vhdl/snespad_pad.vhd +rtl/vhdl/snespad.vhd +bench/vhdl/tb.vhd +rtl/vhdl/snespad_ctrl-c.vhd +rtl/vhdl/snespad_pad-c.vhd +rtl/vhdl/snespad-c.vhd +bench/vhdl/tb-c.vhd Index: gamepads/tags/rel_0_1_beta/snespad/README =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/README (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/README (revision 38) @@ -0,0 +1,128 @@ + +README for the SNESpad core +=========================== +Version: $Date: 2004-10-06 16:42:15 $ + + +Description +----------- + +The SNESpad core manages one or more gamepads of the Super Nintendo +Entertainment System in parallel. The button information is provided +statically at a simple interface with dedicated signal lines. +The core has to be configured to fit into the integrating system. Details +about this are given in the section "Integration" below. + + +Integration +----------- + +The interface of the SNESpad core is straight forward. It requires: + + * a clock signal which is evaluated on the rising edge by the internal + registers an asynchronous reset (active level is configurable) + * connections to the gamepad(s) + +The button outputs should be self-describing. + +Configuration of the core is done via generics in the instantiation. There are +four generic parameters: + + * num_pads_g - Number of pads connected to this controller instance (1 to n) + * reset_level_g - Active level of the asynchronous reset at port reset_i + (0 = low active, 1 = high active) + * button_level_g - Active level of the button outputs + (0 = low active, 1 = high active) + * clocks_per_6us_g - Number of clk_i cycles that elapse during 6 us (2 to x) + +Button outputs and pad data input are arrays of num_pads_g width. The +assignment is 1:1. i.e. the pad connected to pad_data_i(i) will propagate its +button status to but_a_o(i), but_b_o(i) etc. where i ranges from 0 to n-1. + +The communication to the SNES gamepad relies on a timebase of approximately +6 us. It is therefore necessary to adjust the counters inside the core via the +clock_per_6us_g generic parameter. Let's assume an example where the system +clock is running at 20 MHz. There are 20 clock cycles during 1 us, so the +generic has to be set to 6 x 20 = 120. + + +Adapter Hardware +---------------- + +The required hardware setup is pretty simple if you reuse the connector of a +SNES console. It is quite robust and offers all connections centrally on the +bottom side of the PCB at the pins for the cable socket. In addition, you will +need an external 5V power source. Such a configuration is shown in the +following picture. + +Pin B1 is the common Data Latch signal for Pad 1 and Pad 2. The pins B2 and T2 +are the Data Clock for Pad 1 and Pad 2, respectively. They have to be +connected together to pad_clock_i as the core clocks both pads +simultaneously. Pin B3 is the Serial Data of Pad 1 and Pin B4 is the Serial +Data of Pad 2. Each data line requires a 10 kOhm pull-up resistor. + +See snespad.png + + +Verification +------------ + +The SNESpad core comes with a simple testbench that simulates two SNES +gamepads. Serial information is sent to the core and the reported button +states are compared against the input. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +snespad + | + \--+-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * Gamepads project at OpenCores.org + http://www.opencores.org/projects.cgi/web/gamepads/overview + + * The Hardware Book + http://www.hardwarebook.net/connector/userinput/snescontroller.html + + * Linux gamecon driver + http://lxr.linux.no/source/drivers/char/joystick/gamecon.c?v=2.4.26 Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad.vhd (revision 38) @@ -0,0 +1,196 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad.vhd,v 1.2 2004-10-05 18:22:40 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad is + + generic ( + -- number of pads connected to this core + num_pads_g : natural := 1; + -- active level of reset_i + reset_level_g : natural := 0; + -- active level of the button outputs + button_level_g : natural := 0; + -- number of clk_i periods during 6us + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + -- Gamepad Interface ------------------------------------------------------ + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + +end snespad; + + +architecture struct of snespad is + + component snespad_ctrl + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + end component snespad_ctrl; + + component snespad_pad + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + pad_data_i : in std_logic; + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + end component snespad_pad; + + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + signal save_buttons_s : boolean; + +begin + + ctrl_b : snespad_ctrl + generic map ( + reset_level_g => reset_level_g, + clocks_per_6us_g => clocks_per_6us_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_o => clk_en_s, + shift_buttons_o => shift_buttons_s, + save_buttons_o => save_buttons_s, + pad_clk_o => pad_clk_o, + pad_latch_o => pad_latch_o + ); + + + pads: for i in 0 to num_pads_g-1 generate + pad_b : snespad_pad + generic map ( + reset_level_g => reset_level_g, + button_level_g => button_level_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + clk_en_i => clk_en_s, + shift_buttons_i => shift_buttons_s, + save_buttons_i => save_buttons_s, + pad_data_i => pad_data_i(i), + but_a_o => but_a_o(i), + but_b_o => but_b_o(i), + but_x_o => but_x_o(i), + but_y_o => but_y_o(i), + but_start_o => but_start_o(i), + but_sel_o => but_sel_o(i), + but_tl_o => but_tl_o(i), + but_tr_o => but_tr_o(i), + but_up_o => but_up_o(i), + but_down_o => but_down_o(i), + but_left_o => but_left_o(i), + but_right_o => but_right_o(i) + ); + end generate; + +end struct; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:01:27 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_comp-pack.vhd (revision 38) @@ -0,0 +1,44 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_comp-pack.vhd,v 1.1 2004-10-05 18:20:14 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_comp is + + component snespad + generic ( + num_pads_g : natural := 1; + reset_level_g : natural := 0; + button_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + pad_clk_o : out std_logic; + pad_latch_o : out std_logic; + pad_data_i : in std_logic_vector(num_pads_g-1 downto 0); + but_a_o : out std_logic_vector(num_pads_g-1 downto 0); + but_b_o : out std_logic_vector(num_pads_g-1 downto 0); + but_x_o : out std_logic_vector(num_pads_g-1 downto 0); + but_y_o : out std_logic_vector(num_pads_g-1 downto 0); + but_start_o : out std_logic_vector(num_pads_g-1 downto 0); + but_sel_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tl_o : out std_logic_vector(num_pads_g-1 downto 0); + but_tr_o : out std_logic_vector(num_pads_g-1 downto 0); + but_up_o : out std_logic_vector(num_pads_g-1 downto 0); + but_down_o : out std_logic_vector(num_pads_g-1 downto 0); + but_left_o : out std_logic_vector(num_pads_g-1 downto 0); + but_right_o : out std_logic_vector(num_pads_g-1 downto 0) + ); + end component snespad; + +end snespad_comp; Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_ctrl-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_ctrl_rtl_c0 of snespad_ctrl is + + for rtl + end for; + +end snespad_ctrl_rtl_c0; Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_ctrl.vhd (revision 38) @@ -0,0 +1,219 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_ctrl.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_ctrl is + + generic ( + reset_level_g : natural := 0; + clocks_per_6us_g : natural := 6 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_o : out boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_o : out boolean; + save_buttons_o : out boolean; + -- Pad Interface ---------------------------------------------------------- + pad_clk_o : out std_logic; + pad_latch_o : out std_logic + ); + +end snespad_ctrl; + + +use work.snespad_pack.all; + +architecture rtl of snespad_ctrl is + + subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; + + type state_t is (IDLE, + IDLE2, + LATCH, + CLOCK, + READ_PAD); + + signal pad_latch_q, + pad_latch_s : std_logic; + + signal pad_clk_q, + pad_clk_s : std_logic; + + signal num_buttons_read_q : num_buttons_read_t; + signal clocks_per_6us_q : clocks_per_6us_t; + + signal state_q, + state_s : state_t; + + signal clk_en_s : boolean; + signal shift_buttons_s : boolean; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert clocks_per_6us_g > 1 + report "clocks_per_6us_g must be at least 2!" + severity failure; + -- pragma translate_on + + + seq: process (reset_i, clk_i) + variable clocks_per_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + pad_latch_q <= '1'; + pad_clk_q <= '1'; + + num_buttons_read_q <= num_buttons_c-1; + + clocks_per_6us_q <= 0; + + state_q <= IDLE; + + elsif clk_i'event and clk_i = '1' then + if clk_en_s then + clocks_per_6us_q <= 0; + else + clocks_per_6us_q <= clocks_per_6us_q + 1; + end if; + + if clk_en_s and shift_buttons_s then + if num_buttons_read_q = 0 then + num_buttons_read_q <= num_buttons_c-1; + else + num_buttons_read_q <= num_buttons_read_q - 1; + end if; + end if; + + if clk_en_s then + state_q <= state_s; + end if; + + pad_clk_q <= pad_clk_s; + + pad_latch_q <= pad_latch_s; + + end if; + end process; + + clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; + + + fsm: process (state_q, + num_buttons_read_q) + begin + -- default assignments + pad_clk_s <= '1'; + pad_latch_s <= '1'; + shift_buttons_s <= false; + save_buttons_o <= false; + state_s <= IDLE; + + case state_q is + when IDLE => + save_buttons_o <= true; + state_s <= IDLE2; + + when IDLE2 => + state_s <= LATCH; + + when LATCH => + pad_latch_s <= '0'; + state_s <= READ_PAD; + + when READ_PAD => + pad_latch_s <= '0'; + -- set clock low + -- pad data will be read at end of 6us cycle + pad_clk_s <= '0'; + + shift_buttons_s <= true; + + if num_buttons_read_q = 0 then + -- return to IDLE after last button bit has been read + state_s <= IDLE; + else + state_s <= LATCH; + end if; + + when others => + null; + + end case; + + end process fsm; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + clk_en_o <= clk_en_s; + shift_buttons_o <= shift_buttons_s; + pad_clk_o <= pad_clk_q; + pad_latch_o <= pad_latch_q; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad-c.vhd (revision 38) @@ -0,0 +1,16 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_pad_rtl_c0 of snespad_pad is + + for rtl + end for; + +end snespad_pad_rtl_c0; Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pad.vhd (revision 38) @@ -0,0 +1,154 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- $Id: snespad_pad.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity snespad_pad is + + generic ( + reset_level_g : natural := 0; + button_level_g : natural := 0 + ); + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + clk_en_i : in boolean; + -- Control Interface ------------------------------------------------------ + shift_buttons_i : in boolean; + save_buttons_i : in boolean; + -- Pad Interface ---------------------------------------------------------- + pad_data_i : in std_logic; + -- Buttons Interface ------------------------------------------------------ + but_a_o : out std_logic; + but_b_o : out std_logic; + but_x_o : out std_logic; + but_y_o : out std_logic; + but_start_o : out std_logic; + but_sel_o : out std_logic; + but_tl_o : out std_logic; + but_tr_o : out std_logic; + but_up_o : out std_logic; + but_down_o : out std_logic; + but_left_o : out std_logic; + but_right_o : out std_logic + ); + +end snespad_pad; + + +use work.snespad_pack.all; + +architecture rtl of snespad_pad is + + signal buttons_q, + shift_buttons_q : buttons_t; + +begin + + -- pragma translate_off + ----------------------------------------------------------------------------- + -- Check generics + ----------------------------------------------------------------------------- + assert (reset_level_g = 0) or (reset_level_g = 1) + report "reset_level_g must be either 0 or 1!" + severity failure; + + assert (button_level_g = 0) or (button_level_g = 1) + report "button_level_g must be either 0 or 1!" + severity failure; + -- pragma translate_on + + seq: process (reset_i, clk_i) + variable mult_6us_overflow_v : boolean; + begin + if reset_i = reset_level_g then + for i in buttons_t'range loop + buttons_q(i) <= button_reset_f(button_level_g); + shift_buttons_q(i) <= button_reset_f(button_level_g); + end loop; + + elsif clk_i'event and clk_i = '1' then + if save_buttons_i then + buttons_q <= shift_buttons_q; + end if; + + if clk_en_i and shift_buttons_i then + shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0); + shift_buttons_q(0) <= button_active_f(pad_data_i, button_level_g); + end if; + + end if; + end process; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + but_a_o <= buttons_q(but_pos_a_c); + but_b_o <= buttons_q(but_pos_b_c); + but_x_o <= buttons_q(but_pos_x_c); + but_y_o <= buttons_q(but_pos_y_c); + but_start_o <= buttons_q(but_pos_start_c); + but_sel_o <= buttons_q(but_pos_sel_c); + but_tl_o <= buttons_q(but_pos_tl_c); + but_tr_o <= buttons_q(but_pos_tr_c); + but_up_o <= buttons_q(but_pos_up_c); + but_down_o <= buttons_q(but_pos_down_c); + but_left_o <= buttons_q(but_pos_left_c); + but_right_o <= buttons_q(but_pos_right_c); + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad_pack-p.vhd (revision 38) @@ -0,0 +1,94 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad_pack-p.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package snespad_pack is + + constant num_buttons_c : natural := 12; + subtype buttons_t is std_logic_vector(num_buttons_c-1 downto 0); + subtype num_buttons_read_t is natural range 0 to num_buttons_c-1; + + function button_active_f(state : in std_logic; ref : in natural) return std_logic; + function button_reset_f(ref : in natural) return std_logic; + function "=" (a : std_logic; b : integer) return boolean; + + ----------------------------------------------------------------------------- + -- The button positions inside the SNES packet + ----------------------------------------------------------------------------- + constant but_pos_b_c : natural := 11; + constant but_pos_y_c : natural := 10; + constant but_pos_sel_c : natural := 9; + constant but_pos_start_c : natural := 8; + constant but_pos_up_c : natural := 7; + constant but_pos_down_c : natural := 6; + constant but_pos_left_c : natural := 5; + constant but_pos_right_c : natural := 4; + constant but_pos_a_c : natural := 3; + constant but_pos_x_c : natural := 2; + constant but_pos_tl_c : natural := 1; + constant but_pos_tr_c : natural := 0; + +end snespad_pack; + + +package body snespad_pack is + + function button_active_f(state : in std_logic; ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := state; + else + result_v := not state; + end if; + + return result_v; + end button_active_f; + + function button_reset_f(ref : in natural) return std_logic is + variable result_v : std_logic; + begin + if ref = 0 then + result_v := '1'; + else + result_v := '0'; + end if; + + return result_v; + end button_reset_f; + + function "=" (a : std_logic; b : integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + + +end snespad_pack; Index: gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/rtl/vhdl/snespad-c.vhd (revision 38) @@ -0,0 +1,25 @@ +------------------------------------------------------------------------------- +-- +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: snespad-c.vhd,v 1.1 2004-10-05 17:01:27 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration snespad_struct_c0 of snespad is + + for struct + for ctrl_b : snespad_ctrl + use configuration work.snespad_ctrl_rtl_c0; + end for; + + for pads + for pad_b : snespad_pad + use configuration work.snespad_pad_rtl_c0; + end for; + end for; + end for; + +end snespad_struct_c0; Index: gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb.vhd (revision 38) @@ -0,0 +1,225 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $ +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/gamepads/ +-- +-- The project homepage is located at: +-- http://www.opencores.org/projects.cgi/web/gamepads/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is + +end tb; + + +use work.snespad_pack.all; +use work.snespad_comp.snespad; + +architecture behav of tb is + + constant period_c : time := 100 ns; + constant num_pads_c : natural := 2; + constant reset_level_c : natural := 0; + constant button_level_c : natural := 0; + + + signal clk_s : std_logic; + signal reset_s : std_logic; + + signal pad_clk_s : std_logic; + signal pad_latch_s : std_logic; + signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0); + + type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0); + signal buttons_s : buttons_t; + + signal buttons0_s, + buttons1_s : std_logic_vector(11 downto 0); + + +begin + + dut : snespad + generic map ( + num_pads_g => 2, + reset_level_g => reset_level_c, + button_level_g => button_level_c, + clocks_per_6us_g => 60 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + pad_clk_o => pad_clk_s, + pad_latch_o => pad_latch_s, + pad_data_i => pad_data_s, + but_a_o => buttons_s(but_pos_a_c), + but_b_o => buttons_s(but_pos_b_c), + but_x_o => buttons_s(but_pos_x_c), + but_y_o => buttons_s(but_pos_y_c), + but_start_o => buttons_s(but_pos_start_c), + but_sel_o => buttons_s(but_pos_sel_c), + but_tl_o => buttons_s(but_pos_tl_c), + but_tr_o => buttons_s(but_pos_tr_c), + but_up_o => buttons_s(but_pos_up_c), + but_down_o => buttons_s(but_pos_down_c), + but_left_o => buttons_s(but_pos_left_c), + but_right_o => buttons_s(but_pos_right_c) + ); + + buttons: process (buttons_s) + begin + for i in 0 to 11 loop + buttons0_s(i) <= buttons_s(i)(0); + buttons1_s(i) <= buttons_s(i)(1); + end loop; + end process buttons; + + ----------------------------------------------------------------------------- + -- DUT Stimuli + ----------------------------------------------------------------------------- + stimuli: process + + procedure dispatch(pad : in natural; + packet : in std_logic_vector(11 downto 0)) is + begin + + wait until pad_latch_s = '0'; + for i in 11 downto 0 loop + wait until pad_clk_s = '0'; + pad_data_s(pad) <= packet(i); + wait until pad_clk_s = '1'; + end loop; + + wait for period_c; + + assert pad_latch_s = '1' + report "Latch not deasserted!" + severity error; + + wait for period_c; + for i in 11 downto 0 loop + assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i) + report "Mismatch for received vs. sent buttons!" + severity error; + end loop; + + end dispatch; + + begin + pad_data_s <= (others => '1'); + + wait until reset_s = '1'; + wait for period_c * 4; + + for pad in 0 to 1 loop + dispatch(pad, packet => "000000000000"); + dispatch(pad, packet => "111111111111"); + dispatch(pad, packet => "010101010101"); + dispatch(pad, packet => "101010101010"); + dispatch(pad, packet => "100000000000"); + dispatch(pad, packet => "010000000000"); + dispatch(pad, packet => "001000000000"); + dispatch(pad, packet => "000100000000"); + dispatch(pad, packet => "000010000000"); + dispatch(pad, packet => "000001000000"); + dispatch(pad, packet => "000000100000"); + dispatch(pad, packet => "000000010000"); + dispatch(pad, packet => "000000001000"); + dispatch(pad, packet => "000000000100"); + dispatch(pad, packet => "000000000010"); + dispatch(pad, packet => "000000000001"); + end loop; + + + wait for period_c * 4; + assert false + report "End of simulation reached." + severity failure; + + end process stimuli; + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: not supported by cvs2svn $ +-- Revision 1.1 2004/10/05 17:05:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- Index: gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/bench/vhdl/tb-c.vhd (revision 38) @@ -0,0 +1,20 @@ +------------------------------------------------------------------------------- +-- +-- Testbench for the +-- SNESpad controller core +-- +-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +-- +-- $Id: tb-c.vhd,v 1.1 2004-10-05 17:05:31 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + for dut : snespad + use configuration work.snespad_struct_c0; + end for; + end for; + +end tb_behav_c0; Index: gamepads/tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile =================================================================== --- gamepads/tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (nonexistent) +++ gamepads/tags/rel_0_1_beta/snespad/sim/rtl_sim/Makefile (revision 38) @@ -0,0 +1,82 @@ +############################################################################## +# +# Tool-specific Makefile for the GHDL compiler. +# +# $Id: Makefile,v 1.2 2004-10-05 18:18:23 arniml Exp $ +# +# Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/snespad_pack-p.o: $(RTL_DIR)/snespad_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/snespad_pack-p.vhd + +work/snespad_ctrl.o: $(RTL_DIR)/snespad_ctrl.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl.vhd +work/snespad_ctrl-c.o: $(RTL_DIR)/snespad_ctrl-c.vhd \ + work/snespad_ctrl.o + $(ANALYZE) $(RTL_DIR)/snespad_ctrl-c.vhd + +work/snespad_pad.o: $(RTL_DIR)/snespad_pad.vhd \ + work/snespad_pack-p.o + $(ANALYZE) $(RTL_DIR)/snespad_pad.vhd +work/snespad_pad-c.o: $(RTL_DIR)/snespad_pad-c.vhd \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad_pad-c.vhd + +work/snespad.o: $(RTL_DIR)/snespad.vhd \ + work/snespad_pack-p.o \ + work/snespad_ctrl.o \ + work/snespad_pad.o + $(ANALYZE) $(RTL_DIR)/snespad.vhd +work/snespad-c.o: $(RTL_DIR)/snespad-c.vhd \ + work/snespad.o \ + work/snespad_ctrl-c.o \ + work/snespad_pad-c.o + $(ANALYZE) $(RTL_DIR)/snespad-c.vhd + +work/snespad_comp-pack.o: $(RTL_DIR)/snespad_comp-pack.vhd + $(ANALYZE) $(RTL_DIR)/snespad_comp-pack.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd \ + work/snespad_comp-pack.o \ + work/snespad.o + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/snespad-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 + +tb_behav_c0: analyze + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 *~ Index: gamepads/tags/rel_0_1_beta/COPYING =================================================================== --- gamepads/tags/rel_0_1_beta/COPYING (nonexistent) +++ gamepads/tags/rel_0_1_beta/COPYING (revision 38) @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) 19yy + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) 19yy name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. Index: gamepads/tags =================================================================== --- gamepads/tags (nonexistent) +++ gamepads/tags (revision 38)
gamepads/tags Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ##

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.