OpenCores
URL https://opencores.org/ocsvn/spislave/spislave/trunk

Subversion Repositories spislave

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/spislave/trunk/spislave/rtl/verilog/spigpio.v
1,4 → 1,47
// RTL program for SPI GPIO -- shift 8 bit register
////////////////////////////////////////////////////////////////// ////
//// ////
//// SPI SLAVE IP Core ////
//// ////
//// This file is part of the spislave project ////
//// http://www.opencores.org/project,spislave ////
//// ////
//// Description ////
//// Implementation of spislave IP core according to ////
//// spislave IP core specification document. ////
//// ////
//// To Do: ////
//// - ////
//// ////
//// Author(s): ////
//// - Sivakumar.B , email: sivabsk12@yahoo.co.in ////
//// Engr Zilogic systems, chennai, www.zilogic.com ////
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2009 Authors and OPENCORES.ORG ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
//// RTL program for SPI GPIO -- shift 8 bit register ////
 
`define P0_P9_OP 8'b10101010 //0xAA
`define P0_P3_OP 8'b11111111 //0xFF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.