OpenCores
URL https://opencores.org/ocsvn/fir_wishbone/fir_wishbone/trunk

Subversion Repositories fir_wishbone

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/fir_wishbone/trunk/workspaces/simulation/questa/waves.do
0,0 → 1,19
configure wave -signalnamewidth 1
 
add wave -position end sim:/tb_fir/clk
add wave -position end sim:/tb_fir/count
add wave -position end sim:/tb_fir/pwrUpCnt
add wave -position end sim:/tb_fir/reset
add wave -position end -hex sim:/tb_fir/u
add wave -position end -hex sim:/tb_fir/y
#add wave -position end -hex sim:/tb_fir/fir_test/b
add wave -position end -hex sim:/tb_fir/filter/u_pipe
add wave -position end -hex sim:/tb_fir/filter/y_pipe
 
add wave -position end -signed -format analog-step -height 50 -scale 45 sim:/tb_fir/u
add wave -position end -signed -format analog-step -height 100 -scale 0.08 sim:/tb_fir/y
 
run 5000 ns
 
wave zoomfull
#.wave.tree zoomfull # with some versions of ModelSim
/fir_wishbone/trunk/workspaces/simulation/questa/simulate.sh
0,0 → 1,59
#!/bin/bash
#
# Example bash script for Mentor Graphics QuestaSim/ModelSim simulation.
#
# Author(s):
# - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
#
# Copyright (C) 2012-2013 Authors and OPENCORES.ORG
#
# This program is free software: you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation, either version 3 of the License, or
# (at your option) any later version.
#
# This program is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
# GNU General Public License for more details.
#
# You should have received a copy of the GNU General Public License
# along with this program. If not, see <http://www.gnu.org/licenses/>.
#
# This notice and disclaimer must be retained as part of this text at all times.
#
# @dependencies:
# @designer: Daniel C.K. Kho [daniel.kho@gmail.com] | [daniel.kho@tauhop.com]
# @history: @see Mercurial log for full list of changes.
#
# @Description:
#
 
#read -p "press Enter to run full simulation now, or Ctrl-C to exit: ";
echo $(date "+[%Y-%m-%d %H:%M:%S]: Removing previously-generated files and folders...");
rm -rf modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop;
 
echo $(date "+[%Y-%m-%d %H:%M:%S]: Remove successful.");
echo $(date "+[%Y-%m-%d %H:%M:%S]: Compiling project...");
vlib work; vmap work work;
#vlib osvvm; vmap osvvm osvvm;
#vlib tauhop; vmap tauhop tauhop;
 
#vcom -2008 -work osvvm ../../../rtl/packages/os-vvm/SortListPkg_int.vhd \
# ../../../rtl/packages/os-vvm/RandomBasePkg.vhd \
# ../../../rtl/packages/os-vvm/RandomPkg.vhd \
# ../../../rtl/packages/os-vvm/CoveragePkg.vhd \
# | tee -ai ./simulate.log;
 
vcom -2008 -work work ../../../design/fir.vhdl \
../../../tester/tb_fir.vhdl \
| tee -ai ./simulate.log;
 
 
errorStr=`grep "\*\* Error: " ./simulate.log`
if [ `echo ${#errorStr}` -gt 0 ]
then echo "Errors exist. Refer simulate.log for more details. Exiting."; exit;
else
vsim -t ps -do ./waves.do -voptargs="+acc" "work.tb_fir(rtl)";
echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
fi
fir_wishbone/trunk/workspaces/simulation/questa/simulate.sh Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qpf =================================================================== --- fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qpf (nonexistent) +++ fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qpf (revision 6) @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.1 Build 177 11/07/2012 SJ Full Version +# Date created = 22:57:28 March 05, 2014 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "12.1" +DATE = "22:57:28 March 05, 2014" + +# Revisions + +PROJECT_REVISION = "fir" Index: fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qsf =================================================================== --- fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qsf (nonexistent) +++ fir_wishbone/trunk/workspaces/synthesis/quartus/fir.qsf (revision 6) @@ -0,0 +1,55 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.1 Build 177 11/07/2012 SJ Full Version +# Date created = 22:57:28 March 05, 2014 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# fir_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE AUTO +set_global_assignment -name TOP_LEVEL_ENTITY tb_fir +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:57:28 MARCH 05, 2014" +set_global_assignment -name LAST_QUARTUS_VERSION 12.1 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name VHDL_FILE "../../../design/quartus-synthesis/fir.vhdl" +set_global_assignment -name VHDL_FILE "../../../design/quartus-synthesis/tb_fir.vhdl" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 +set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.