OpenCores
URL https://opencores.org/ocsvn/maxii-evalboard/maxii-evalboard/trunk

Subversion Repositories maxii-evalboard

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

maxii-evalboard/web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: maxii-evalboard/web_uploads/svn_checkin.sh =================================================================== --- maxii-evalboard/web_uploads/svn_checkin.sh (revision 5) +++ maxii-evalboard/web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
maxii-evalboard/web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: maxii-evalboard/web_uploads/oc_checkin.sh =================================================================== --- maxii-evalboard/web_uploads/oc_checkin.sh (revision 5) +++ maxii-evalboard/web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Schem.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Schem.pdf =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Schem.pdf (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Schem.pdf (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Schem.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB.pdf =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB.pdf (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB.pdf (revision 6) @@ -0,0 +1,1303 @@ +%PDF-1.3 +%쏢 +5 0 obj +<> +stream +xKl׏QD[FrSi AP B^N&r?ȃ@Ľ\O-g?*z_O/_iw%_+ +?[8Aw_r3ߥ;9:id*4 _?t_d¯oCO֟FL?n< a\A8< +K8S)S$~ḷr?T8AxB \ޔ75GIɭa7n?Mo6ww1 x|*7۴}4nn~Չ+Gݯ4{(á0yɓ<(~ W&p8Qc׸ +C(V0yoG{Z^wr|`SZ.aք0ywsroIxKd -*]yA{0ϋ9u?'#px2b?Iݯ:Z|%.zlEx+X~(A8k>Mo\U˷R >/+oAGw,NNh?R!Bd?I"<%H8ߓ+&?J'ۿG`Y&RuuhžIt*6t!տhۅwBx:8CnMMŵNvlo8.twm7W@ r'Z@N %MQyl?b<'7M17پqKțjb,\71qF/g_[o]ӧf? +S0~Q\Z. s7{'9Y?sgm~p7價?s_{϶|P8éPxҧ/ܾPWߙ2?>u·ȫroSSAUU$L^%(O) +kr;ܫ P<*OK/E/yog~}$n>Lϯ?8$ar0yCwjY9yhA_IO)Z2/ ++忴/!j.x]_>4IFyħAy7ڳp~Ǿ>iJ7mbf(<>o4wwʿiiH8#oli4.%>g s]s֔K[&D^B;綷Ǘ 'iu3oћǷS{Ӟ=GHc|Ncv!{P^λďSyB_s~=5=WjcM4)w#7j{0_iȁCI`<֌ߣ:&!ix'Uu(_w~|GJ2dHNZ>o#}0-wqx^9l- 6~v|h+xkƏ% +G>r\_ewF]+oLKyw1Wn?{}t$9JEx>G;g8s?9Lп_Ɨ9-NaYr4P|EaNs/S̑'~îIkʊs\}P'}-SgJϔ{UA,v|~K(?>|j9*ax5U?yV7 +'>Ҿ߻F_`X |ZcX?H%kg +i__ ׃ +CԨ~sŗ50\Zy + 9 +~[#ipI /쐾/SR/fA_:rNʗA_A#>Gc(> ts(=_EXcWvtKz)nQ7O;oϛ˽`"|!>F8w|?Gy5o\^GOV˚7.qӟ| >G)+aG#O@nV~ '>.i_ p`OT+="|>+aN' = +gsxxgVH*ީ:\\8^W!2}05] +Z)a8Og__8U4+X|6~`=y<oys +(>4%M_^_{cϏxܪq_>7Сo{?Mw=ۃsӵ:?]GG">?_seOY"O o|w|b"7/ŏs=s4o^3_c2տy +sPj??p}~ +o+| >!~;/ߨ} r9ˏs\!ׯ\q+[nx=}||k?񼾜ّM?q<ܟ_m>Wީ .ϕ/ILsp.Q{zsp|~Q8폆t7 p%ުgxѥ |{򣼿=>~\6 :wEVk$At0iLm6 BQNgL=ϒĒ/3GOGpf>g-yڣƧPi  +CtJ~Jҩ ! UiJ#f}!@qzk:QkF8ȣC_ +++XX+P?'ZPV9<4WnO1橚֑O 9:>d;2S +y@B A×%:ʘtV#S ry24Dos}B'p?(1uF3g>&x5CݿR必QW-׿&t)WN(~{y˂G8d +}뷮 + +o1WC?gW }~S'_hWw||\}ZjKi cw/MJI7RȈ71]Ew3Ps +$=l\>C#|(߸Z_ύ?^[HA?9+?3XB⥥\OoIg8|= >D8&Կc/? +~H~<՞?G.}~pMq'A`%NyaDh<ھ0“Oh^5{zZEy#M*_PHT>o9{7쎩,ym7ih 8/b?јU<W0gqQ8f(߲?(pyW>QGS~h˓Dqp#)h~ñ0;? p8±mOp(Ma}[Js!Rs$?&*.(')kظ>2@c?p8A2 ɣ(8?!7BpPsyf^> +ϋ_wpv}&?s\KJfm}rOn''>+.A8[<_+]q< P8+_-Ծ]?/ +?t~H + +Й糰,NByU^wf[!.܄tL߳C<HE'Q7߉*.>vry܅(q$?T±͟\F +-q]yG~p~w+? +?xa|±K}do&ar?lyo$83suf+wvwM5 x!ñ|@-n\~1:k 9? +͟@Asi(ni_׺,oUo쟶ܡn!vh>V|(ȗ;`?7}(/̣ԾVɻ'T +>^/wSd77w._A +ߤ~A(8?ۄZf  z~f[E˿p0S]bpyQ^_]I?hAwG^#rSndOwJ( s*VHҥApcCoxo_]}( +Λ/CBć>G_,jA2cSE0o,AKΗp8ߠYb~?ÃGQGrjCp,De?!xBp|1r;g# ͿMS~,7d ϛ )' +ltL=! B( &׼4 '$lp8,xG{'gF K:as¶OaG8V~S<Si{zp›f{gY?*6b&^5\'G֙Bih›&(뀑`V_GH2~b|&DyP/f'Zc9AF9mzΣHca>Lۇq0mW0+l?~!_/↟i;O3(«g{<ۜAg@^+aa?]ApG?pA9oA~ ѼuĎ6L k p8X>lwp#n\ŽSsSṅ˃ǸIZ.g?\?+'©⁸W'ڟۍP? +c@x:r._mI8rO ׄpw-F$ {3y8 Baoc(l7#`swA<4ġp8¶sh^;Ap>>CQ8!׺ɻIA8> +$kssY@pm9? \5 OE/IEwWy~P~{b~|L lDap_p&<'tSp8Ea9(x24ދA{1x ^|"x7t7x7t7x7t7xe07CAycܤ|A8㽡;O2/A:<:<ޣߵ,T֤@) /<kܾ}x:<:<:<+XWu#h?id?Oid?*S?*S?17nhd?Oid?OiaqaO3kTLʩO Wgɸrn_o=?)=?~S'%z~R'Ez~R'Ez~q7gu eṅ˃ǸIO~* +&Pp'ܫ3Ǵ~g'iD~`U^~Ç^ns%+tbÇO|iWh~xnTϪzJHO.b|ˇA/fIVk/ڹڋvE{Ѧh^i/ڸmڋ6EM{νh^s/ڵ܋vE;]{7)_N/ڴmkT^q/jڋq/[". ?e7i!̍'_aϬJc$Q8WA82jrc>~eXOJ yWnT> xM8?oL/\wU2# +( +'!zn, +>Q8ċ"7ZJd2JGypyPR )w֏q(/(o1%̳ڧQhMy#ރ/OO=9_.Ok4O:O<_ sw0YGyQ^G^C<}\%Ca|WP<)OdVQ8/̣T>3HAxA꟱+ߴZT˿*= +w9qpyR>OVQJ + +崊T"p8g(*RtW/@#GB)o2 oJXu*}8_+jc'_8T +9.S +(lzP8NpéA#=Q a!bPx%}6iN"&Pxv3as4}0k+f늂v]MwQ<(hߗ(lӮg(\'m4z.wt: ۩@_N=`opw}oT_ +B#~iW(5 +>P821aGP]e +o2Ey<1WpyR>?~\;Jفb\>}^.")(B 9~˫x=m|r|>OUO^_Dwo|p8}eOwr) gI>ۗ +z FI ͗' ^O0x=z +?⼞0t=az C',wZ0x=az R6I"4$>7?!į~d߷貞M#Px=$ +H1';Ax=: +'Gy}c-R|¬)> Cnc4>ȷI<+χWM<jL&<|c;ٿQ#Χ8Si +~$\y}OJp#$Apޔ7CG?$ +$8/ z 'cTqi_j]OP>( X>#Rt-#RF|wvϡ}pyV A9hb?(LDya$Xry4Yx;:8^ZPc4^ZNW/"%.ڇ4 ?-ف* 7ތxڈ34~IGa6\)ƷN|˃|Ay`CCpc_Mk"^~w>|䌇?~sQ&O>(8bɿ|# +B|^;P>G+ןހp+Ľ:>~0>M1j}9±~gB (??/z+j lN;Ծ3Q,6'~k_G?4>?J G֏6??hn7'} ﵿIy"YϴoZs&9u;fkkzN=GI<+d(Gf!k;yg^Oxק1>m~avvxk+ﯻ'ǁpw o]~T̓QHG@'o7OoZA| >.3č蟶>9п7+ؿ^`^wpS\?Oh=Qy$;pyP>cޘZo'<}XOOt~q8{v~^Aϳ|" M⃰9ONx_, e=|˃x_ +?'.Oaq^Oure=o;Wv@p\@/A녃 rN^Ϗ1 O (yeC((\'?8ُEޯ&a  +7B<}|Qd.Oه`̳O{',UɳT?o_\EAY+?·rB qZ)>пpyV~ +>/ +?t`Tﭼ߻˳$/[},w>ߚ<~rL3O/  +ejp|AXA?Qg0>Ϡg~mVס0y>&y(\e(lwO~ +~ +>엡pCh?ͳ8ǃ'9 d>l ^Y +| ׏|E-J@|Q8; +>(S|D<7=_|sQ<+?ҟk%.?ZӐO H@pޔ7C}Lb7G +z\x|>$(DT.3M3;i?cxWGa?<~>P폡֣}7y4C'rYŅA8qjʼ2~ +B#̩Y9ǰ|P8w-AOR(/ +c_\~ZQʷi6.cP'ٯl߸ +{L<ҿpyV>#oԿ-sP>1/''\ߖ|r 5±/,]Px}^ɣFcǩt +<Hܮō{O±FGܘB>Q|^(\nOy *<&ߵ/_A|.y`?xT{c±˟Eyag}  gAx=ʽNY9w+Mλ=x$ov71̫1 O\>~^r.@pgj럛,-ggGSܻKA8W~zb^uT +λr*.|}Ϲ>jלC6<5<'q}پop"[!A +}~8~Pg' 8oqwp|; f|ys ysMϛ7g@yg^O!?˽;({_[ya>Gy=梼o8'Qޯ-=۲[/\7ۢ[/:z2k⃰ߟA8<Gn\~~?Ó[/ +Ǽww>Gy]梼oyfwwuc5^5 +P86ᵑ}bp&n> +_$|>!(L^p&Apc> +(p^1Z>cA89DG<ws׿<}^\}G8w-~IQ)odGk~3o\MqֿQ[cH[gߨ ^TMs6]mt=znMs6]mt=znMszmgavp||x,̛1^QaOf4n}U#7Q15 geSUs|yfؿ~:N?XNk( \'o:y~A t/_y~A t/_y~A(ȍ'Q̩|~_)~Aoܘߓ~)%S̜_<{?0(8/ kb(=ć|7kI+?9< +΃@巺[/J1>Y\wBg2OKQx)s-\g_/+<>EovN ǁG҅_\nb?7'<&(wgyt01#Qy)7Xo@ao+?CW/ 7Pp.1&\:ol]w_t<yA`G/w?v_ '~] |} +/p~O9Zi+8qA8+zb9vݟܫ 㣰ˏyUO|s߷F/n{F~q~±C^3)?tx)h`3Ey!0K<[ ᵳ?.7F~P8!<}Z?HIIyX ww~Q[T? 8/R !\~Yh8ۯio%'ñ|A8~@xO??(lpο/R Xow- +߭4F~]bP\ݾ8uǸ>9? OSק|A<6R(\>P(p|GV@xs<e}˳Laq#2:t#|`n}Mw~Txe> + _/?͜i9y="M옻<±r^;;hy\g 8r S>A8!ᵳ^]k0G*?&r,{n&Pprñ~i7!@y)/.<. X Zi6ߦ۸~:NGt +o3N!ވ=?_pֽN8S>N8TS>N8TS>N8y0ǩ|}qǩ|}q;8>˾r8/;/+ E}~#? +!u>߻350W l +ӧR3ahNߑe/p\wO&> ˢAW ^ ;_ +g]-[t?~ZiE +O+Vt=~ZiU*UOVu?~ZiU*UOVu?~ZiU*UO+l=im97=&mQp~[i?3M1 vrsgnZF|h~G燪ϩ|>{|~~z~/*z/*z/*z/*0=a| +~U_T\3 +;U|FG?W\gQW~~@ϕ?W?q\sU?W\3 +|omR>?(As(MgM?pyS>o|3|gN7oZF?WHF*3.|3 +{g|g8zÃ^[sU?z]ލߖ1lzsɦɦɦɦɦɦɦɦɦɦɍ׃7^nx=|pt=زWy %,IK0=/a|^y %Vv>率率率率率率率率率率率率率率率率G0>azy={WS +gTSM7TSM7TSy7oLߠpxIKߟ17(\s*+rS~~~~~~~~~~~~g竞|~竞|~竞|~z=7Ԯ;W=?_2 z|~TO} +Nop?A<} cT=__|}1 + +}pP>CpY7>_gz|:uי3>_gz|:uי3>_gz|:uߌϿs=g|>|:uי3>_gz|:uי3>_gz|:uי3>_gz|:uי3>_gz|:uO0>?az~ 'O0>?az~ 'O0>?az>|ď\O4=h|>糸~M4=h|>qQG5=/(lNpP>0},珚?4{v+'Aa.gAܫg!A8?±/AGCߐb|<|_%U?r˃o9LEp8s|wVvg/)DQ8ۗCyQ^G_=+oCO'|9Y_so\+se8=XOQү\5Ḯ3p'i(8WS~k>- }q0špyV>q^2g z1μ*B3O\>CC|( (\g8G_oCg3ƙ(\ޕ[9o˯AsQxYy&%[*c#Ʈ3'sgY/SS~%̥|VW^!(C{ξHA2ANkex2B$~4~̟U~2Ƈ(aV2Q8i|{$8Oi`CƇ(?h|tx!NÕ4>xOےQ~'rȥ}c3Ϟ?0ye3or_H'g_?q_,sп}W_J=K2~@\_frVK666߶(#`V>iA7n-5yQ^>9?qR Z~˯꿽~#7- +)7 录~ޙBMÛ´l-^Z^K!)xo1un~wM“WzmCt0 C +>fsF1)8cʇohïs$8 +CѲarTgq w55qpc)"p Q?qN?&R(L^p~[nCAᵓܻ9@@P|0(!a7kpCpG} Ga"fA84 +h^ +(O(`i`LqPe$.`$[Ӏ@ +$2Bp-X:*+Y.CⳀ?Um2~R̰B}BI1|~*Is"–!|ϹwҞ9y&(~S L!<_Pf[uKcM|ns3Ftp |hZ)_?Yh&q370-C-@xҀ CwۀBxS_L վ?G-H ~Chq9=R5z7\V4)+A!0r`)79,5}=P5F5y6qrK8-p Ae 'ߥ4zr4r-_Y[nW=lhC?C{q;0B_L}Zmւ[ +ܮ:ͻʅʬӬˬӬˬӬˬӬˬӬˬӬˬӬˬӬˬӬKiVeViV^9;wL!W*;7Û:+Wc&BxE/ bxS)P+hy@-g ?+TP9BZ&} *% +Z\tdNwd,IA_DjDg5o#hˑ,sbscJ$8O'OIx:=rNȻ/k˜>cApgxcGGEω?|q?9(\?wvGU^s3 򪼒}?N=o)`\] 8o4%<@x"yfCɔ~Q /kY$8/?.Q_M?OM ?~h)<}ZZ5ϱ@+?k$ D`9Q?sPN+a%I?qOQ8X:!“#n- &i.郰WM_1w﫣I}9NܳM߈c +YU)<}Wƺ4,k3˚9 ?3~f;h›fU^}E1n˅,j_-J\$@jR g~"Y4юt1.w99mXox'F~QMFOʺ8G45=e#֌ i(R ^p>ۏ Zr~ )7?5.}вoүG}╹q(^~+/WҤ~@84_7׺E[!D@88AH=߱fKpG<QʧFؾ +=܇gEwv|ngII8?.OC}vB'}z=ͅy0|F M +ނb[w<[21<_ZWTedCo%^;8rwd?f +ս"7~N@p^>cpc<}?n?hr"(mȜví.3ه} +2[[޾nV/G[ޜ':& A]?T~ [s(ABu.Gp^g%-}bG| _/_?@SfOs#ww:D<'/P?~< +Gsp8?>wqc>~Z,A׵~&ñ~@-^|RSQ^|_ֿ/ +M1WI{*d[ܟ` #8[!>Ap^>?\BBc>/$qX|ۆYyT> R~h.We1m~1?iD˿rZh(>/lss?nr?g0Yc(8'Ck'G<1ov#۟>!پFG@8yR\wO\??Km <)ct[x䈣KmQw|=<}_ 'GE/'9 Mx8V/jTọՔkȉ9KI^8]%(Oםz7UͷRo}p8lŢ}0yAp^> 0_]| |??߳C<< +QB} Z%z˖P~ A)i.;ٿ A8}ϿsA\P>4OPsYy&wsxσ?@b1$ p<*&[zCpza??2C+i_)Pb>{m_5} +m_5}um_i_C5}]^>`>]9'(Gw(g' ëaɭ +ay$ +GǍI8CP/K} Dv0)eQܿpyW~ bX^/>=A؇=(#x).8^؇-(83*pGj=H@|2I +Υ}pyW>ߩ.@µo?pi(c뇏ص:*A?[r?ևaфJ.jgXY(]yV_sK(?xU?KxhZ?NJp^}(迕ODj< +';eGa༈}.;ه]|ya>ϟ?g!_(R>gi}υ?4 +9*aN?˫0FwMS~pp~<2 0F4`֌1} !P܁~< iWA?iS6O&j+l?(8|nj$Aml?(<ߔm?O#m?n?X_(> +stream +x}0o~]w#+(]K"ɢs$ + xEZ1}LR~?A +?/찟j~~b?cֿߛ6'fW`_.hTcM?o> +ȕ!,M>z?tϯ]/\Gn ! v|A=n?D돂fmQsI04<5+}O?HMߔw}c~?l6`Q0tP0럙_z_;7 z1>O.P_/|eoa)1eU$ +aÏٿϏzeDԷ]ƯW>_<^4՛]w}f~ _?Oe¯Qm߸[ә:6wxyHՇތ_6]=oշc- +`m>O 㓠e Coi7 xw:oo;>O1r<ğ'/ + +c|gC}ǯ<~ݮ +&~~~o{9 >?hkiӃ2~pd /;-!(z/ +_(78tOa)[Gne??9~{}"5)~/M7+Z}hlX O U:7 ke-_m_kS O2%3P}RydhZ@?t~]۞~qּ~a-Qa.TFTPdWW{B$gӃ9M6I0Sɺ >@Ӷ,xACsE ]ս?Ose]]6~*4~*TUZ SԫLM!#5Gw3^vkSC쏂}~(}|8>8W>k퉂M^?㇣ s_W+gM?X6xFsoEpY묗WLjlp|oKT$QB5{^xum._c^cZ"ꊨ+Z5:6=u#[/(zu0]9C`F$ 0d4>L9⋺iVj[͒O4_-ץI#ޣ٪(0VYFXݼOBM4Whmkѥpe%6+]MO}vNMiMOM/5VNBű&І@CX@ 4 z5PJ@V6,fY$LgWmYծ4k62k[F]KWl;$ [8 n`Fn\"deȊ+BF+-W`eo,/GIC/Gk~gt͚1Л#,+m)&ޘ1К_4^_W ٦㘽~:??ScQ+VF"FmOg8^m-lkE[Wue[Wl]k֋!>[[^egm]֕m]֊"F} z!C!%u9PivH0VBiz(5=D:]VP +P\hۚ{tI8\"8u { +rfھ h@gIĩc3M;:$>x& +Ϥ48+me?GH/[HNG15GGra?"G~$B1uyuCԍQ7@m>d6BmM]Ԉqn!j\m^mW[W[W[k"VՖᘽڎڭ/ETdHeTd"C2X#fb)z?}[_! Ȑ E1{e`Be`ԜA̠ +l gP3T `U0*A\̠ʑA gPdp%v3( J0^w3(m0#RΠ3( J81Π3(5qA)fPzdPZ_+keP+ke语DZ_+k=uMϔp ue]DZ_+k5_W׆cka^+"+"y-^xF-g#[UVUlU8[u^W"x=篈UlU1[=["+2+ZBg@eb#8gy늼늼V;e*FQ׈9nnp^ehA_7 +uc_7׍6c"2jEC:>z4y k5F-Zϭ/ETdHeTd"C1kz>Q!r0D! Ȑ E1dΏe`E! QdHETfHE(2D!s~|cL :EԺGs04@D{A^7uc^CD^wEtm Ɓ1ꆨ+VF +`6u(Z2ꊨ]1c#_z4&{}"km_Fd0CR!R! bq!S0"CȐ ̐ QdCQWD^[ccؘdHcTd"CȐ ؘ06&鈍h*"+"y-j1 a戞0'#zˆ0' #zˆ8' #z#z3:3:N3kq3|:诳2juf_g6"F__'C!A^7uE^+Z׊kC! t!yH<$q0I$C! yH<$a<$se2Fue^W"x͟1x=uee2GQ&cq^WuE^+Zs~2>b&^OF|DyH<`RݍyH<`R0X0)#Q06ƨ16ccX06ڈ `lrF(#eB-ep2QFeQpD/ FtgHeTd"C1`D31d0# kA-话!R! bȜ!N_ᯕ#bDW]1 Ft.#rDW].e`q^WuE^+Zje|L:2rD(erQ2QF8F(eb#ʼiOz@m`S^~C}3j6=oR#}a}](i \lDoXjAg8^7nZ@-PƇz:`6F]"jeԊ+n;j)Z"jeԊP?Ԓ$@=3P +u657es\5֒5eSghY#oz: 0$9jo/)\=kj!jm2F#|7P+D-Z"uԆ{gh|x!g/9WRצ5gn<ѷ{0un^XH,߾P 'Ag8> +ʶh놶nl놶hke[ Z z4>[O~q4N7cf[3f:8dkNeVBeXk {ep_p/s\laIP#P{c@2gf6װP{!"jA¨Q+P7@8 +/D߹Yoyjh^7z5l +w{ +jhWB=PC[Z2jEQ7D=f׋0VD- Uj-]J+!фBV jEԺ}e_oy3C~z7ZO]7!߻=7|2{ D= 25F]"jeԊ+nzCF/¸QoB5jGJ! +Ҙ! +⽠ ٠zס1ꆨ+VF +oZi +GQ 2ꊨ*tC"!~L}5u)A wdAa2D!zeB \ZO +Q7Fz! CfeFjhKQf46u(3FA!kuC[W]HEBf^O{*ۺz#R92 u_f["18'A4fHE(2D!  #zˆ8' #z∞0'#zˆ0' #zˆ06Ҙ!e(2"C86& cc:bcb_'׉uB_' uB_' u:uN&Gba)ZS-Fo-;WDט%bDVVLauʹ_X[1X[1kndڊ٦Np+F;Ʌw $gIμy'9Nr9v $I.\];w $I.#\p'Nr9v7"'ltvJk'h錀_/gccrV$"9ɩHΊl;굓MAԊQ+@v[#&B=u v#^ތ 9> P;~!ƨou#^׺`XRPPPPV"+`'fE[7uc[7uE[+Z^XS`1 VH +VHʶV VH +VH|D:BRBRBRBPQB2B؈+TB"\!VH$j +<,j +P VH+$rTH+$ +pDB"Q +pDBX!ѣB)@)r +e<S H1RLHS H1RNS1!봵Fb +)@))W +V +V_Q`5`5p5`5`5}Hc^G5p]`]`]Q7FuEʨP>G,z갮Sr=)fOٓbdz9"ykE^WuQ(=)fOٓbP( H=)fOٓٓr=)fOٓbdȾ^cb=)fOٓٓr(!yb*VF-r(!z!T^V\V\V^V\V\V^V\V\V^V\V\c +7-"cљo7oU2;z}%L7 d%aXX\XX'uur- [mo"uvvvvv9*ڙ+$+$+$vI^:By>}]{c]eܵOkx>}]{!Sص\XXʶƺNƺNNƺNƺH/uQ)\k(Xk(Xk(P55555ta̵ 0k +k +k +k +k +>C:5PPP`Y  + + + +|ćl=uXk(GS1)T-0y~|*]!?KVpTLbTS1O>c Lx2ejo~-|*G|*Fb dB1PN& Z dBYgy~GY[L^¶5>#,<,/5@)r +D S H1RLH+?_i쯱WWWW#{ +S'X'\C l|XC+XC+\C+XC+XCBkhrЄ땂Jz`R^)\W ++}Dza^)GR9Ss>O1s>O1Ss>ŜOO^)XWeC땂Jz`R^#>dzJLU1SUT3ULU0ST3ULU9SUT3U3fdmhy_{|V;ο/6yįz'(ehlpHJw DKlxr]m|.\c`\}io҅G9EXx(#,<½x.}Og8^q}y&|u> ֥G^?WwG^}6]7 ?ڟ +^:|5# y^yW@!LyWFmXQãMz֋!>;ڛ l=ãfÇG?4|s!ʼV5$~=ZO$!x Z׊֝fڛ !+ȫ_뉺!ƨ!%`B] %80P G(#bp|B0G(#bp|B0e +G#z^8#z^? +FrDt(#e_Q2(F(#e_Q2(F9rDW]8 "х#`D|E8+FtňGDWQ16 +F+cpl/VFبʱQ16*Fبs^cwH\:zJ фwdXDQ96* + 1"}s`K2QF9(,|ݞG(#>d(G?nQ>rQ2cćl=u%m'e?oC ` +.y}n8I\];C8GP) C^]..׻s/wjWW˔CwEcĄ.O҉'V՞ɇS~^nUyXk?~d!c-K^rKE(nCn,u./\Aljg뿳ȋ& e^{E.>y]p;.˵4ܪyw/ ۇ~D<=<< +5_O5w9厧yǃ\_e7/l_7:5#s]l|s{or=?˼6gȹ/)\>k;/7y__M`·Jz]n,^qJ>2;Əw嚮_#M޳-h|qd8u8r{._n~ozigWՑͫ'N*_;\F5Sc'VOt]zɟ6őMwy_y{<\Ʒwn;NK/߸١lޛU`-~ݎ7?vi]>^t|c~2ɛۏnד + yusޝL}M\T]\It;pqOpt,].W>[Uzs\%Ys +"͏.{,3>}x OCS% ^E/r{{".?Y{}(8-N<[ߟ'}q"8 ^xw+.׬sەE.?RgȖź2zAoG' +Q\+m^UAީ!]O;>vr3A;݇?z}ⲯ9'O2VoL[2ׁ&?7] +L6 Et?1=ǐ1gvݙO]~kvx_n_W[w ݢV]_d?&?g=A]~Amً*c}nnnnjKggggggg䗺/K?#ñk;7W`\Y&;7C˓PDo;7y w}? +sʫn +whGz}}^7>uuurǮMޯM^].>\_S:N +s;v^ƟGk\"2} ];o>NaϷ^7z]qz.3}y{utq]ׯ^@endstream +endobj +11 0 obj +9224 +endobj +14 0 obj +<> +stream +x}I,9ݼVq7+0 +㏁۾SlE R'(J^O;??ymwϿ/z/cyef"{}8=TC<tGIWHw]Z)ZFϦuS`]-Js +s<~8|7Gz?A? #Οg~/T?A~8qG_~0|>+|GSz?o"|{Ñ[m?_!JPT/}o鷼-(Ϙ%ǧy׋ ~#G^/_!>5ݷ~|C?ο֣]~LomE?c-oyt ?_r׿׾%V{"~?BDy ?ss\G3_]~F,?c31?ڟj|ߋqIv +;S1?OQ*"ExO?1.1!ѧ+0em^e㩌K(u^|\169 Y 6O Z =x " 2Z1:#*>AAD$ƀXM +bPAj#m3ȘGFP޼_MQӍLYll$VJ-{?Z͢'5mϗTDdd/deOPߓZLFp?f +ygs^AtOdGX!6h Xj/b {VB}NMe +?u1~<DSqZ ,&/{L8!ʘGB_k3X~>V +H+ Z;w'c|rK@6u@-n. c +g(~d-pw&2!Zu'e7U +0{X{pyr?R}Ǒ G +7~=g~#L/<A j !YK<,ѯ(k5q d? ?S dž>C#kg +ϩ,C#3,!~5 +eB0}?Z~,P՗ YK<>CO"}y j*j3 xyYG 1? A}O"Ґ~3e +G ?:x_zdæE +?Z6h7#}37i1 +?ZC.k߼bwcwb7b7~~0;~1~0~0~{3$[C҈:Mb3 Z,xJ$٢>2Uy.p}b~6 +eJP}@DoDFCo+g(~ZB? +2O#k~3e +Z3?jV![YE ^eo5>nVCb~6 +eB T@ f.m5g(~b@ _k}Nd~.B?y*8+8r?8klqVlqVlqO_5xj|dd ;3E o{iɌ[2Nd t`3UA61>s-@'H +Znq`&Xtخ!3tiZ5i> l}JdL!xmqX'VjEYAHG(ۨ#}~>kj!OGO9[/2޴t}a#GĢmIj*c O)/HL>V +H+l.mڑ{ӹxpQNy@P |k60lE@GbRpj*cZxٟ}b~-NTYl(#}~!xr=`# zIdbbS3q{N Gq}X1-NzVFW5WuS{9}Ǖvv]A (}IT0[4sj" WJ~r? +sw5j?VF۬jϽ鳢?,o}҅%-YmMAG"}Ǿ{_5 +g*j@xZ-ZlZsz_N4ֹ~i,5JX+@],&oYZWQ~zkݮs#}E+WfZO3tՏT=6/e0S =LhE + xx}UF{?zx]P@kq 8.o7uߤnϳzY-Vq +";ZRE9514 +JyCbsZ >A}O*h 2Q}u O<A}Ob6 d#!xYÜɲV@n|5Zx.6YؚuWN3e {@-^ zSSP-xh<'(]Z]_;$VjH'ZH:ʘGB~J֧G$J4> +\Z--#ؤ_CZrl‘SSP-x?>COD$C@6h1p XLflֈieAB&Nߨ^'t:.ˠ كߓx(Z,tIMeCKOPm(=GПF?xpl촕>A!Ow؜ ;cE m{ iR $5mOv" V}2-@'HZYUkW yN>+Ϭa--̭o{`O7ETƴ:7}C%@66h>٧Yl(#}a>c@aEjepTi"d te(%#[SSӪ^3MU"}2]UF6h1p=HC'1m|?ӼQ9i쟔{id4ZAU3X +e WHe-iJe4Z>A}O"2~AY-x{zy*S?KEk'52M0-ZF 4id }4gg2s*H߼Դhh$"w_PVA 8~Zt걟d}6-uك\-iŪ5fZ-MmCg}" {*h7z_VV?R Pl"e;heh7#jgT +7E h]VA 8_݅ e~;9C&k>b%&;K[LfC4Qj z30i3yސXM&˨#UТ&NMef?C{@!B;5 ^eb`.& rYTpu\^$4kY{Z{m^eef+xiQm99'PMXu࿛>E4̶t8S CtaXH.9c࿍1!yc`+=2Ys"P35-j +SLM%t% +<8kȩxpg=h3TDd@b(hd RP}@6ҷJdfmj[;ϩgyZm2Z-#~Ƃz3Se +>aom,mdiУoJ, /9S,Ze'wM-'(S%SIl2Zkc uꁈ H'mh_ +j@Xmo%Jdv5RXie(U\ҰOgKF*di1 +% +i]hJd#!x3q)q-O5!T+E.TQG30XyxA6 +h10 ŏRӽRs-x{Hg?-NY+E(g cPyᓒ4@h1]M}ލ.`I8NMeΎRb>;:*2j@`ST,0I-Z>>MpQX4,ڡ9W,_PTk*(5+@ H V[Z >A}O"bBA<:'Td٨ç\njYGY櫹( @-5f|ăgJ[+{lEvgIdz{ y) +ttqNom@AK 1Yx4&c ^BZ }JyAbs *j@ +ZSqZGl-y?{82>#>CPuP.-95ȑ~(\[6)ZonZ-+]$τdC +%r u?QYd'MUu9ђ[F2z4'VᎲZ5g> "ۖOJMeLk"xhfitVg6`=IڇHT%b=|>u "> Jdzޯ>ggm5 k,[{E;bMv'M; iIǀ-#NMeC"}jToJPV + Vҝ.L>ʈjͳQQ:9xbƀTV+ha(QLZdcR3Z [fu."D1ii|3}}Jd +Z+ + iG=L9Cc9E3֋y,)5-Кg+qfL4b2Q-@IK=T {CpgXVw.+U}<6j?JhM!wpVmx 5"Zl@ k 28k gRސXNlZ5g> -x85m4$o3xt91몳;4sL +Z@'Z3oZ5g +ñW-ޟCNSMm?~MvE`X61Ԗ59d4Aƴ2Q6jK}jRސnӦ7-N +ZTQGW_w.l1"MAW}BW,jR~jhP8=˘W#>AAD&$fsZ>A}OJhJ˄GFխ&tQ@8,?7*8ل9Zyϣ%dzE;XS3./˅>COD:зEueʁ>CH.@D@hܣP,u +"8 4?2U =HP.ho8> +Z]OPי BS]@'>mS3YG>{U g(#Jz Z7p)&T6'h1 -1֒l֊sj*жC>O`pa.h }_eJO`A>fZ+҇[huJuQpJvmq$&T+\PS)vX.2u-&H/BQVQ GK{av 25uͼ2:dAeÉyͳᦕCX{}yTDJ"xyVMOd?v ﲊZZEy851档=vW<+z<ݚslZO/hi$^t)5+]o:g) +y93j@*ZSS@HqPb1&z 8i +Z"F{-95M3xyjuct5jSDjna9Ccᴂiuh<ŏN+x?W19Gp<wmdR;*Z4sj"c +Kh4(mhGrh40 kI-ZS3U F0x6x#n`>hd^XK$lpj&U6,P8x +Z~'k dC}?4 >8y]V -NR3}~(ۨxtE . +mCxߪ-0}S՜H(u^neJci+H +hdL(m7e+{xY*?!dV5 Aݬѽ/lpWkRW_|xP7k,r~NVF embݚMw+黩dUנ"w#[Z,9l^W{gLKm*Sp:S@4ocLHދ4 +ҝyHkgXFMA_+|SJSA$ǐo>TT\x]>gLO\ +0VPF$4$36hXsRQIu +uȘxnO8i$T4RBƌd'xl)0A~Ƹ+$5og!L }eo>n<\< +HlpE?+U VcAVh{ѯ(k/I"i>)|d~,f:Y o AJMeC:vPOFV ZHكevd)5")tYŠكȎYH>CJMe#!xi ~fPIeʁ>Ciehĩl֌zs\W:RA{9sս?AF {d%J>> V鏐 +aƿ#<EB͓kyr\Z%< a-]آ<<~ +5@ɴ +5'f5Oe RP}5Xle~<%VُRHYK<\#pπPt~,-91~6?[={X,&@< +םgؠ->(;/\washٙ3-'$-e,NT<ѯrI>CO)/H6CPVQ Ѣl֎q%%9}сplAVt"`N*O6ȩَZ^˴'$m).(˨}EL6QkFPyz,B)g2rﴭ:Bwᚌ Y Xˮ-2Zy}PtѹTYl(#}h㬇(v[xkxCo+nEFw{XKcʺQRSP-xϘgHĖua!1b FLQG案(mWYX32(1q4 YK-Zg( +C9~(,O\ 5j~(f7ȘGF@CNͦ]P}rVP-Vt NC7[L@k__vGq>}#MFw?=YK[[ͳ *h+ ޯa:$Ž?0gW:dѽOxpK_jN̽OG +NR?V @jlrk;J>w:]t6:eN<#R3jFӘ-}b~2j@tZ3҇%Pg6C쟓VLvXmV OxV?cH?A +My췎2Zh4TtooOy$o3 B!F ̛ ~CQ,ZtD!kXK_,C:6Cj=@'"#6>VEP݊d.mڑ>8O_ @iQ;8)ZĨ6P-b` +I`CiHҥbeBbFgEYA3TVBTPkE\&PbtSKTFƩb4*٢895mwF'XEtHƽj&T-i e+:jHuWla +v6AAD$fN]TL'IZ -^NMe +' +>]ڞqc&[~R;sUekYEaSKݴrH_n%V;.+U}"Ґ~'6jH߽OM8 Ι j|ert k~h;95L>AOD&$wUԪ>CA5b1كd3p:JgIr9>J$# B(>i !ʘVFOEt >!1;-}Z9g>Yh~(5#}ܷPH;Sôƽ7x</0 2x9{R@#e +>bM`f1mGV%qXN6Y>fB2XS3"ǯq7o;@*91kq:8}7VB>LUQ@ 8޽72>)ΫR(BZl Jdۢü>AQ|#I 8}Тw? hWxg*~T(  +:ȡ#*'5m+x?aV ֚{!wY-x{|]FG.z~kN0YoZ liILA 8?!}jOec/Lv%7-NڵKѢeZkڳ]Z~Nbz쑚@6h(>NZBGɘVF#Pk }/,VqC +ZZ3҇qQMG)zӉ&z21<Ţ %9<4͉Y1w.+U}-=8QPk}k< %&hPO>Q0P*'܋jOy$Ok>CO)è~#.+U}0j*'ܫE}NMe ֟J%8ajAj6V-v@]iuvg3୽+@߭*ٽ.d RP}@Z-{l֌L:?j1t/r{=&H w?hwpjvt`-u&vhw r.+U}zZ iBكew_#PuՇo&qLb`k6~[l!x\A 8~~C}J8f/{XMEp: 0@AHNV Z , !e'n!&#%'6C.D-N'i%hCȘGF6Wf +|٭ڹvMvŦ!I%wpE;{SSP-xqݼNڟ~зVQVPBFM)5-Z>m@5QA+d +E9 ZcWP[H2oN=@g7F +/+Í;2hemVr[(TV&hWj=IW-@{ʘfЇL?Lc!+vjLIdN=H4٧|X85m"}N_4.YA&h1p=}Uo_P@ 8z_(}%x_e eZy+y=_ ^!m2pì xa8u:\ʖǠz4t9t&K +lӃgYkN8!ʘGB*T18}ߺ Jw2=%b1b +eFBGBѥi.KU|>=Ba-<Ѹd(uo}ƃO͕(kUZ頻D^)bɺ]p*UPn<'2ϪZ61EH.=3ȘVF𶞇bRސީ3YE3TVAg-OPFcAkW$uck%Y +*4˶t}"R/:3%㤩dȘ1_ݖv8,X1Co&= d:LCN$vI.~#M{g̩4<#ތ jsڍ@!RAA##cFƔ~] Y"|,}^8>MJ';r9|Ǫ^|9U( ++$42"h3 +>6{M}n9eRŜ]iL3Z =s%9lz85Z9s`$ijJZlO4*aȘB)z?)QĬ&a?, R7z?E'iJ7KRE?PZ|FTer,^ +hǯ-ih +}zilktRC~>.ˠE/fXEFcI,=䔚 +i!Ƿ->AAOjlOPآCNyt4&@Yu},c#-qgdgxԴ(+qf(851wJ}#}jR@:cc 5j>CieoKQ%51w:|/&Nk +Z li>C]IVqrjv -x9Snj'&$APBb7F#;, CzWŷj1C!xJ/Cώ Z34\05W Ds؟eDstWMU~>:evܩ̧= +#UAW A Ғ;bRS^W;g +yҡy&Gу~jhSa-x{{()OV-fkTl2Jd"}?kHEV +@ +^HEfZ[˾ΏAN;C[ҟѕmhɨV-6^t"-/"V95-Y ""}slNb7XK*mXR3Y-u[=|_!*iEJ MHcEKlѦ95-;=Ul.ݮh*[gj ?EedѮL] 9ޫ.OPm mXW:UK'IZ -25NMeݵ,Îc"(pS2Et VLvLH.t0Y +”.yM٩NO +ҷ,V +HG<&jH}9[N *]zX'7 N`(M_Tw셖eu:[X8S-!^AvWLM+hΐnKs +oԛd,X>a ݋p.Olp?©}M +}Ow> +R%vDVY*sveERu{5ٺG`Ӻ尯Զ fg@5-NzYv;i-u"'魢/xMgvaYoLsm67bD>_tJxl7HxWolc^Mhؓ[?zTM^|U!7'JNOdk(mZsKZ-=-h]Ʒ/aYjdYNnfU|qi?.12ӑ6}I=ym@xy2@|Mrx!}?,_`Ey~0Yx`XQr94@FEsݷ6PB_\mmqOX%.i(= +#c էզA~р_WEyn*Nk-af|ި/P}G!ț|?ߊ+ +xS|#Pշ(9Cۆ/O!PʓyuJ>Oh0]|l_;/? +,R(Lc~O[s?ߩ>:=lo8))>"ÚmY.߯ii/#l;%UPV׺Q_|O$4A̿}޼{AlѰSt!wL*|Eծ,8EN䙜[s~(#_HOaX϶QB{! +-7#+v>2^.IIaN U}.1Kyaۿe-Sl A(tSxʗcWa퇕a7Bs +hn+A>s6/M]\򚃼@,VԲ]U T_g'+Lga}C!>n+)ө|?g*G|%H=jOw0~OqtutU_tseMs>V[?>ȭmioہfL>niաE&CiLW?dx?cTb򉏿OneKr/{͎$%jlR.u#k玑%ǁ{ (ShM8?^2#uB>9ّ=sSlS:r$H*'o +C0#_j`w.;r}_ IJdR@+P؛^}=En}|Υ`otNCB;7ksPpڞt;M1/$+_v|k|[~t*?%&K9I| +-n9]ch\˩ݭk&W d?G~O;8~FOy,?ɶЯ>҇C}hP_سP>Pƾ9 IZE~3NkWXS,HW#q#Z<~5Wk̨?MvT:62|U|g~~X + Ғj 6Hv8Ӂ&FnAZH[cِ0SAx+`Ƃ̴?lS"Dkۋ恓ts:[8+զ{2F + ؿCmrpY)8"CG_o~:\|w7. :@?HlQ!;D*"آw]ysҼT%ҁG?Ytc_(-Mqg;&=W1{ V8 >e +~>+ +ѯoYbh>Xia?X3¡B3 + CkT?ȡ]ͬ +f4><2 +ܛ%4f&aӇ|g㕵ٿC[8?<ڪ>*-RhZ [,`j  /:S(ߊc|0% +?˾5ϝhc-'%h@a5$o)>~_{Sx[@& |b]`\-?C3_?ixfY}ɷnM$t_Sbwxu3|ӔTN5%])T0}AmJ!ixA`OK#&gY8’!Aqx)ogN?G_Ǹek1a+F9էԿ|]ss0k?/9G! KaoE%-1r_Kޢẝ/6%qn +*\2T%'\-̪ޜ?%PpE\e%KVx J0Ô*SX"q-ׅa1 |/%{kM}ʸ~0Wڰ%f7ߦ0;-=WZ Î +ƝX@>7fXW2 Uاda `riGPp +EOa !go4hY:%}?C`` g[р >ugӿ+,~o}Et%}G@{g'Cb~jW8_.~޾?(;m֗a¥5] ,@='>?gy.Y×\Paj9 9$x_P? ^[Lq?u(#!7}aͯo%M?_D4xx?OoX{G#-Q?h?%Xa%h/ +dwoLA +^Qxb~x9";x⎅`o`ዽWdGaJ͟`?N&|υn.sc`>򎄔_?9VXV@[UZ^;/~'tk?*4J %ӼoO!Р/Ǜ]^hݔWQcJ9nQ[V"z׷ѻז"zƋؠo8b?l1cBw2֣ﴰ+G;=@-Z?;DN %c|ǒi!mɁ@I_a+7;|B #n [ }M ;.؁$rbohv|+Joz:=ssǫ_xT=VG~-r%_ +z`}`tt,3Ǐ&PaZ +!C 8~9:gŅ(^_ʟK0by E,OP'Gyrl~0![zO4`3Wz~~WzV^ +";&ዿ.{O֞ߎmqs 掵4'6}7ᅞ؜9?7!'6'Y~Z#rOeh>Hk%@#~ٷɗ>zB'>o3mv%֯`Jw@ҷ ?w0#8^Gp&~7ztɡꍱf&\ziGFq]NH%n'{fq8c=UOXuk> ՞øq3nȯVN 1X_Fe"k.2{z _PACQceBqVLMN/ ?tvA8Ή,k6nbJ*Yo[_Ću7􃨝rTPoZsG ++D\n%0TK'"_騸>A_}fhuaj&kó'yw.>?{V1|G~? 3=o$l_ v|Ӄ,7{БxǠp;[QPKzGy\#ȉ0o|<|Kdk\+p_3ɡ4?(ax ?y?ס_ +X|tgLȹ[<$pa×= $ N 8~J?vnCyc@>gxn^a=0p%/pv ?qpΗÑ> g}7g}<EA^9jE/-/B֫cr?x6\}`p*@p6ۋ~8apU8h18Ȼ_ 郂üUf +~h 8p٣!AK7L_ M݅a0~< С>]ѮС>FrX'Fxv'qq'L<_g£G_ÀyF/gpoX77Cw}$y_'ܧ[>9`x8 /|eΊ|dZ#Qt?Q቏ց 䗍oY Z~qtAY?oo>1(h*#Γ #fH:g:FkNo$.7`@(WCizux!u}-FS0|0~[1|OP83|/:usBB~{ӌ;<_n?i<1pfZ$Qle_8̫UCߕG`J9m)]Юtϑrp`nsد%WSCџNe<D|A~W8͏+ccա/G;}K~lGD{~^7Tx=!>K~MuߜuzG0 +g}['>.H7HJ/NK;!C^!W4|X?~W~ +MNmoC~`=2/?)|?IcIw 6z6^7?z|)`r>\G 15v"H3x~0xJ̐gX=ۃjq't|Q~:,C|ae&$>+|jGP +B>5|^ +8%>Sgk_cدÿ sa@H|]ֱ\9j/y>n_0>b|@o\+~3쉏_W | P1>'"Xy{ ++W%-~>+ q;WxīS|ds/x=|ܜֽt(iN7/vzׇY({;,}h]|k7A+.q8okmq̞B0u)}y;$>25Z|@!4|G֋@kX?˧真$!95Fϰ ݐ@e_G㐘#0}txd!&mg?7=qޏt>J9bT>]? + *~Cz~/*a\+}|À9|A¯ұ_׸TaCw=C +w= +a?ߩkn~M=c?hP㺏+>i=cȺOş) ^o/Y >3.p_ 21TP}XQ(|lA @AG_J֍yL;0/do_;L>:v_߇eX]_Lqy#?}H0yҫq/T0u]-_}Ar?2+PA+w|?}BӇ}_:vA|TxǻР?>PiZ ׽*ؿab'8nxmjǾd!tO%?|?T0}BwРݸ폘Lgʫ,=,-^f&8~0Wc1@,^:oO`xx-*a3/o tKC~&/ @GϏ)!=/aZ QBwh4}}?-}oX~WrhY 7`5Koh?(?>-`}S|<}h҆P|3T,ߗB{@>~+/:c~sb}44&AӉ0rT/>cBK ?7.~!}k)g ?H>`€ybH|C^}oEO4w^09 W;~(O@; +8e|7EW`= {+=FӾV-ca6#ujy M t +7oZ/֕kU +iOIӥ))M9t +K_ͺOia:,;'3M(x{SíWɐQcA,ו}l?BPRքdE7GA:t>' XqI'5!ܘ?o8Y47g6*o ++,v҅|C<_Nϼ3џ7?s3Bj!iȠe810[zPQ퀜{hCQ]LSà"{~B R@X̥?] <>RpqFX&vU> +6ZOyŎa˝f䮸sX[Fk|+FOl'||y*Y{CK+VTܻ +/|VsfԔԀes:T@i$ۙӖm[Zc`o6a50ah7R~0tW6ÛV*w|t̨79ݴ[lCB;*Ty%l9GVJ+?;F.߮&#^LKlK=]U6^ze'X&oW d:qab&;n>0eRr^Z,b-moNnpp'!.'spU gO7;7_z fWֶ,;Çւ+rs9WVţꭉ|if=\?A0!Ic|c@ 1x53ido2)O(d^ 4qx8o1Pq5phbG^FLݯƾFK;M|242G<,/3/=3`s~-ж>Uht#ݐiICt] +r{1uPkޥȁ +%@W˦}XZykK!lwk2?U2WS`UBΆ_ [$r^g#(.*sVZ[9\v ЯG_!r1#?2bPc^4lP ?P+9N_Ghp6L>%֊N*^Nh2\p +*}/t9$G}|6!p%vfJ!BKn|>2]piC rǃ핀XS9vg(o(I_xg==Nnt_wzL Ƞ1 +h'>5SVGmi-O R1ٳ +ۃ{fo|Nd"`{@DdxzG +I~BKa^Srly//8>k4F>J@7ZGKcm|ǗJǯ c,("=TJMGl4*@5ψrr-z6.xGgx8x#fx ӗުmiĭVaQG*FT ixnL,8*b;BT/yB*LsygAyN'nh).aa 09GrB&G~@*_cv2这ᨂ@mE_T_`o"0zɏ߱~~Ӈuܹ8@oPM>{Lly9=@ROɳO bO~ВҏseGMj_WU&  =~=OxtlK hP쯴5,t\/DI7~W>+) +!L???x>(K/Lg>A"s/,~pׯd_\&WVGŞS#ULHk|/SG/"&*b}3mMM +pTqW|4) |G{ݲT~#ـ|Kթˉ!?M3C +11[>ۍ,`O*LJdS72Z<~vsfgxfgxя/ZnLC?4{K*A&(n$'3~t'W a4q%&:qH;I ;d)zC`ǣD>r?|yp-%\ ^J|Ee{mhzH}o + +tLxy#<? ֫Ѳl/ + x$Β3Sҫ9CE&=zŵte=Xth7 7pX3#o#쯿? >nWmE8R?OO*I/ܧlӠu߫\XsӿbB{նϧt&P5׿ϫǢ$"݆: M?'2+oKL >,K_<}MQYDiAnS 1F ++j|P6e.=V,X*uz0߄هG"zgu +XP"C8n +\4w[+V*2c9CJiх烵Sa}o/Q#6W_6tRt2_koxB?DCv|pn]OH`Ho۰oG[ 䋼˯6YjC'[p[]l1\`/p?UlB 3:V*KxN6G"Nq\ȳ|G:GlUZ_EE[3Ai3þP7Y!iKï~ ++# ZiBa_r~1~ +T:) +^5+kr#W:};;ΙfՏp/ +?ſzѭs _EQf:aVv=M +.ưQWiG9ha?Yvp{W}{JO_<&f75Pp6#WڱsطFߟWydž _EQy%\wڇuVyTEݦ~cnp&*/ Mp*je k~kp~e+G) uV}oík{h߅s"=yeLU4?py3|ucktvA7y<*u6#ZuZuWmQ7cY2:QVIeϤ};O!gmX7r[#LP݄ +V*:FAJ]0b? +`g?tk}kٷ{ͪGa'|7'C'˵M!oUk?+`~˾߳w~-~7~{};}gFo}[FoeH +QѼqD=?Y$a%B8NaU +O U־ BF->8۴>F[ƣ{u;~:{jDˬ!Z mX}h e3l@SyxT+cව_kjh<o5~}i8D&ڨ[M7~yL: ;7 + +~.6^}f*r؍3\k}0tB7**_k}-t +u2|~'&;Pа*3Ç𥉉1l[{h< +/%~)rU&ڸKI7|Jr7woZ%79\mZȌ298+3D<ggeYqf.-ÇoSSqVfjŌ挳28_|`RΝ"9Bx~gc}螙˰A'fĴŘ-B`߾VIX~5Ƃ?Jѿ~ +35cLYz[./wr+HMi|_z j~f..ry)V + +N=>8۴~y?\bNǥWg}V*t5Xs[֛E֧8}y3KҪ&*:bu;>۴a=Y^5HE-8֝r] ږ=J>XLX] -^N:U(9WT_s_UXp-6:z#99WX<&^;n-ko۰_sؗ}ߎQkgo+a?_k_a_5&*BtO>-f&8|/k`_{3(b=bux kgܝFohV7[e GkV]} +._4`_]ݽau}m+VnA[5 +ڛjU}c_NYihSWiUr[l1FeCW/XW¸oO4<ٹ_N] +V㾋6NlYU +}ڰvY-zܷBi?iJEtAs}/2J!`ΧEþ+`f ]]'b + u +Vy8UYl2΋nXtvm1:uS_DoCzl@5RѿXX +~uEt]0Z2+]`-g_{sp+`mɚyцU[ly֎uV*":y+Zl +Z t +raRp1|ggt7Tjhkפ[on__rmJ5PMT8:xNp0~+gQ+AT}kG'R?1`%طYݝGWaoYum~.sK"}׍ Yum4̹[V0~u8 +qygVRwNUtάÏ 9[Z̪2|#!MasA6]{-%M:9ڭTGl׬,puzuɆpOW p9\xR9-ƣӪgg\x"瞹odBJז6zǛu :)~n¿q_GuGQWiU|2?B^mZ ?%ustG8G降Xvkb.r`OV"{".,DYGNitAVzxp#Ho>"R" H6YTix"[ɀn%yx#pm4XDz0MWIu\>*>j9u;ҋiw/B@2vI3[N4v +dX, v,Xp|wLɍ6"ǔggSK-4i[w| %"K{8k^aaG{ WhZXWx~"Im\9v󬻅S"-/'i//5xIֲN/}hױ[߁xDžpfqjtX1v7 5/79yJJҩ%x:V=!޾lՕM1="njfEH9 {_e™ۇG +-Γ[_ȝ߇GIa,ؗð\DsVƾUqW>.kϘEvPuJX/M=M%i,nz_Ez IBM1\Bi3|D&Wy*U\_rw@$\E:'ʭT=$r1 +Ch-t0~GzN|S>XQC#nuŘ.\i3|_EQw:VʭT*ryz;+`f GWb_qpRQnϛ ++`<̀ g7!Ǚ]R! +"6/y9 NPps+WtvfGG՝؜z9 <僃Ee>'?b];r;zԣa%Y+HųBzh +}E6M}Q7`/O}:)w=6V]O|:zs +Nwk +MV3ea-k@GYLb^cG<|zVXְVJ?{l*qNs/aE&z@yl!ʷ:ݭTH{ }!ZU:qQPVo޾YpUBUZ_E@ +[y0~?Ǡh[q{IߟB' +H-Tl(7 /3xe?Iz5Z]feZ}C'8 +Z[V?.~D)e#w+"ˉ+K H7)F׬yJx{Y2ܩ ΁[>7)I׬G+O +ճڮ]s$*tױRauƀڢד7JlwJ"qGT7߁jP׎ =H#X.p +fŅ*V,ccQ +aXK` +?w17|9 cQl&G?gY B`{yKقNJw+!UDX^i쓐\/"vo_P݄aNnesL ~NOBz ]U~=SB6˶մb +S +2O`@b,<\'8 +ٯW//@*ᇧ! UD|:V~;?݋p Ԁ\W+D8ΣyXKmX5cf [+}ur[ ^,V +?|_z ]Uk\ Σya)k帕^ai/njWg+x7Wb_*6Z[<3VvxkoVs BM;W9TvuV33j'gIۧ wG**(Ѧ.dmX슼TCu^go/ueJ_D@ +-N᣾/urR$UczO9[|usJ(OdbjeX+n##<Bqa.?RWhU|sEY+EmԺ9mŜG L՝-{Q+kya/5GlP'04nL8^\yUXȓ*=e# + !T+gnk0#4 ]UvSaIݦ~a_tЛ;\26 /myu zQM]O[Z{1nmJֲ߁wZ +ME!bnƂeqf,X;TVP"V|] +_ې>k"f~b-XjMI._&c +Xoc'JJ-ݤkllח75 !&4=sҖ?ڋk/HTv{ +yڋGýșfb{EA[3O{1M;3lU?&~Q-4Ԑo/Țږ;u,cR5{RyQidAb + +M?A|jaL^߇q2/eOJ zD}O/"*OJ׉VĊ(Xn+VH 4iP*cE9C{v{'<k+̀xYp?.QW'ʳx9BW+Dt.6=V~Կ8/ ++`:Y?6U!6tuJsy=H'+u +V~E> ~B8A'uV;]Zo&{1^SxtuJ2cwJ>Cu#_Eol +u7_E@n?Ivq̯t'tJ#Ve:b=O[__Es&@&:k3: R)+Z +Z Yo:-D/[uJM ѥsĸV= _Do_z^\U;uV +*ymnƿoxtYN~wRXje>-#uí}WWqVW/bs ~Fj"fVy"]yK3UIGE!jeE[ȣ!75EG׀py/rx,: kg~:txvZU%4w@'8 +# J99ur[pM;ZluZ S=p-~V~ oo[[]nB D{ +1ݾzsp+` Vua=%R9Tc/ӪgyyL\^*9:<1&Dr4je-x [w,C x^eitVbPh2vXM;>S7_엽<&Z y"wC˳-tr'[cH?-ko[ˮ~=^\qw,|_ޑ;J/V+og*v}} +吔/3L81Dai/Np0K_DtrL8C+? ErQElvkJ>w9]r6LzLxKZpV*#4ebd[6$Ef&@::w~&n/sXYlWX>uWr5ܿ۳~ãPfBNjZ \z]mruǿ+3p-ƴf:Q(K!/hN\a&lB߄8D[Y+Zftsoo7:\wJyUa%>ة>j[%6<@`=)7د$NQ +5>NXYI[[6{3D#| +2Y$Q +=bE{s]0U<8sڽxšw}ȉܵuN7!\5kwdbܰ]ݴ+bƹ Dߚ+nO}Ъ$*:~N6vO$>8>'Ιray䲉vtZ~m1vkon_ye"zd3\,ߩ A?kn+>?^E{~$LO躆J +PL/Vc D{sº)ڧ"*zFgqZ셺VwsYk1~MZ v*3-7~< +t`_[ 7`.\}}*ݴJ}kuVo 3 BQKUj#2N98tc4Z|&Y5S{ WeZR;S NpcdV[)]Ϋr(R!"(DIo۰і"z%7΢džnLXpS.x+`u'5!bXyҢW8~ +}1K>WCåI[_{ +A# 7f+_+pN +.6oieڛʄ0dkf~¿/w'wO=qBR +ĩ1i:)diO:ĭŸ*M{ ݆0~[ʉ,yʵ&T8Ey*B]0d߷޸)fIBZfBKaxt ܭ'/~3:a| otǸz#n?Y( 4^Ρ'`um`;j5'ZA:qQ5*|wIgg,s݅)v:{fFݠyY(Eѕiϣ+rPԊV[Q9wf<\{~ T2ȬAC +ٗ(Y5@vqU>x֭t0~&mfQ -=oMzm_iK]\*js V-墳y +3 +e܆^%}Y;Il=⌱X^4c鯶+(d@,X.  +Uo,Ӗ,Xg[䇁"i)6_v,878v 8̩"W 847,nb +oC`2[b]iN-ϷptTW0gVV֣n XWo'a:&uV"DD3u#_)E+9Q#\hgIǣ`Cloٿ~XR]} ʇ_uXi} f\+`dK)M(d)C"o餖⹇\zs]AMlI"z"+PMT8Ex!\8:${D[Ln[,GTX< +nhXLlbe'xgrG6Nq\~Noۗ'`lA]UKUtXjNq +Fsh+P%wZ]۰R!k9% S{K{3s*Mq@7[' ]UKIfw|pC7nX;%'|O=Cw[7xVq +!|uí޾?zqUCg.:j~\8 >|<*/gռ^,Vs'_|.E﯒xs'ߟ92/=w}wXzT,ŹS e>@ݰj+bewj5B:uS긗 1ErvضDyyl_0/`hBbq_{sp+`aܸ*Vkخ,X\hQiE*iGm(y:=&Z,V[6;o-x9ӯf5Nt+y^fUb 75Xg߱EUE[6%anm[\2!g/*X-tX3 E6DgQ< +[zg2ѿX]l1ioNJw>K4DpKVQz䂕=ca%B<&ں@M ,1Q5t&No_PPwJUtH'p-tV3 +%&Fh35ĠN J*"ʯ +Gx>nq_|A[PIgQ\cAH`=$j7JQ\'8 +\~*cVlt悱t/k?Iaca?'|}>V*Gw 4^$"'/U|G'O*IgfA +/; N_}B[<A;AbHV"}oB)W<wkytV=%TH܈̕rEꢣ=_EvbW67_E7gT"=?eW= w<)q K@J@GX-ɾ{pR8)yR8)}JHU6(yR8)~dٟD5HXpRp8:9ynh>܇#Z+yhxF[:F%7q|߯ŭJ}El^,V +?|_z ];7.R'ٟIYߐқ[+o6dggŤ>b ľ:VGI_}'}$V +"a_#Ⱦ??O|cZu<[U{}N=va:hms# +I +Imss+$Mէ2 y#[Ք)9/"bK't|ϔGΔ3LY;LY#$s7djy)fʃxSU8Gwȳycm +g99<$5!!7!EQ:"a_{<3f?W;#Egu`guӿ{v ;:^gu`gus}B:'tV{vV;ǖ}}`^3rup4YAx_`:8rup:lT:"\w1+bf?d^3s?\L3ϜOC|s~g2ߟ9ߟǪ%E ϜOsIsr/Fb2ߟ9ߟ{|1*-=_9_|1_9_|1_ȕ`V9re|0\5#Wx㾣ʕʸu+#W+yH9쏸2>U\̇+#W+yȕlt2>+jt_`2>re|2~02>re|28:ohkr5U<ښ|.&G[3&G[=hk2m},OfmM4}'G[3&G[shkq]Vm->G[E^yG[}hkq8Zy8Zm@so;fSh._^r$˕r5jU%* n| *nG}+xDoOod=987߬;g +6nu}(*vD;go͌sg;gfƹsƹqnf;gfƹsƹqnf5㴧z Gf1y#ٟdNy*ay|dyU873͌ss38w8cV sOݕ#bqJMtA$KqT):d.<"{<d5,w4hF^STi(7ڌ;zJ:T/Tipq[w4| ߨ4p>`#KoC!afg7_dfFӄ}Y_m:hE'ٟAAٟIY,%&¼|y  W+g|_{ }K?@~ABt }KoC0<8ouY<+GQt縿oY4ֵ"OXuX"4ֵ:u]H#S ƺ so|c ~'|3dufьE'ٟAAٟIY,5u+Ǻo֒ƺgg2y&#bc7rXukVufIcR#bY9,FȓǺқ*Ǻ}[hɸWq߭hfg?1ڲh$ceVq}UsP~߯4\i4Uw4TC^iPYi4(JCeJCePsPYirߘ7N췋3߯9߯~c|1W~cߘ﷜7|-~c\Lo[} +gf~c|1W}~~cߘ+>|5y*jy8jmi\9/}ʣіZ5}VhZm5G[aVˣVhK[)>Y޺u:Ou:OgGZǺcݞǺcαxFǺ};Xwp9U}u;Ǻ=u;Ǻc]Xwp;Ǻ#G[Yȣhkhkp%-}f>y58m<m +Fm +G[ +?m +hJCgҠʑg1U4tV:+ +bK't4JCϕJCgA;Yi4\i4tVŞ"OXi|0Gwȳy|3Ŗ"vvgߙwSq`?+ +7̕w`aJ`a2\i|#OV{aJda0sa^:Vf4LVʣ̕JdaWFm +ʑG[ȣhK[l)>y58m<m +Fm +G[bOq?tṃdly5G[#G[e;ar5G[3&G[G[}$wժ|m窿)?GՂI\њ5TH/^ +Nr/rCzڱ2ۃuMx5OofEMHJh`4?Zb?t}%Z"z'td@zMXpBZYMj~Vq2'#=#w`ܟ5?gV_Kb`^`_3=x}rþH_&Z}wq;UOe+d$ 쯚`_p1~3/jbIgfIWfM+S,"0tOl+/͸s߈a>ૈ~Iq?t]M!y! q%Np\ϤY"t?fkOz1̓]wyT8+Dj-`_zsE9btૈ|?tWiR:y=Ko=d}wM_}g:1gI_}'}fA}o?#Ub*bkG{>,bLM?C!i!iqȴiqȴ8dZyȴ8dZ2Cb #IB>T8?lCPc2|,>fc0|>$ ]G0!:c0|L>$%;UHaɒN*W񰯽5a^W}IUIK원FQ|IIko`jU?2Ojb}ё63//'ٟdf'ٟded/"qػw=[q޳ nܟBڻ7n< +{7U?O͸ MyPt޳ +3|n< +{7U{?OE&baxgy?|ٟd7ji曆{7oFi()_d_dM7tMŸdCVþҍM8.̾_Iߟ}GA}}f .)W}J}Q.aP'|7 ǂ|Z~c JCeVYvȕ~}k+|_c_s_W5~e_VRXm,wm}an,U|ύje]X +b),1s6-5=E:yg]X +kBK߳Ka7,%oG^5$u,j--jKZ(ΑK*=$Jz $J!KZÒ(*DwqD):,R$Jz ]ǒ(b޿W-oy%}+[^IWs%}J*Rȕ+[^I߸q%x(\I߹y%}JΕѹs%}+;Ww#|t\IWs%}Jz,GJΕ=\I߹^[ѹs%=1 ޱ]D_a.QWK:+%N[i>+ +7Vk( 3W`%.J;tVқb^ ޱ^ +V/Rtٟdf'`,EW}_--~*V/`%?VWZIbZ^[l'_*kVUPNv+ľLoV}/X*|w% YMH UmJ쇮`=+1v#aD=<{xzӹsΙd_?#O{x:tQWtã#tC?}f_M7}g5bOgI_}'}fA}gyUT<3 ;:wPi5~踃yUTȾ?awPuA-*bf?A?='bԹsU;:wPuϐIz wPT=AչJG߹sU;:wPuR'DqUjT;F$wP +yTa_z wPT#A5J;wPjp*A5wPͼjrd?A5AjTқ&wPT#A5;wP +yT +jRdlrƳ3&KeKeR̥2u,R̥Rټ1T6Y*,\*,Mf.ioclf.Mf\*,Mf.M&Ke3ʤбT6Ke3&KeEkU.M&Ke3&KeKe[X*RY=ع{shs`wv=I9FV{=ع{s/) w{s`Am񼞹{s 1dfdg7_df^[qZ߿k״_dddU*Db\e +VF +V&l3W4OŸs;sm&8KMV&l3W&lUl]*|\e)$`m6sM{`?ޫl3W&l #?~X*dm6sM{k`?ޫl3W&l‡lU*U*dm*~XWfMVل.6Ye\eMVfio*u:o}: ?u:/???ϸ/W___yj|qj|qj|ũũ>5SSS+O/N/N<58585{˳L;cO2|}ʔq +}pj>ʷvJS{sO`55*bj*`*y=Wy^EyaU4WyU4W-b(ϫ<Ӊ = = = ĄOL<1Ą^S<1ĄOL<1m1O/N/NqU'VaEe;jAUJp#VT6jAwPqU*,ptAT;t#q1b,kEҸD:;kU.wT"Q 5}Hw]$-"iEҸD;qI˻Hw4"~踋@koܿkjָܿ_kyZkYki>=<{x:bO0X9Nw=|aauV2!CCc-& sxC%%J7ݢ%%X#``F0X#>X# ֈXo9ps6st rtQߞK>#G{.u9st1rtQ=*ŞZ|uart˶A*%a2P`L|L0T&**V** f CeCe2PP0T&PYYh 1s0s|,a,a欵ó3g9>s1s0s:sy0s|,a,a欵ó3gq%c&c4A;'nn$$ݴ'UƘMYqeI>w0w0wZLN9]8Ư}2c2c4}2c2c2eƼeƼek}-üe-[f[f[f̘̘>o1o1oZty0oyc1NhUbqq28`B0)`S0)>9aS|S0iwwx@1Nc -3-3-[]y|[f̘̘>o1o1o}2c2c޲2[{޲`Y0 >,8'0FG#̂]|Y0 +!a{Y|Y0,aaV-eaa0] t`Y0,sWcUؕ4lc^-CNָvm,0./3 y^~@C ]>PVټq̻l9C]o7f4-*Wǿr{KkwPoXR]aű.ފ<4ܶٱVcp:r^f̎Fˆ]zGL3;*8G`v ߣr\W&]s޺p_䤿t T/*jϪ]UJ_q]fYݍ#8>YKC7/GV⸮fo11Fy :\Y{ߕZKy :; )\ά$^^>QIqFҿJ7pO~O'F鳗>qO~O'z*j0vձj +}؆.Ǚ:guV[ +g v:Vm! P9ylXfo ,Ͼv\j^@h# +# +BB>@%4=@> # +# +b}Ϩi i 4Sz">h{[Vbm1z[օ8'0z[|Eт3z[-Fo+:쿢Fom1|=G# +Jc}1ai\ +Fiw6`]9|<>i`~w1~1> i8IUA_Wpfe2Z%F_2Z,w6`]2~È7au}]n_{_W\ӋugdA_W+ +ά}]A_@ו+u#x_W8.ފx_WـԼmimh}]񾮠к46\5umm6uu +}}݆nC_y_mw_W%m k{[3%gVޖzHے%lߣޖ%p6`]86-ޖ%'#׳Wz[ +5{[ +AoK%m5{[ +C +vz[ +潭VCoyojjm5ʦ(΍Ӕ)ěk?f۹4aq=`H91*/̶5|9pQ=L,!,={Y'`G +xA<Zx^Rv;=(Z'B +]J(t?T>IFp5PZ>Ox>}NT~ob(-pNq}=9Μ/ih<~؉-SKN~._nw}>߆ +oC>~3ǸO|$}6>]l)Ġ +Fb1T((  ͭԏa<:^^/nP8om3)|Lxyvˆ֑V>SLJ.dm^;)׋Z\o}cafSrPjV?y2 V+)^Q(rO3ډ#1~!}|+k@OjS[LQqjC=4qRWIv_O߫XOT%ևcncIw6m1O\\R9Gxۧ?HRv.չڥ7b-u(8icvrl(v/|>vl)x7WNFso~rnoiq +S?NxG^}S_1y$up1E({\juKaK>sоڍKFx3va?CT?x.\^q;j'#W'^״OmWC 7ۆ)f' AG;rk|pCY5+!;d xՊ調焍gţ^m'$m!\_0KX{gT5D4BgH_7 +f}4rH6Q|붆O;ƗcINUN]4XjpK=o{n:+|V +(% *)BIK'Kߓvv2šOa : yu~lj`9cI+ǹ_ȋ7%*ńZ1ZLЋd4ߟTV*4!}ܐX􆟢L5֞۞ +_k +9ۉ2b򼩚e;9xzs{ccRÚ\Fw7`+VVVVVVVVVVVVVǖǖǖǖǖǖǖǖ疣ǖI*tDS5~ԍTܡ4ޜn9lD<` nL;0S8 ­#|B|Q +E\u_?n9lxֿ<Z2R6f +ۖTMBPޭp=B%'Q=:GڟtZoyy17,fХ-mP%F,)lo)|U9HbK,5>q@) ?~s:endstream +endobj +15 0 obj +56026 +endobj +18 0 obj +<> +stream +xK-$Qd['Aj@Ճ!Qעb]%\{m_NZX0 ~wu]͋ߏ{?9RC]WZJj1n럿WG7b,s`-.ᲁv5-Ry/ar Z埀R|OU0N>?müրJmnY1}^YPaѶKA +V韠_<*M~ttk[Y[`k1?^0Dv 8 2%ol/I__x,^Ulj ll㣟ٮW_PbAo`M%D6T6v:`x)H:ū`^Xp4< x~9ǞDVX!pW' +]Xb^sc*?Z\>gॠ/l׫6(Ӥp|d {:`{R/~j!;&xǗ oPu%onŧqؔ@e +6)B/g)Lh;u~SRߠ Է +8}zZb +:Nay:z +k?{_#O}T+yS7D%~GLa-1ԯp3{=FLY>y~ +6DʍGau +J*lW6׬[}cך?byj[Y]`.:>%K4pxkK/V +/𘛰H/ЯS ?~G/M5H5A5A}~خ%`kkki;kVO*^ +H5@5'6 8~Sp۟'mb۟m`mmb۟'mpm`׶[ƣZDm +^~xYkmnSZ7 +/*үAW# +]!2n:L޶Bq +O[% uOP_k 7}xqpѯMAw^Am?Bq hShx CھmS1x'655o5oGAA_~g +MޡNm7hAW +ڈJ;}-l>w}"+ү +~o +$}he +;ڠ#Wh:m5jAoھok֨kmnۛ>m5j<mנ?ЋھAھm~m+ggggg7}==Ύ=Ύ=6>ڠ}tqvq"'uT/qvqvqvq?rGy6P[POP /bZb|-p|ϛ4ѿUC1}'}~Bd":xw6fXO2Wv.K@A5,F_j 8! s@WC]!2n:L?<Ǣ!r>GWg +`+z++ѿmYmnSo[2W|uD_k ^KmO?/qNuT~)?շbNhm:oݫbza7nb`ol^C{(ۭĒ=V?N׌qE-`+aͫv(^O7!]Gy\aǡwFSZ|Rb//V ?@@SH}n|_6ߠx§m8>oYl?q[ +^"pf^۵ĈZ۔VE- @e ^ +@NjXb lʣ#uf]j +Aە /I_8(/MiU__xz RVЫ}AP_ksFmWs$`C:5hz8m1J(Mxp|ynBr Vm$}~  ؔGAes +jks+cycƧ?PK'?X&< 8߶d<h<2O\h5@Na xYܦ< +od}ވA{o +-}/aka5eMNK樵Mxp|m]=B/_,̇h?mR%x+Dm OmBC%R% |oSY/iॠk^zPsҭ6 /iL_!D:jm/uleF +6^- une{펡rΈN%}^HeC}vGK)D_Amp|g>B]S̳TxDbje'~(p-?7YjsҚ_$/oQY:% t +c_Q@Da~k ++֖ޏ<|[/3r`xYp#pjmnSZ**@nª" m$}~Bd  ؔBm|wm<ྷmM_G+س=/lѐ~}J6^@N!~^񭾼şaկTUE/y6p+(lw4!dh}vgd,4/V_M_Ґ~<`4dh "Ϡ 8~kplu6m?l}sq%vҿpxª!}j~x :D^6jգ4P߀K@%KK +i{ 8~SU]}mr^uAt_Z6\KZ[؞^uH!_՝~:*zU5ȯN?>^ˢA}MwbϵS4poX;)5/k%6^O"*ו: +\RUxt6ML1#m \ַ"dv{ z6#f&Y }@^uW?ѫ}YH?Byv0}P=;E'Pc~OCnONɐܦo;>0yTDlw,'}H?"^CIT W HY{2u- +vF +ki +Ap|*j4iY4^p%Ӥmf>LJh +Y;DD[/Jc9N8ψ޷ʰ okg܅*yh[ Vܦ< +/#Gw0$v9G#M1Ϙi=߾-Cǁ,Fkq˓ ns#-w*7#<+PߑĿ/륱)lX*vo࣯86~ΝbCaZCGײk,Ej7Ҹ+AC_,NZ̢MtYR㓵,gt`,ȮX<*U WYx:1hU@p}KA|Jhs:xZlMxp|I_/DT7 +^+t +AyDj F/ATXp_K+y'uT=ĝ}6^G_2:JgB}bm@] +hHZS_*~'./V +jݻ^ +b@^)IK9Mxp}A,,?Ⱥ5jD_k ҏ%-lӇs2Uh]XǾ%OtK`KA\/W@K +-My_B}-~%K/`M#bkXb|.6k2}P} +w!j +4%’?S[[owgtޅ_/IUPWuȳ 蟀/rGI-` ĀC&~ܦ`5JȳǯRY~]m*8\9|.=0}hE_J8l~W [#;XSi% (9s*x@ aU~m/ЯS (CR<&E;TT?aÊ:u[VtBtm?,đt+ +K9fCW-bcBۀ$SwI?ؚW̗V[&zMk ~)# +r_|g̷v[K%}xذ)ZB zRVmLuD_k ^ +K8s/RǠ--ZlBt[B5sAm_ksp/Rk-ȭ}X&%6kяZMxp1BbB_xvi+?w*m۽% ¹AN|c%FAks}/^jH? OuD_k Dҏ%R۔F(?+<ꮟ~xû%*Jz)xaQeZ'[mf3ZCFcnª"FzH:@11]j3X}+[ԷWXcce1.:H@}{c.f6|],W>:Pn:.|=:IAwZ38 c|@*{vCKHQ:k!\'!Mi +_/*ҏ0W 䳀{ ]!2~6k2}P秎?e5k<1JVĆOU-1&imnzB#h e:zu/ЗtQ6 oG? n<m_ƀ;x ƣZxyKma;59c0xthJ?~?`x bKma5߿}2/32^B轄Rb/L»2b6q/@ +B^} +݇h +bWixOlw`sBKA<cG܍DjsaJdSW1gW# +7KfFA_CT8SsRܟᥠ'v)1ka(>WR3^ !L~z]`t;xX%/p|]s4Tlk F?K,v[{KAh^{ Wh#t|gKp 7k1W\ksہr|\~?m +\v01/A-2W&^[#g=1َ$lrp{ѡ +}3>X񮫵Mi +ueNIN^+t +c/mJk!+WB!,#ϣ=WG`yDN#y^~~P=<ꧭN3%Fܦ<&G_|ѽmMٮ?Rb>R[<;ܜzR2p^+t +īc86-ZL?_{"}L6δ/A`BZ*%Z63RVzU56k\W{ +٢Wj< +]A24l +5x!kj_+ӇdPX*Ke>l&x'^K 6): +5]ݜ(E&yܫRA^5Zby +VugK?M?|Z $ +yuj>s"}9]9;-OOCMॠA 9VCmýKWϠ?Ы}5Vm>ʆf`G)L[_`]/?PJ 6)KO㴉B/y;^+t +ībq6mLտT_n~|dV^ށ;jWf +l\x)5 +mx)R/mʣ 68 WKT3ѫ}xU,16v0}IvWI\vtaLKq K$a=[I=X 92 +z+214UD_k-1cmL"ۂ9'~gv׳p&#J^hlWȡ lý;~I_/DT"n}KAO86k2}8~Sx{xBo#n&gẗx1h + ;T} +6^߿cF@_/D&TĻ0;$^ +@@X/R[&zM}iqx Z_{ӣ,'mтV^־h^~VGYx7^*kr6k0XA'~x<*o`1h1F6oM6Տ,ə ]c%Fy~kt߳*/92lr&{)H;[zY6yNC[kmBMRRwfA2bzRb/Mxpo袕 ^HC^!*Q;]vv2w&l{]tl{&%R[ؔ@mM/VרW! +]!2NmLeZоǦwZ ۝`^ +@+ +6 ׶? /Њǁ-emu ڷҏ/MyAlCqF@n֢̻mCy^^~Rb +2< 8ԟ}^YPom:7@KAAyz JGOLr/ёh} J1Nmýǹ:rXPYЫ}x,1Zz>ܢf}Mmnzj9mi{)h =MeJ-1zZ[xwۿ(/PY{{&g/5^6O6k2}P1e;{O< +mhA05[n%F<PY]m +?ݫa-~8Ѷk3}PmXhPrbyA-Ǟ/<=&nlжT/[ݯ%mýK  +m:W̜sk%.ntcx"uE +IWŠ}ZJ A6):}1+n{6UD_k_ĈsmfnWh:WXܤc(@}-1VA}^PH}^>4U" + m~S'uz:=N8l !7WQqjmaZcg0}G^V=Nprۄw4Iޛ̫`9L6 8߶vߍf,8 +Oڔܶx)Wu"^uB5^- 2Zod\lk/ЯS + +mWK%/`~V/>rd#%Qp>ALRz O/VAs-mڼ&;J/1ڄxYt#hma;@/OVYem/v%/IDZKUĸMZx<ҟN_`VV{Q^IMz)KՁ#_э7^jXb-mLyv?}Tdˎa&56[9γ 6<1=#B/gʢ6wikՈBנgkpAھh< +M4#^G&w׽dýأKmnS׶/sB/_jQ^G$Ы}0jj;{6=:S)U`|gJĠϴ^eC{+[_g*}^^U꼨*D_k ^ +KlÉ^t:@!{NɳƝ6yQ +a~÷^џghmu/cOCzc7= ;ȥW#}@?h;u#s H#d`dӽĜ=ÇV%j`h.>Fߌ(.vƂt70k`Q"bZ`yaƬq%u`\}(斫d}>.qu.4qx}ѸjR4K +zBcxayaҭlB]bV tC +x)(ʙh1֣m-H]7HU0e~VmA: ؔփW _.$c +xշ`^~a ^kR +o!B ^o¼%=\h/ +㏝-eh}~?k<&Uy+f%9Ri!o;["}^*H^ +@Nrmc"__3qYYi̲E)ge泉1mʣ v*}#}^Լ~6k}xUSQ6)^֟6#Q7ɄiqKALWs9%,(1p/2ܕKΦaC7' ^WkmUZ%[DwoRM +W6^k|!~jm{x +̡k ҏR۔>@(V`އh+5]}?\(koЊ +{j{m^LA+>6_Ui}u*¾S2 pon +^#7 +f ^vx#KmnSZYdz1pli^xVxKɽ扰p|2dqh.HԿsOOॠ:SKX&p|Ͻ6?@t9`{C8:O>$F?Z^=.<;$q|"]9HfMB{)"M6$^>^$%3/3@}Ȅr~7x)H;;_e֓ 8[|ߡjrӚoUgM"{)'m4/kiZk ^="/- 2@Ct/IB$^L^jbq1v.w`+ "@!%w\3HmnSZS+ӯCj#򔅶 ^2(l#HmnSZoG>лJ ȺT=qȺmZ뼖MqR΀ߑGRB7Cf"NyЫӼ:6z+lGiVޯ@۟0?/ZpdW/Zb}mý{=q+Vخr^j25iDmoq__E(i ֣FZw~l6݉,^Z[xw /к%(EM6t/Ig0% ^=~5*iSrIprSi e g C'IqD^=L !<n{|g2uWc涙^Nј+chᑚm(JG1L%~ /Dy勀\ ,6Fk t/ҏW! +]!2~4-l6qw?>n +y +_ڞO6txGl<|9, +4mwr)%xj +?]仲>T*osƒC;ZC׫-} zWY[k!ҵ?o +l?\~ ~ԏO.l_oa?ԏׂ6ַ3O]?5p[M{}jSq]Z{o;vooa;_x7X_׏0;?Opmgٙ)d >?[ϝW?\|]\>~o=˾Bcdl?_~~??87}(]I[7TbK >;za+~}/?}}`v?ϩ+ҿbC/A|V?>_?~9h?l?o~Z-?ȩ.o{b_o +ܮ?˧k_/~y/зͷ}~\}4nh?_X|wz~O="pg_x_h?~ߟc}N׏I?~h?\|?ߓׯ??oju}'}Ə~d;O#z[a+/ zo}6볿cg9ן#wQtUWg{[j-0Iu#Q; 'O<{] +vy(QӐLHjiq䱩1N2U؟|فlGQw޳C'DQw! s +}1(~z'?ySnEu|+AbMY !~(ַop_7ּB߹C ν :$}@Iw2fـeߺ,nv<GD@jKV!\]+:<{уWk>tMϾ KϾr~g̒7;8$=JHo5~|>uaQ?jа.9"Vq[; +^@n$qZrToĪT pPB)G<T +mr&+7mp,&zRɍ_tNrZ'݅@-y]VY + ~Ao9 +A'D|$qr'+Biq^dzSQPEc$),ξad3ʠl%pڶx]qokcVb l57NY!Zfܐ#h}>stEi;,0ݤ+KWYUt+|·`q+{jN, +@ +t>ciXM s]XV.t -}e.d5d6FsK-,pB[1U'.|+:wmeBO88;P%'E#xhZ7Y2c,Yg!+\ ܇#w9]E[pg!}9 3OۗV_ОWNa(_"WXWYwɯiqsjۜNa#be|OqȮo|5k˶.)>2}Lkc_y͒{z;]vgkg +.bC`%>dNё|q1 +%?ΧLʧl'=eB22ҁoLy[߾$d'˞O)34ɣG!&$ol%Mtr{ܞ'@y4Z_4.,X90Þ7T"CnY(!W`gqF)k,kSXe +=5dL&땻d<'ޣBОAhԼ`+%kmF&m웜_`#Iq:I'] ++dʾ'ɾ9ɲ/]ƍvmp<`cm`wd#kV9ߒnpw Yu8%t[2\+ wvVrEde%+_`e% +YH;A!P[vRfbKT|7Ź=Unf_=FhsbK|zAJ6VaE,1\QeKHOl%bQ5 +* +4&1G CZ VcVw!V4I+@rVR\"(N[_`VFg:k!H lUc8 N +uNV) +.V&:{0ٿ"MZu2R\ ys^:Y+ .AD9tNA'^YZq䗢9w#8z6XQ8ˏơqo{;šMҪMҪql97c{87c{87c{^9Wc{^9Wc{^9WuU^9Wc{^9WCV+ʱrl+ʱJ֊c{^8c{^8W]6CҪpl/ ±pl/ { SaZql/ ±pl/ v!Na8c{^8c{^ +j%> VglDIq\;fe7VD]!خERl0PZ5֪VUe*kUYZ5qMz(aPC]a|(kQyzqHZ=?z(+۵(@CZ5֪VUg:kYZ5֪V>y5w&M^K-,)M^V`E?!." P䗭\Qg%;+YIMaHWXJVV#îde%++!hWVy8CQoٝ 4&%ŚHy48M,N⸱8MIJ.⬱8k,qg`Q|QYJrS9,N"$29L#<29|M)s29L)C3ŷlNRrNRRp Td|N1S39LN13;+grq&ggrƙqglpqgMepƙq&ggpqgpqgpqgg-qggpSq^338 838 838 83]?q:߲sq:7GMq:gsq:sq:sq:g-q:gsSq^3N;<%7Oa(Oj5>J;9LhuSDzd;{d Oֻkz]w.b +%rYލrkzK>kHvB=|VP=J렢|'|R(cq>ݓ}fdh +ΰJ.XF}z!?+_9GdE4EY<ßţӰ\r^p$<0#ċIpMqE6DlEmUz8dM "K&+ힻ[m!-y_)3nH=1^KA>.x?F-xGy"봸wu]}`Z-J.hwٔ-G[n͎N19S *Ln^:7j8` ter đ\B&"I%df|k3"KIc(I"ǧRQ+H Eb=WWRzZ&%M>XEj4(V)XfI4b5<+ :7x}JCC6,9;yſw oO@9&"Z3raDM'DQ[zVt*р}/ܲuŰҳ9ż>uo>)Rrg҈H(x>X'YArDK L7X̦u`Om,5o, RQySfiֱw hvLVw+dg1/ 8&m_~K\ +0P䡎G xR7E}7!hKI<Wpӏ嘺,JNˊ&]˜S%d7Ȯ[8on|~G~euhMuA !\&,V7!$c!A5 aAmo,;גU]OI(أ{5oo,189%t]O$u Nɝ5mTy" q֘+/?UG27KmsӁ;$ w"ViION[hEUi0=P3 J6X(N9E,:ofy3ΛYFnp8S5z~@hfrNa;EC +Xw͈Y9CץXyh&ʛh٥خxΛh4D&7ѸPQM4?KhkF]3y׌d3q:$,4-E.oux6IZemE-s~ +A##M15gބ1iɅ1SN4GբՇrh}(W:T-XI' +kQҡXߡZј#;y=)ZĺmPd#J7lޓ2-*AOǪS)U~*?e +k OŊ "!(ጐ"\/ĺI\89);IxP0WCr0+r0R15 K.?!=yϻ_jH7@ˑ!5$=<Ԑ Cw{hmtEyJ!Jyzs<Zi*U6Jv h TZNPz}o2"%<\ 6rIQJ-SX GrhSr·,t9Mh^EEݩ*%7ǎkǫfEs\ RB +9ᡑOzRl֫oa<cS4%c#-/~h+-"l?/װã#;%;c';C)57PzhGSEUQsIƘRkD@Њ +5GFlJU +*_Ҕ~jlS/_/ϋ_eE+~w_e0q7nܼq#hy<,֫!)~~~~~~䎊{qororor9Ǣw׫#IZ59sOK./-e*-g|p&g[ +zF7333)zzxQG92vGip<OGg8z(^g8LiQ)NGJ"ICx+,^a +WXUOidUf*WYUxS U ^R8*.] +CŅIt4B W6W8V/<^8V/?FUx&Ĕ5<4)&[yh~=CVQLPVaxԲT| -<[x@:XUgnUj5Ѥ Mz9q(ʢS/Hhx&PՑPï,e[wUz<|L|LiVҴ:Mk!pш|5mSO8Id%+< ++< +4B-jAH0 +G$^ +,ec.."2Y&i&i՚dXDҮ-,mai+KˁyW +K[XwY{Źg5/^xxũhq*Zũhq^ϥZřiqfZ493ML3dٓkUkW%D59QMNT(>9QɈ59{L[|ykrޚ5[5ykrޚ&ykrPd469LNc|ilpilp|ŃӘ;h'ilpPilp2NcX4&#/9us:W9iF|@KNc468Nc468 Ncx1(/Oa:| |h!<ԱE`#dfPS4*.2}:UEɤX%7[uqNPTS~Ț79"$ I7e|aBA˒ٲ&/e_&/rn?*I$=䑣Ӣ~Wf9zh{\Ѣ3<YΑ#&,y>|Jlp>ysɷqrsX٘FkDRN[KB&`iC⌇DVrkrVd٩8Wqa*J(۫&::I.Oz!;&I'EEw,j]:OZoޣIWm+e"yC!+,cLmpNv8kk,5X` +-3$%Ċ \'Iz'Q2*.yCsҐW3_ ̆DzoЇ}s n|F#D@#aMAo +2}S鋂L_d ɾS!Nx;ƫGg?:MOPpoC +' xC +Tʾwd] <;t{} +IPX[pVf _RrJRz|C)rS铤$ܼ8yj] n;>C"u"eCn> +_;+N+0Oiu'6KMWge t/yujr-9|WA]PMѮqqqe0ڵANPv!͚Co6o6o6o6o6o6o6o6oVoVoVoVoVoVoVoVoVoVoVo6o6o6o6o6o`B5?¢&\Lr3MӶsÍpc67?4===========FJNJNJNJAJw~샔y{`{`>y?6 +uo9{{,}#Y3уH ¶ +~y #>-9ho,sFp/hֿ7"-CwD_26׾b{ۗ?=hݔ"˼-~ +7vg=[Ǎ;b;[oy +_(s=X؂5*wzp\ގ}thj +vliG[o4m]/]C}Q:ͽͽMԽ@}ލȍȍȍȍȍȍȍjGnGnG GnGnG ')yzSJW}]Jw%U߮c{S7p . L]hn&w'@|dk~Rؑѡ)U~%@ nQ4aty d<[BZt`p[hEmU)ʷ׿cq,yDJh JV 1:va +gZ8i㦯'O% 3-ѩ黈'`h5?p;pя-fH0~KG#ᐧ,o4ŏCSon? +gfEa_:P>7&aK!+\^yǝrsgk+,Ud[ xg}K@{;JsK|,B·, ?>TT~CdyA}#kSԹԹԹԸ/ԸTTDž:NPi8CuƾP +w +w*[+6858u88@]Hx!dou0jृZ}KǒSjFJ TZ:w:NRzNRZ}z)=k5^JnăF<wn:zZطt,9ܦmzp0ܦmzpܦmZSwnܦmqnܦmqnܦmqnܦi锃/mqnܦmq֗/t-7퓬I"m+UR/s{ـܷ$}[ o_2cX429hE<6(TָV$kU(fiz5T^d&R= k'nC=iMIsmJkS\<5k ^xp4;'Is4;'NJl告@-yQq~v,mgi%X'JS(k`+=XJVZCyP)C`+=XJkB9*aqS(O' +<65KN^ʓZCyP9wCyP)CP')B91HieJX9\TwWp1#p17)\Mbn +`m|"ۉy@ +EpQr.* eWW)\LWjҜopV_P`j5OZV?iOzQo)G-!i\׏ޜX]H'C n9{,ߨ.\i~梄E__+YAO[3Vg)Ae,UYdbEIaAʢseC 2uP)8Lw5d"|/,"˪ɌYS#Ҍ,͸]͘]8صB. ]j/LV2s3 BAbCUv+:ZenRGkus0}ot +Rݧ'efp +zxJ'}`<-/ +⯰+, +JI7#vLv.oNѮM#*Jߺp,>ڄ +NTpR>:rTA?^.9#}΍]M1.G0H8|zeaw;1JȢW*"_T+UXZZ#UJ͒itUخ4/~yEKȑTzHwe3;D$[ɥLZH77Љۓt󒊱H*-ԽMA,O_{ J;銴ԅ|%:]F֜Y'eiSKeb[rK=+KtR\,ݶt*=fx2\ +p4Ny<ݻҵ]:'R;4&6YITv4ۣɇ۝KS[vonp }pVZlg;vnU +VdB߂TÕM-wT:VMJ]᜖h9p!:[΂ۯe=Z(@z3eޤR['kއGyO''`h/R =| +"Y#OoPhBG!SYB)ҹHWj,-$,7jXՇ.= RtsΨ7omPts P`h =B>T^`]72YJ$*7zҬ5NTu> efWii}%xwUII4m5ܙz{xIal%Չ%XˤF +$NX0v)as'*d9,†Js,SdCQoG# J/kvi*FmdV +ڛh?, +(uENܖ +[$( Stȱ/(m(9Zy5sany6.GlUilU֪\_#(E[ PzK"/NeΦ= [zǗwټO쪐i !T"$݇tcZLnlhg i^PH#Or"=6Yȸ~V2a FG]Yer踨3r"tsɩ(mҡSJÓgW8yTi};cyuR:$Xa9(M;᜼w +t{%]hT;rު vfyTҕ7⍼E|'#:I&]i%edSdG4bФJc/T>pNqi!{:~u{X}C[CHomn$S,*;2lx*9u%KM +N ++H'"䰗۱QO[iލ 91kp+'+ul|`!(HhK"6miG(Tw6i>B9 +xێ9i"/WEaJ>ldO~hY,hiYӸ橓CQtᮏr.!f\ Sg!頄BomQezV5 2RMesI+\K8Gܔ&`O"y)zr9M>N|X -^%DfN3([4,< m-TѵqN˘a~YRiKӲ9yt +|X8CU^#m-M~oWik!zm(v˓>2vД'S!c$fBLRΤ%2b`pͼn6sc.Z%ZVW?̿ +vtn+T5"<︅+ᖺd+̍pnSp7ɠa(mVFay4uo,m+L}gid/bEdэr!Lk!bpYtl )I ۳\]ЌqY.45\SCs>YK+[k/_wfB%s+(hPCS2#ZHd+G6b-lJ^>h q1KsN,JX˾>)F(V#HnG;]gWhg#ؙ|HqNqPsLQ#(:Z'Eh'=w K;NLQUx#Ou#6W +lJ Ma=ڃtA9"[2s[̴JnqT̀Ԩ7dFRd VVA!;V apcSQjBd#w K| ++0Y(J_rx<^(WByRo+Wx<^)7x<^8yʣD=ʃy<0/r8g]* JcLz*I +yr,]{ȵW +aM&+±Wz~(Qp(# +9r#Q7Q21GIQ69Gd'dU00G$E#y#:ʽ=F9Q)GtުQh#J9rDY#ʤ@,]{ȵڣsD8GplJhT!n,#}h^2N\{0n}}'ڟc'vV"!KQʟT?:ھ:!u2*ˆ@Đh!CS2 N?_lJhT1.gsaVStͳ)9Uo^Og;91%Fzm6m`en?:=6Oq34UsW`ՍbWsdjBsgʚؗ}ؗ}ٗ}ٗ}ٗ}ؗp!_n?>}ٗ}ٗ}ٗ}ɗcT| rSuU'I]L7_jK7].kŵ)M]\xo+k k+ ]ٵ][ٵ\ʮ-wݳY\,{*ZNyUvmeVv-ZNrU*Z\-WEUњ5aqʹfZir4f\3#65'VNX-?&WT+jrE5\QC\oM=&[&[zkpʃzk&[zkr5P\oήjlre2\w56\е\ +Nyp56\ +~՘ o\ +Wc jlp562\ +ƻ\uFB\uNs5ֹ\u~՘\ +Wcjlp56\ Wc]u:WcwS=)w:Wcoܹtӵ\u:Wc΅zjs5ֹ\t:Wc]5)[x Xo;_Gq"miЊ>]r/@_w˥?ѷ{dn ~3?G6ԹW;>7lh;aGsrWnր2\|ӓm[^IZs)?~z`o؉LnGfI|5_X7V)~,]l[;OaņFrg+AGi'ȒxrP3_b٪V3wYR撒~W?aM_I!u9_#srhlxeWE:w70w4u|m,JJh0غ|ڂ=+xJ*ْ{rMš=BCB~^ +6Cdu~ӗ-~qߜ`ܷ/Hq~X )\'7?9".zx5㇬ )7=&l_#URKbzdSUlD?y;yʅ@gw;[9`OCϪFy"Qʟs\𥪡lS??8M~4J?|B~t?ƷȬz&<zb-'<}d+3 e]3j6e(;^w%g"?z?0csL8)I}v":禮n٠ۏ_790/=ڇ#}Tp޴_y;?8w^_@'=u +?ЏROCo]>1eOv͈{/k +!/S^o,c|CD`C~s(1;T +?{C8?4hI.eg|9C>O锬 + W~O8%݃Oь[]! ~Hѥ̿+Y]!~<9!/19ehhW̅t8Q3#6\4rA#6i{2J1j)a9 [/ox@C T.uvgz6΃'=U=) 9FBDDP +FNo22s[}VfGZ?/Q!;;G<Bc[7+qV!C>8.ӣSGgG/HK0>O}7٠'*x?Yט?h!t,s;+U߳7Xh5>/郖d@z%积#=`z_oX7[R`O*WKڲ.')[7B- JΈ2p9+j/[I?ugn8?nq"H?Fco؇#v)7zOMUzV_:!y^W}RIv[(}GOaqXp`oyQDO  seB7?ǷS>^?> o>ʟC^?f9^H׻g _g?/~a9*CaJ~ 7r~Cdi6` +?\6漥 D~.0BGR)uC%-$/@ +X8MBz%Y6) #6(C(;FjhM8%mF5ۄ3H;g 8%=hl9hT8G)K96j^_5AN>/8].΂*/VϵbR)#Na(KkŲX(5ٲM>JWghG)`Olx+L!iI|[ffVs^H2m/?G9)y2)T)qUDi2ְKc󒞑9AilKg姳( Iҳ6,]n^_v7ڋh/v)+bLK:_҉4V!u*/_Neoy^[bCz>H|_s~ㄹ;CH?RC/ᇔo4\W|,xO?oCrܘ>?Wߥ염lO_#%B;[釰_T>g#^S*3E~K~0`[~`}!T4h6Zr{O~ߝs ?K>K9_?'? %yI|G&uJuп?Ucjh{^m .Mx#hĸg݃Ƹ; +w0h{ +3)Mx a-oo8 z)(rsWURAYL +9dõ#;\QF݆YaQ@KA{XOOЯ SANK +Rf㎊2YWZ-˃w1?FG؊EE ;ޗ@_" T.kh)H=E;hǷeMr.fݵC[XI-dk0}%D6$6v: & h?sz ^E<񀖂i%3-02+"Lyks~vִ%^eZ+tVE>j:Xjxvm뺠wᲧh +vbܤp|y% {: !aRkZ:W(_ޟw+G7~%|)+4?yxf2aZyׂ ~~e(xVH>)S_EAߠ"^buy 'W~<Pkj!G// ~V@He6+wZIzoPxO9 +B :+4?yx}Meb99x Vo{(E :y_7}E}ky=~02~jx%O{FZ}}7++:<1~n(yꆒ@Q-Z Wõ_KLn}1=+ PT}PFŎZֺؠ{my`[ +peLwfQhyމ +oj);ޗ.@_1ܻk: c2 "鏠Q6@ 8~5k +Cv=Zy +5);y Y>y?dáx)ypO?9'c`?0?8؟c`?0k8&ɠE+-p{`-<'Zv\D4fyI_1yjӯAV# +#Nq#(;u>B3=<ݷzޑAkYtY kj!;1H?3N2 + j +e+4??:$e-Aߠ*;xONc<נ?PPbߠbxAA_c3}ǻ2<;~oA+mDAN b8OLbkH+ +$}}2; 㻷[ob5}=ǵ*žA4(55uFc}}_@YC-}}?e~bcscc36Ogggw6>~mF<[[HI?8;8;8;8ű? +娶mz-ZZL͈Ř-Bp|= _,laՐ~ kd/SL?~CY-nqЬ`؊^ Cz5ew2h0Q{b25|mqEIBª!P(kՐAHƃe{YR/Ϡ|(qv&9瞸XAR0AŌ\-2>eLy_>6W̾@b>e:W>k?eJ`ΧXLKj!{@ 8ç(b e O QJܾ- ' URPZ:m}M2Z{36jF{([ =wFALxp|{O/ԑmE7AvPCk白@2K ̢/3Bv@ 8/ 豯n^@ϝ +eUq@ݾSʳhTixD b je'8MMf'<,5)9bH_rª!ɕ&% td_aqld0¬7Ac%jm1pTe" dUheZSSsWDm[.1 e$}Bd  ȔBm|ʼQpxߔ|62'dʵ WW3~g[m4/T.7{ +3:hǷVVzIMF! %C '+/## +EAiZ}4wIgkiH?< ih)D&ҟAp5N%Q8z~ +xwh O<(ղvZ^hj!{@ 8~}pª!}Z@O!2~x_R Y-^a7j%6Ӫ}=yy?dkJ޷!2ӪSA 8kgxm̹^Ug]6h-UwhΙsZȞZ]JHRCNEbe*W>Yu{A_fիt|MiӵS4p?,Z +zx_.}k5-/\KOFXvi; IeJk &g$},Dy=Q +;Z{LkO%㲅ZCɳ 7 WlTiȑ4Z6RX Z$Ap|DG@b5BZ6͇V%3G(\M6jm޿CqC#";5\dUR>V'9gE۫ p-~LǩBpXb:/e $} ˔GAeސ]rr]8~$d3%#I|G2-?FSqɓu +#'8Gix'2n ^>=~!vйxU(CTiU +m>TL"z +NJ?3?FT  %<0qٕOLs2zLɈ =''O]%jhj + Ƃje89/^e+$#4'!ӷNO<>s|!4OnK5|8IS1^/ַ٫ԃYXNȾ5jD_@X%I-dӇgqB?wc +{1F]vY(PZ&,wt%)KQ/5!'>{J}lcdzhBO +Z-t沉ZWh~c]kl5RrK޿-L@KA_Gժh1;;u/uh^`lȔGAu/ݾ@b6&:ju} Z +-F8s-^ Ǡm-Zuύg8>#=öPPn#55 /U~(޲6)YoZܕnZ͌~Кdõk'z-#^]}Y +]J@v{h ܠ/'Z0Ph\oC@UCdxV' +Bd!)Limf=FY.Vx?X'ڇHTR<`(ղ>ZdKdF#6=d$ <&*үjl/S  %5lZ}ª?6&ǐRy5uabLf̀$稛:|=y +hW/@>#G"> I-d& h6ǶՌ;+;<Z +ҩ2O`DD:j1ij. tB#T92d:[EDw(%5 o?=DŽO@z-< +-E[,fKj!;50c0Q<:ķҏd-@(-o/l!ݏ2a/#c9% +KxX,%=_;]ûee2Z?{x(렵޿M 6&|`Z +" `WOy2{:XdˬSWsv>(kՈBlֶ@(;uPp*(rbp=Z + +z?JeXr_Rs꠵(@_aKG>w溺V##x:3+~j~+`4/xݽx29Dص"dU5K +2U|mqz()HE'JcYGNDM +W= +g[w\qB3'ȫؽa] 8~>JCQ}?0 tkoŷ(oVrmӇI!,LdJ"6&miKޖCv tVC&jMϠwee% xP*-U;߳LyZ~$6ȆbqZS6R@)Z-@IIպğ/ +0hwQcK3\VlԜtղb,4/˅B/D&ЏEi(UB:H?.Z@츥SYһD +p'd~eR8VQAyPM]9iq}I-e{vE:-@~췗e-I_@F+X `M-d&Ӈ}; +H#M%?m.jzpk55h!dϤ~lc|(kՈ>aZz>"kezg]-19$drqkѥ +0>jj.SZ# rOT[П(ՉB^~#Li-fuZ@aeh}CR5(y(VGyza9~:jQiptQQhj.SגG]Qhi>Vhu@&h >fS,f֕"V@_ۗ$(+UBhu沅ZמH_qIb6z-8iZfFZzX-55mf P'K,D@AreZ-x ٢ޯ< +ݏCޗե!+U(x E=\~ SZ +Wx09:<,e=l&h'Q:Z kj&SW7j4bl|@6x$d2Z +Z֮QBs֙> -H+Z $iVZXNbbC{30/R0AdW,y2Q|i ~6W|Sk(UBhUISsFH |@ͯt5- X +2Z/Bz +MNuĄk)H|R-8QPk1~ٜA}*[ćtZxt̮bF\.UA&hǗ*L_ۗH,e$}Wɫ-J8ξ0NLk)(R- ێ,5 o +gT*D_p}ρ5ۯ<MCS;ZGZ+t@c3Z< NE}kPӂ 1}G27P +z& o6JzX 7!ٸ2f2|)~ +Gmx?Qsm Sr d+,_˔GAmqK$3PQ}Ѫh1\vP0}\IvwIvta,[q_ K$S +~z jj㩥r|e:t+24UԪD_;j1c5mLJ9oߏsh9Nv띶G.ܐɹqvjU-\@&<\ 8K9o?+tBdAb-99erk)H &jM;z\pV~ oozŭ.\RvϟjBcL Zj.;f}!2!'rXh)H Kj!5>y$"x Z[½UN rh-bղVp-neHfUތZv.j +?2U2Q|~;A+CnPvWLp-un/CfƷZBy~v:d[bRGLp-Igŷя4u:ؗ+r吔/2'!}Ieõ~c +trȠ2 Q-zJ%Q|htnmSi.g]Ά Z,0͞b)Li +FDQܾHFbe +W>"xplf-ųB|MOZ ]`Z +[v Gb_Rsp9Y~([eX^ +j4tLo/LybV)AlC+,@7k ACnp&AMm>]z|jerhk)hrhYR-FSS -ۈB Ÿ:drk)HWF e&Ӈy݇ǠwX{ +OBڢ}xfwy@y~YנM>5[.[ g_õ +ZiiMdʣ!6i@B;^wk nk;dMȠo N5h+러͢6[j&3Zg#}GR>X࿵/ಂZ蟬]NmLǶZlx4Dkٞ7دH9ۣ +-=Н*Z6cZsvѐ@!D$N}0sQ/S +Z(h?8Gl9>Xگs"xpasK<٠pδXgP˵ pG.UvLxp|y}!!1X~V' +3ܚZ_pUB?.I6GFc]6`$]A(b8DSsҪCB/)H,NK߳V% +0`ܟ e6};}Gٙ-7y1}d}\Vw +}&_yߠo[r wRv@ 8~>?uw-oGO>)޿ke[6x#ֲɉ!kCKA (-+]bb8u/du]KA@(bؗ\< +/kB/)èc~#)kՈB5bľ~9^W*š +<՛2p@LGVWlgqhj~fҪuR7gZ-TEV! +b'6jmgQ?uB΂]nw'dQ~ÏZ~ПX48:ppLCqh RP}~ZhF7j~{@n>y, cX'pp[}I-G?TǘVL1gCwݣZc#̺ +e'u2h_P/%?O@Y-KъoOڐ _ t5!sl/S +Z!ZMdʣ"6ʦWVz٭ͪƹvCvš !Iewp8{OSsp-㔻yBiCV#F?FM%=0},sY6,sej'_-?oX,ȑgoؿ;f~k ~t(VenSX-~{:{>U_kn1m𓔕~%7tk xN?.SZ)>잨`'Ve6Hs%NieL۩AfJ7qUZ{É-V-I_g:hǯ7xo&f#ﻬ@ a!u[/pkǯx߯Ӷ&L<`q{V)z@_6)\:ju} Z +-{}YW]Ğ,{RC1KCM6ՖTL\ᏜeRqTH*hTdXi˻ +Kx1#o&? 49LN$N+)y##{gD yF ?7OFEƊ% ?@ÌǕ|\,s~q}1\O~j=eFx% +0-S-(%5)٘~cx8XFZpdZbǠLb#$ +q' +! ʉEJ5dRd/DNjbqM-dh]#D&$AKAR-sяa=I-dgyo;TVM>ZJ;LIZ +bcM˚j15=#q@_"4$GO2ϑ)BA 8$? J' +^ R%@/CJj\&%OLBR .J?JP6AK@< +=z6QHj.SZo%cBo*${v֝Ƀ+ +DkFEfb3M90.yJ>ԬXԺS2Zj54sFAa(Xpw7,Ag@GeeZr_Ssp-a{o\ +Ykb,UB?aql׮h\%~A9zH뉢C9]'1iheZSS -nZ3^%v? 1]KAYAVC\6@ 8WyYcB[6%a n76zRZ=wk?Eeb1BvR 9W]@_"3ٰwv-I_h45B]w\q@˄GR(e0\;?Z"Gu囀bnv5|?9UC4*D_@L#lfxBzSÏjxv e2Z:WŹNOLН>Lxp|ZF{ +bYt {Γ*cls`Oé%sFsz߁y?eGh/G +JW~>N-t)w9FSU~ʄDH_!z7{zbC ۡO_cC;$)CBvKhJV }n%O:z?et -T +%OPJ%OȄG}v1銸{JF;z=7dԐEPaf}%nrab|t}2?.p1 +; +a3ېTL\:u +ںO7)Z +J6wtYP7h.L[bqfTdV9L?oZq!RsY/nݦ4웘5KCR[ +"<$z;w%‘E#"2 C"\ȿK1!Q.}\Kqc||>~_}|ǵkIׂ>v/C>>|/>aapd)Kr殔Z`ak")oA-9gXKb\)+aܦHz[ۛEBY(WV!+ + +A?![?*.r@ao{Aos^|_! iii -AK444zKHHHHH D^^FHHHo B/%@@@Dܛ xZL;{CY]% Ѣ=loI-dzzP}8G;/2yvb O>9ډ}s9s{GH&L!8G;yv#$GH&ns u.y,y\SKYy/aţ k䅣G.Y.l-\.hţ GxE,nخY<:F^8:xtpšuRLqtpQ)>.8:bZ}nolollno{s{c{hc{ߋ;w)qj +#777%n?ty`0n?X*=~o?`{l?`{{{‘# C G/_خY<25pd|>2}rY‘q-IApd|‘Em/~nƑ# C G/_خY<25qd|>2JI7/_82Er??}_<2qd\yL,{{[{[[{[ڿbޖ }82q{_poփýփpoR{oփ{[m=z`oý{[{[5kSa-zyf=?:?ϯVo.-خ9?޿lFc{P{_S3<SQL>55.vRfo>[~+e}VG+ ?d/m}z_Vq;+j< |o7--΃-΃5:A תEᄒ-΃5yyyyyyyyyyyyy~mqZ^<,`p`-C-NKm@cZk;{,u^ ZcGv&\ѹK ]SJ,hs0όJ3·Ìьa0|$w30X%12?>2۔yg*D ' 8Ǣ<3*89ds ̳pD9hx'a?_PF7FCs vFdBo{q߈~P;wE߉P7|xߒf^sKi4 +F&·y5|_b->@|'#ߟ9qnk<8/ԺOy2O#L}'%[UZw,3Ժyj9 ~W4a5ZWb|eCEO 1 +Eq!7c ;w}'oDf.ZֺO[jݟA2OyB'<̣n-Zh[XGjndFi<{ VJCPۛS+~PTi(TUi(̇ +rV* +JCҐ᯽_4* +EBPi(4* +JC9* +w|2߯%g_4/pF2߯9߯W~Я+~e_g |2߯|j_W+}~|2¡3߯9߯W~g_W+|?3߯9/k]ckZk]cۯwfZϵkungkuMk]cku3>k]gZ׵rV[άҵrV[j˵rV[j_3uV[~\-g嬶\-g嬶\-g嬶2rV[~L`TO)42\JQi0* +y*̳4Yi0UJQiț-7* +FTi0* +F!h6C+[}2lǥ?-x5TevF'!}En3{79ìckw[s=ⱊ1rN,kAuP1ZeEՇPC?8{ԏ͹\xER$W$;~cT+x6zsb|ER/UGoD?~(A?WT=zTFo~#?G}'AC@M +}/qT'?D߉+ת^WU_s= +>~D ه+cS 8ߛY\ +n;Ǡs8*P]x'ƜǠw.7+;y?qo/3U)@܂~~׃WM7nk}[/B\@?\u 3~w[s32G!A転D?~+{sc?DV ACD)?D995.Qc0p}}}?(? +1>!xEÿ\-Á~|Ǧ~9>_>ϲϏe<{U>y컪XnsahCOe"QÁ͐_q?\Ðн߸Gý߸Ccakq{?~ӽ߸{?t;s}wxGBm*XBy?_!^ >%ScX25-KƒiX25LMKƒdj%SSh }GO\}`\U6>HL'}GOל>@EFp'}#S~iDgIN>]"m*ƵE;{" 菁\5O܂~m~gToED}'A[qF6A臢D?~SsB"»*y_枻ws} /Wܫ\$%rGUy_枻1Unyy7x7z~^E͍ˆ_- +ݜEݜ8v7QoD)7q7x7*Żݪ.=W +Cx77¦;}Wۃw枫jkaSܫ~U尀y7~^5wy9X o?=`\ '^_܃ !臢g…o7+ƹ<{pD?}'N]wD? O4F͇b|~bXU@O|~a_gEc_4/ ~a_s_x>Z=5 –Gas烣jw+y9Wp1᜕c_(TQؼߔws72r#QʑZp$8rƑj{r$m9DHT7G2Sk-sU#Q3p$*_G֜Hc_ӓ'MOW=I_$}ՓUO$IzIzIx!OWz$}I~ +$$Oқ77"axx'MOOO}OOқ77+.07g低X5Wp1oO転@IV?Ongo9@Y+~5w<+c|k=<6=h5Sѿf͚߈~P;wE߉|?Te lg=U6檲9U%y_kP-u&^EUTBUTBU9G-*[T wTBU[kYe Uق*[D}klA-ly +תUP-9U6WͩUP-ZuVBUXEUTBUTBUUg-T 3 +1j\70/>UTگ_EeBدد*LUUU6WQٯj~*[ e +c +G/L;&vL0`1ci;wL0```E11cccB^Q_7o|5~uPU:*T_‰J:: +T +_JUTUTJUL蠪꠪tPU:E=Gc|CtTH:GIQI$W}>W"tԳER"ɛAERER"t/AE_CZ}ޯUUrOZkUkJZLǰ__U==GZ=L=JO={xzx*=<YTzx5GO={xL=Tm?*yo+տ.{׍׍c?ϡj޸ۜqUs}{y-25z1~yKq9\b/C9+*u89<@П=o㊗zϫhW®څ]v.].Ǫ>X'saW] jv.ڥg_vaW|oα j]U;㘫qWpDUUhW®%~(AUHq1WQKЯA >׮څ]K#MoD]wsX-\׹h_¾}U0O/.E:u.\}BS׹h_¾ޟR׹h_¾DžYMj_g·-}#twsK$_%sIW@A/^2V +Mj9>\(B߅߈>'=Dx*i,iM}coN]w|47gO%_53tο5W9Xę|V7UG*_vrȚ7 ̳]썻]`ʏoVaLMj',(7>YMj}Ֆ-o9j˵rV[o~ +#gZm9-ڏ'Sy4JSJFTi0* +Fv1U٨Qi`M>sG`4s}׽TL`Sx"/Ym9ݵrrՖ]\-3YmrՖk嬶_q+:j˵rV[ΧO]JCPifJ3r* +JSip5JC0 +* +qVB3'Tr~]NUi* +{kյuuu]\k9Xƹ +90@Eu~Fo9k]Z7XHZ7XsZ7Dֺn +>YCk`-u\ާRuCk`80bkZ7X +lմmu󚦵n#+kZ7X6KZ1ju۹mZ6ֺnZmuֺnc۴mukvuC`kB9XmV[j+d +Pڊsr6`WTZmـ98Vhdx6 jkV[τ`ZmFnoZm5CV;W[MjjZm5V[VjjV[Vc~W[? 5aendstream +endobj +19 0 obj +58869 +endobj +22 0 obj +<> +stream +xA:n絊gb[") @f,"@w oI#3 +p)cm}qS|忿3_g&4_ir)jP|0˾sG#9 ok%ٺZi[ gLԖrr*{$=Y-EY!c sן/rv]/ﺾ>σIv~${&oʻ~?ǟwe_N808~ ?gW^ˎ +?^a`̯Knp^Mvw]ۖdv|zr?7u>ȁ~_/z;L#Eǁ[w}V~}^__?=09pw?n_վ嚾r%mW^ z}=C_裯;kY^s`̯u??UO=Ou$o"痁[U6}=?P_U_w|؎~ϙ~o/wrsw?~~~]o?ӮOM_~s'~>mSnoρ[6q`EOzU l> ?ee;7mM?ۏn?׷sן?p`S}0 _{|5VҦe7;]g90_K>?]o LԇB?sq~ǯ3=QK>GkϩI|ρ8090Q_B֏!7|Ϸs~I58/|K_zMɁ!"{6\?]|@Im@M_?]8p$l2z:s`q9 Z D7ٷʁ.ח{i>80q~80ק>mW>;=@Yc ~"pSE>0׷T?9?'ľٞ8ue¯{uVM&uͪ?x2föڣk8+`0zUυB}-&D}P_,ԏ0P/ݍcZ#Q.D]u! jUO*^Èzu.aȕ+!Cx2u7 U.au! a5'2gjݥzRwT߿>7Yei,Қ&ʵ[Wm X{$"b_#,qh+VA[8]U ǐ>˷Ͽ?wNMEZsuߦϭ:۩ X@+~* I&"@@C KhY[^mgt­UZN`1RU)W8r׀ +92|w>|?a+^/O1._/n5bq +܏-!vqd}幻?݉؅df6ʁmqL+`?I82wuu( BUP]X#}렯 }]ׅϹV^ ezZΐ~E}]렯]|=/D]u_-׏1}@F=Cv h +ڧ1h*τ Xp"b02d0cEItI"%Z +rOkx#^ɕp$a}HGRy$UHUℍۡq$Gq>#8Hfiq$g Ƒ%Aű]@}|?\+QWE]Y=ڌ u[mP@]u%jmmnnxլ&22m5Ӫ]dH!C2!A0dƱg Fcv+C )dHQ2$[eUQаPwYuI:ډuuIua_D?W"zh󭟻7nҝx# Ct+FP_ +=eeA?P=*BAԡ QWX C# j_[knݰK VBfډ::A]L`O;덠vA]!ϻ=CA} VԽ?Q1!YUԅCQQD=V;a%W7 + "Qb!w_i1!URnnWC7jYgUԕ Q[㴬!.D]z3 2C3үK V ≫q!N?2dbCd|.\Oԕ@ݭ0d`ȴJ;KC,$˴pe =y/d1ЖYF0u }k ٹ;]!g }]]~s {f?!x=V;iI3zbFOR! 2$!A2D3zbFOѓfČѓfČѓfČѓfČӞq,$t!URȐ CBdH!C47&ܘ^1iN׉:iN׉:iN׉:iN׉:uN&_bSl?l1-.-Ƅa4%=-Fb]b[14V]؊h_؊iVs%VL[m[1oŘ$$$gIκu'9NvMw;ƝdKr;ƝdӝdNq'8Oq'ٸl~⫐ntyvNr٣k|:Ar3HBrIuu( j굓 nq1 KI&F^] lk}¶k.+QWE]zZ/ +sm54.{ +^ik0:Cyu!_ Y],uׅ^`SOH⵱Cbt_:kcϘS:$c!B0vHFb\.伂Cf\!1vH!q8;$_!P;;$mƅ!qvH! ;$k!q'jWN쐸vH`$^(XK(X<֙Ѓ%Ph ,%PJ(XK(Xyq( +@(%v(c7]+Qni7؍2vz C*bȴzuL:ƾQWE]u(j'&MPO:VO)X=VOqDB^y y]w+`Z=ځze.f~2IhS)X=)X=5dUNA04X=VO)X=ūz +CuH CuHGV::$Xx!2)zZxZxZ-k-k-k[[[eE~k7,v9uo]X3;[[_9oܲ +jc؇CQهڇgo۫ߪlRvM;ڦmӎiG^If$ayzCC!Ic$:$Yw3w3wk*s>q>}}}S]ڵdurddu2:}Fy{ɯik0, jck05{ +^CQ]:kk5dg2{ +Y{ +^C_O{ +k05{ +^CBm5{ +ck3c^ӧbOi7xOX5a1eES1ƧbTS1ΧbOŴL<ϧbm:u->gO8S1DD@ J777Eo +o +o}',Ygyik,Hw.?~/~,[.Xx_50ϻ.Ѥj]:>G^>G^jyW">ﺐ#KG^>k]:#MnDZ_?,HhѤ&><ڭz1vvXLm^:><ćG_/}8kEʐ C+{j܇0܇>I8dH ?/ ]'0'2G^ј+QWE> L6$PWE]Hii! +V&QitCBy5J~>۹:$C!Φv:yݼPw1!2G^+QWEdX`Bm(I ԮYƙe/Vf,eYbkqX22ݘэ431ftcF7ftӌnƌneYkq2Yƙe(f+ +,,у=]3%gFwΌ|53z0+`n F|™]s37:_p͍̍ʍ1147n|9`n ÝKXwpLA̍1 n|njΥÍ`f` 2iY&e7cC|=u22En|Ǎ4˔eBL0W06zendstream +endobj +23 0 obj +7431 +endobj +26 0 obj +<> +stream +xKΝ[ZZcFR;i. A +3u z9_DQMʴ\ß??V?3ٟt 5 ):PN xB'5{MIGn`tz8-m3*VRsT3-ɲ&&:Uؤ}fZߞ?9ݧYߔ//^?wҵˇWj_7۟_~ tޡIx;@ +x?yû+7߾i࣎?:G^7'?I/E7?6XտR:z-9,^k9g?~~ίg|v#x}'; ͷo?yS}|$W\/x}uQ#^__?ENK\~O~Mߚoߴ}; +2>2+w1/Ay O/7㛷-y>),^'}ͯOi~7ኴ/@n}|olO?/w8')ܗ_\Oe| /]=!{>ߍ_x>;O:_ t>#kU3Gl}_ +^'$~)+}/'kYƟ/^Uխm?ww/gͷ^OycY?}|_sG^]I_rGO`2~|?lɛ7??ciO)zǯ.^lj,-U)GZaCb}hՐ);۝N|g;[mWC-Du&),4C~V?}`{x;s~!wvak2F٨n6j\eW&D#3ߗ̈́^-_ >;VK}}C<-3ˣp:&Lr;W?K=V7#LKׇn!'_uC~oξľV\{WlB/ߞ|7'<#:j?c l%;?)7rw|נ,UY|؟k+kn{yV`rO>\bktY|iXnN돛bΎ[ߍ`vO>A-ײ9o hw^tػDG]n|6~YHƽ\S桟MHM_n7~~vdS&ۍ桟 +>?E''yom2S~on l['Kֿ^CWS~V<_ ߁/W6]^t'/g_w|wɗm1>^:1>v7x"Yy~v7F5<x߃OɭSJ_-"|t'y#/yyﷷMʛ/wjt<_N?!n`_oUy/cBֽoAs0"ؼw>0PAj6%;oCgl#hwZO g>~v7!0t}yOW?/!<4H{E l K]b5׷6bp<͟g~&!g# + Oe]b/OxGm%]WpyZ_뇗e?C !ol_; +܎_<Քw=?Cl۞΅R?"O#~lwgs#}A_uwɷ~{)(Δ#t6*,=!ʏ35[ҩQ_T(?М~g='0\Iee!l ʪܟbKo\8rO)߼&^!O`v9BƲ-9|HxG#hwk~쾋./^~;/|Ox(tKhws~)ϟl>V;g֞.Rx~"<]l>6;܃yvl϶9y6 +R}S,3sC`G[}h"Rx/_pD?>0}+O`8;A|U_~Q hw1]g%}6W;_b9>6x7(6On]_I!l^/w"_xx_v9>Ix~S>7&8eo'of=~9 `9:? xyGM6G옍TR]]s<7x? +lCV~CL~l:aG|Yv|"ww<trn_v7租)LYDŽ/Y)B?6Ong>?E'ۇ`Mj)¿ t>F7EOr?RFxx1SB%r Ue!,<gޗdCx}u,+ZS:O=(Ih6 <~ZOַd!H?#]3L sk_B~9Rr;-e!,f#t6vO`'<͟ڴv78si>+@:y +Mw +l8~6!n<{9E&0<x~lwɧa>[:r;쳩8Ͻv7 ?E/m?Tg~~ +&gٳ6On~8&;.=xv]aS{GU7Ly/NCٻ)Fv}Pٯ)yFqMrvu8[C`fU7v7ܤ)B?^]6nq}x櫐[<݌~o>Ȏ?ތGlb)4<x9ٓ)~;B`:'t9;S?"<4=m}Cl!(RwxSl628) +[?ydv7~6ϳۗO}|N}dxgy_<ߌ^)b} <lK&?~=E<;'06<xGt jd!9L~:ԮeJ箘r蛲_6eU3.Y奙,Sh';4Iu y`=-KITzkyFxV`< }H0Y" > hw>93D*`xI0t}O%?>x* +`?Gc{CYyp6OhwSߘgL>rU l@v7"֗@'<֗M)$g}~_xIv7kߡ"# O`xMa'Oxu?pn{3 y +ccKw0An8aǯV3.SprÎl?5;fv1هvZ +aL=[v~elm4hFfl 0lnؑigS$v.[p%N8I$ᆓ,u +;n8noSdC_js~h76i1ϒl[;߿5zY̴% "M]yt_7Ucڼz{xUf W'{Nfzm1'8^ ̴%о^ ^5 +KXZaiͻ™% +䍅;:pWES)\]pF'xAM^6Ilhuq_fW]J͖0LqYӏ(\4R1#ydzsE<2z\cbvQf65h"fa0[ٻl\f$=5o1ap`cl/VAf{-VgGoKmڸ*`cZS+ͮ4ٕfW +;VQflg8ۦmmlwg>N2{˘*}dlg8ۦmmlm@M̞eۊ6"Y2LA1d c0dke/-0a^f'ToSX4TCuAޝ"B2liL7o1psC86 +4\2 W8t ^{:^2Ӗ?M]b19U*Z]41˰ \*~NE\a+sa5\Js)!Ma!F0W' fOq_Yۦށ­``C| +^ӆcmsflyd<2`o:INRIf>/^}^}^>$v}^ }^}^>oz>o9wg3ٺ+fsWtWiqg}i6m+04n[Ƹmq{ڦimq۾qjܮەqjܮەqjܮەqjܮەq~v]uzѷM}F.5[e$go[}wզjzѷM}F.ۀJuW={}voѷmF6v$mnfo[}MtSn*MtSn*MtSn*MtSn*M>uq5q1n7q1n7q1n;vh?fknۍqinۍqIܞ-qڱZE.4q;\ÅZIܞ6q{jمf]>0uINbt"Nzicl#II:INb$F'1:I'06 'YFqNbt:INRIKc'\cv49|{Ko$=HKؾ}#Vޒgo m]J+65B]hv٦fW]ib !Hoq{ 쀸=[۳!n$E$F'1u^z#K.YdsMI:INb$F'1:I'mjvٕf↨Y22KƋNI*I:IT:f,%7KI7K4ѷM}F.1ooFl|g[{drLq0;1n'tىq;1n'ۉq;1nĸ7n'ۉq;1n'SvҸv{=IM}{u/"RI,EIwOuO'ܓL6mo}oo''ܓDIwO4$t3}Էm"n,9M7IMbIL7ӷM}F.1oo&}M=I$sO\{=I=I$sO24K2sO'=I,Y% d?J%3d,%3x.̒Y|dtSn +MtSn +MtSn +n +M=3g&$NbtqK3ԘI6䞿ɽh.ۅq{:$Ed=5fq+M1ir7&wcr7MnLݘ܍ݾɽh)L7h)L7h)L7fjۛc)tcnƘnLӍ1Ӎi1c1M7tcL7M7.5w*2~@ߏ=#OQu?~wI~q. <z_U/NUU癟2c~~L秸uwd{ +E?~M7G/TLD/fㅊ^>\ S~5bBy/TP?bKDyJ+ͮjvٕf7zCym%6fBR@D1-مf]>8/TL1Iޗ&ƒ*ro.)qՈꆓ*fo7dJ%F86ٕfW]J+n4{vIV+uf8QgގЫp^1>'ԙrV3Ifo3VwU+ͮ4B .jvمf]ivٟWI +'vyqm.Jt%޸$#duB')tw'9fO1I^ƒroS$ +'9fn8n>fI7KDy|;Bt3?x9%Hy|/w@Y<~8ٮ6.]8ۅm:ە]9۟7Hq{5-Hܞ9%׀42ۛCQgD&xI:IT:IU'tJ'#{&=2G&^gّ=2GM=2GM=2Or#9N%E'i$NR$UI*Ddd̒%ȸl#vd܎#vd܎#vd܎߸n''xTώ6~%g$_oIZoɾޒޒ}%k%zKzK-sª?)>HSo_Tge0Ա^@/|za?ǟ}=*k=*z차_jqϾޕޕ}+k+zWzW]Y]׻ֻww_*Z*UU|hzWzW.f>74o||3oi|O|z]uYuӲee_OZO˾f7f>74o||3oi|3Lf7c>4?# U^5WO=ps]x;}=9i=9zrzrY{{&-$O'Mxb$xxX[ZȬBdV!SwYȬBdBdV!2S9*Q'G?9*'G|&2D3i&2>*T'S?*dTof:4әt|+Ze*2VV2LYLU*(b6WXe**SrMa\3:rMf&k&\Yo1 +5[)Z+,NJ +cűűXaqljS1cq|c v¸0Nv¸0n'Lq;aDXaq8V8VX+,- +cS-9ʷ8f 2 ]qd .tdwAҋ"OiIe#0cZ.]u2Ɂk?3z_-`=mg?!n+X{9ؙvj5 +[_Wo/7x[F|? +G'AW~1𫏳C +7|?괂4<6b3!l! V7>go7ޭ`#>Ąٞ">Ĵg4ꑐIHH#!GBf+| ={ÇN#=E|阦GB'#!QD !&={Çv+>N2ň~!ي1oيg+"VV7f8lV$c$)F6MVyVD=[yb1ۍ~[F|NR$N +Y|,wNR$NR>NҿlӲ _v9!Kl2!K|pfF|f3ng~7k_v9vָg+|fkq;Xvov#!s78NY}з }||{,1{NH\a N{ZoqM8Ia)[m6?27M7(_tSx0M7(_tSx0ݔo1Mn?Wfp>>UsZi4fI(9}d,Y%+d,Y%+d,Y%+d,Y%+dfItcL797I 7sFw+M7tcY_o17TM72TM72TM72TM72OIg'\/E:kn΃;םo2T~//ð/ws~cK'_}: 8_}:/7><~+V?廏]LUFPwegVCo+.K]>9|| ~ ? Yeg} ~\.@Y_U>G >5|/|vm/m,0x$~/_u >{>~?m_#|~Lg{|r|gg?g?_cx}<@G%'b`WB t._=z}x077>9!0xtǯkL/\n=iMutߞC`yOelc~u>{>~s;WF8*r~>mQ0KׯXi[ot>fx([Znl{>.?xM3`l m +h<_]|Yw + q-^njr?_D?g +3s}=w@0Es~l>?<ܓW}=_%p@o/'=/6?"0[qw cOWxt9Y֏d< `VwH`<9ywN/brעۿ|F`Ki"%|>Y?SE)~ݾ-Λ_~|UW<7:ߒwtg86/F2!$Oo|*6oM۾֜}q|z> +0xw}|Y|M-YKg:>2לGjQr}}_[?/>/=>ߞ}|r ~K֧G?*7>Z\T]Y0y1~>_i.|Q~ϻ'W9:9}8c:e_Qm}-18~?g&|~nl{޼~UyS('`_s).|Aഏɟ +g.GxVJ3fU|u<+xVXRkxt'?nUsLXu`gnJ~_b|/G:?Rf:A-Jwi~[b|_*r}AyKxٟWٟxV]u[?*QxҼ^GY.7)ѭO?X.^Z9M4.:~hh~tŇ^~tbE?g~_4p/~|EWJbF>+t_U?>ϻ7g>* Կ_?/g`U ~U:>xkш?lZ8'Uqlr%;C]+'s.*|W_Y+_F-<6NLJhԑt<|>4>}|Q7JD?.?́~;8w.od|{~y=rܗ[{p8&<dz<`"|}`wtx<+VFxVc!Ϲ>בG:<рWeRs:gߜ}~|>z>k5q|eYcIΫU_59t6O?.M0xs| +0x??I'i\3=? <4O&p'~ +\S?OY#^?Uzb.%pyU1!Wxk1knWJGbgd|os2}\{|.-2??3>o* +k~t6%wOY1o-B[1\oGGZ4DoA(]? >xk%>ŗ<D?x`M~/>o-EyR{i 3.5o{<[+޵/n\|Q`;<^ίC`2\#0 "?'/>s `~}39^N?'g77ᛟ3/g`?.xk!ZvRkFUs|_<_Do&Ɨ5oZx_,gv9~lN(N>^|`-o~k/q@Y羅0ÍQg$0ns8|<#_` +16~?3kO[s ޺sx/2OQ`EחSsvt~Z= +yvϪ?>{?<6~ߞ|V _*wMOÛTWUNxV9Ce},d> +/Contents 5 0 R +>> +endobj +9 0 obj +<> +/Contents 10 0 R +>> +endobj +13 0 obj +<> +/Contents 14 0 R +>> +endobj +17 0 obj +<> +/Contents 18 0 R +>> +endobj +21 0 obj +<> +/Contents 22 0 R +>> +endobj +25 0 obj +<> +/Contents 26 0 R +>> +endobj +3 0 obj +<< /Type /Pages /Kids [ +4 0 R +9 0 R +13 0 R +17 0 R +21 0 R +25 0 R +] /Count 6 +/Rotate 0>> +endobj +1 0 obj +<> +endobj +7 0 obj +<>endobj +8 0 obj +<> +endobj +12 0 obj +<> +endobj +16 0 obj +<> +endobj +20 0 obj +<> +endobj +24 0 obj +<> +endobj +28 0 obj +<> +endobj +2 0 obj +<>endobj +xref +0 29 +0000000000 65535 f +0000169218 00000 n +0000169486 00000 n +0000169116 00000 n +0000168262 00000 n +0000000015 00000 n +0000022369 00000 n +0000169266 00000 n +0000169307 00000 n +0000168402 00000 n +0000022390 00000 n +0000031686 00000 n +0000169336 00000 n +0000168544 00000 n +0000031707 00000 n +0000087805 00000 n +0000169366 00000 n +0000168687 00000 n +0000087827 00000 n +0000146768 00000 n +0000169396 00000 n +0000168830 00000 n +0000146790 00000 n +0000154293 00000 n +0000169426 00000 n +0000168973 00000 n +0000154314 00000 n +0000168240 00000 n +0000169456 00000 n +trailer +<< /Size 29 /Root 1 0 R /Info 2 0 R +/ID [<5152E28C5D2E5DD69015505A6F503D80><5152E28C5D2E5DD69015505A6F503D80>] +>> +startxref +169695 +%%EOF Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB-Errata.txt =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB-Errata.txt (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_PCB-Errata.txt (revision 6) @@ -0,0 +1,4 @@ +MAXII-Evalboard V1.0 Errata +=========================== + +- Mirrored lettering of board bottom side Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Gerber&CAM.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Gerber&CAM.zip =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Gerber&CAM.zip (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Gerber&CAM.zip (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Gerber&CAM.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_a.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_a.jpg =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_a.jpg (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_a.jpg (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_a.jpg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0.jpg =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0.jpg (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0.jpg (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0.jpg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_b.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_b.jpg =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_b.jpg (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_b.jpg (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_b.jpg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Placement.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Placement.pdf =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Placement.pdf (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Placement.pdf (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Placement.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard-V1.00-Designpackage.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard-V1.00-Designpackage.zip =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard-V1.00-Designpackage.zip (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard-V1.00-Designpackage.zip (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard-V1.00-Designpackage.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_BOM.xls =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_BOM.xls =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_BOM.xls (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_BOM.xls (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_BOM.xls Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Protel.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Protel.zip =================================================================== --- maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Protel.zip (nonexistent) +++ maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Protel.zip (revision 6)
maxii-evalboard/web_uploads/MAXII-Evalboard_V1.0_Protel.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.