OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/mcip_open/trunk/Stack_Ram.vhd File deleted \ No newline at end of file
/mcip_open/trunk/Selection_block.vhd File deleted \ No newline at end of file
/mcip_open/trunk/alu_slice.vhd File deleted \ No newline at end of file
/mcip_open/trunk/MCIP_imp_Spartan3E_StarteKit.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
mcip_open/trunk/MCIP_imp_Spartan3E_StarteKit.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: mcip_open/trunk/ALU.vhd =================================================================== --- mcip_open/trunk/ALU.vhd (revision 5) +++ mcip_open/trunk/ALU.vhd (nonexistent) @@ -1,197 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 01:15:35 07/17/05 --- Design Name: 8-bits arithmetic and logic unit (2) --- Module Name: ALU - simple --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This ALU is based on 8 simple slices. This module: --- operates on A, B and using Old status, --- provides the result S and the New status. --- Revision: 07/07/2008 --- Revision 2.2 - Add description --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity ALU is - Port ( CommandVector : in std_logic_vector(13 downto 0); - CommandStatus : in std_logic_vector(4 downto 0); - OldStatus : in std_logic_vector(4 downto 0); - a : in std_logic_vector(7 downto 0); - b : in std_logic_vector(7 downto 0); - s : out std_logic_vector(7 downto 0); - NewStatus : out std_logic_vector(4 downto 0); - SetResponse : out std_logic_vector(1 downto 0)); -end ALU; - -architecture simple of ALU is - component alu_slice - Port ( g : in std_logic_vector(3 downto 0); - p : in std_logic_vector(3 downto 0); - a : in std_logic; - b : in std_logic; - ci : in std_logic; - s : out std_logic; - co : out std_logic); - end component; - - signal ci : std_logic_vector(7 downto 0); - signal co : std_logic_vector(7 downto 0); - signal ai : std_logic_vector(7 downto 0); - signal bi : std_logic_vector(7 downto 0); - signal si : std_logic_vector(7 downto 0); - signal CurrentStatus : std_logic_vector(4 downto 0); - signal cr0 : std_logic; - signal cr7 : std_logic; - signal C : std_logic; - signal DC : std_logic; - signal Z : std_logic; - signal OV : std_logic; - signal N : std_logic; - signal test_bit : std_logic; - - signal SWAPa : std_logic_vector(7 downto 0); - signal S_D : std_logic_vector(7 downto 0); - signal BITOPa : std_logic_vector(7 downto 0); - signal DAW_op : std_logic_vector(7 downto 0); - - alias v : std_logic_vector(13 downto 0) is CommandVector; - -begin - -slices: for i in 0 to 7 generate - slice: alu_slice - port map( g => v(3 downto 0), - p => v(7 downto 4), - a => ai(i), - b => bi(i), - ci => ci(i), - s => si(i), - co => co(i) - ); - end generate; - - cr0 <= a(1) when v(11) = '1' else - a(7); - cr7 <= a(0) when v(10) = '1' else - OldStatus(0); - - ci(0) <= '0' when v(13 downto 12) = "00" else - '1' when v(13 downto 12) = "01" else - cr0 when v(13 downto 12) = "10" else - OldStatus(0); - ci(7) <= cr7 when v(11) = '1' else - co(6); - - C <= co(0) when v(11) = '1' else - co(7); - DC <= ci(4); - Z <= '1' when si = X"00" else - '0'; - N <= si(7); - OV <= '1' - when((v(0)='0' and v(1)='1' and N='1' and a(7)='0' and b(7)='0') or - (v(0)='0' and v(1)='1' and N='0' and a(7)='1' and b(7)='1') or - - (v(0)='0' and v(1)='0' and N='1' and a(7)='0') or - - (v(0)='1' and v(1)='0' and v(5)='0' and N='0' and a(7)='1' and b(7)='0') or - (v(0)='1' and v(1)='0' and v(5)='0' and N='1' and a(7)='0' and b(7)='1') or - - (v(0)='1' and v(7)='1' and N='0' and a(7)='1') or - - (v(0)='1' and v(1)='0' and v(5)='1' and N='1' and a(7)='1' and b(7)='0') or - (v(0)='1' and v(1)='0' and v(5)='1' and N='0' and a(7)='0' and b(7)='1') or - - (v(0)='1' and v(1)='1' and v(7)='0' and N='1' and a(7)='1') ) else - '0'; - - CurrentStatus <= N&OV&Z&DC&C; - - SWAPa <= a(3 downto 0) & a(7 downto 4); - - DAW_op(3 downto 0) <= X"6" - when ((b(3 downto 0) > "1001") or OldStatus(1)='1') else - X"0"; - DAW_op(7 downto 4) <= X"6" when ((b(7 downto 4) > "1001") or OldStatus(0)='1' - or (b(7 downto 4)="1001" and DC='1')) else - X"0"; - - S_D <= DAW_op when V(10) = '0' else - SWAPa; - - ai <= BITOPa when v(8) = '0' else - S_D when v(9) = '0' else - a; - bi <= b; - - SetResponse(0) <= test_bit when v(8) = '0' else - N; - SetResponse(1) <= Z; - -Carry : process(v(13 downto 11), a, co, cr0, cr7, OldStatus(0)) - begin - for i in 0 to 7 loop - if i = 0 then - if v(13 downto 12) = "00" then - ci(i) <= '0'; - elsif v(13 downto 12) = "01" then - ci(i) <= '1'; - elsif v(13 downto 12) = "10" then - ci(i) <= cr0; - else - ci(i) <= OldStatus(0); - end if; - elsif i = 7 then - if v(11) = '1' then - ci(i) <= cr7; - else - ci(i) <= co(6); - end if; - else - if v(11) = '1' then - ci(i) <= a(i+1); - else - ci(i) <= co(i-1); - end if; - end if; - end loop; - end process; - -Bit_op : process(a, b(2 downto 0), v(10 downto 9)) - begin - for i in 0 to 7 loop - if i = conv_integer(b(2 downto 0)) then - if v(9) = '1' then - BITOPa(i) <= not a(i); - elsif v(10) = '0' then - BITOPa(i) <= '0'; - else - BITOPa(i) <= '1'; - end if; - test_bit <= a(i); - else - BITOPa(i) <= a(i); - end if; - end loop; - end process; - -Status : process(OldStatus, CommandStatus, CurrentStatus) - begin - for i in 0 to 4 loop - if CommandStatus(i) = '1' then - NewStatus(i) <= CurrentStatus(i); - else - NewStatus(i) <= OldStatus(i); - end if; - end loop; - end process; - - s <= si; - -end simple; \ No newline at end of file Index: mcip_open/trunk/CPU.vhd =================================================================== --- mcip_open/trunk/CPU.vhd (revision 5) +++ mcip_open/trunk/CPU.vhd (nonexistent) @@ -1,260 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 18:40:51 06/05/05 --- Design Name: CPU assembly --- Module Name: CPU - CPUnit --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: CPU compenents mapping (Instruction Decoder, Operation unit, --- Program counter, Address provider). --- Revision: 07/07/2008 --- Revision 2.2 - Add description --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - - -entity CPU is - Generic ( STKPTR_length : integer := 5; -- Stack Pointer Length -- < 6 - STVREN : std_logic := '1'); -- Stack Overflow/Underflow Reset Enable bit - Port ( nreset : in std_logic; - Q : in std_logic_vector(1 to 4); - Instruction : in std_logic_vector(15 downto 0); - DATA : inout std_logic_vector(7 downto 0); - - Istruction_address : out std_logic_vector(20 downto 0); - Data_address : out std_logic_vector(11 downto 0); - address_latch_port : out std_logic_vector(3 downto 0); - r_w_ram : out std_logic_vector(1 downto 0); - r_w_port : out std_logic_vector(1 downto 0); - r_w_wdt : out std_logic_vector(1 downto 0); - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic; - stack_overflow : out std_logic ); -end CPU; - -architecture CPUnit of CPU is - -component Instruction_Decoder - Port ( nreset : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - Fetched_instruction : in std_logic_vector(15 downto 0); - Data_add : in std_logic_vector(11 downto 0); - New_status : in std_logic_vector(4 downto 0); - Set_response : in std_logic_vector(1 downto 0); - Data : inout std_logic_vector(7 downto 0); - Command_vector_opu : out std_logic_vector(13 downto 0); - Command_vector_pc : out std_logic_vector(6 downto 0); - Command_status : out std_logic_vector(4 downto 0); - Current_status : out std_logic_vector(4 downto 0); - Bit_op : out std_logic_vector(2 downto 0); - call_return : out std_logic_vector(1 downto 0); - IR11_0 : out std_logic_vector(11 downto 0); - r_w_ram : out std_logic_vector(1 downto 0); - r_w_add_pr : out std_logic_vector(1 downto 0); - r_w_opu : out std_logic_vector(1 downto 0); - r_w_port : out std_logic_vector(1 downto 0); - r_w_wdt : out std_logic_vector(1 downto 0); - bit_op_enable : out std_logic; - load_FREG : out std_logic; - read_result : out std_logic; - WREG_write_enable : out std_logic; - MUL_enable : out std_logic; - MOVFF_enable : out std_logic; - load_BSR : out std_logic; - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic - ); -end component Instruction_Decoder; - -component Operation_Unit - Port ( nreset : in std_logic; - Q : in std_logic_vector(1 to 4); - CommandVector : in std_logic_vector(13 downto 0); - CommandStatus : in std_logic_vector(4 downto 0); - OldStatus : in std_logic_vector(4 downto 0); - R_W : in std_logic_vector(1 downto 0); - BitOp : in std_logic_vector(2 downto 0); - call_return : in std_logic_vector(1 downto 0); - Address_Latch : in std_logic_vector(1 downto 0); - BitOp_enable : in std_logic; - WREG_write : in std_logic; - MUL_enable : in std_logic; - Read_result : in std_logic; - Load_FREG : in std_logic; - DATA : inout std_logic_vector(7 downto 0); - NewStatus : out std_logic_vector(4 downto 0); - SetResponse : out std_logic_vector(1 downto 0) ); -end component Operation_Unit; - -component Program_Counter - Generic ( STKPTR_length : integer := 5; -- Stack Pointer Length -- < 6 - STVREN : std_logic := '1'); -- Stack Overflow/Underflow Reset Enable bit - Port ( nreset : in std_logic; - Q2 : in std_logic; - Q4 : in std_logic; - Command_vector : in std_logic_vector(6 downto 0); - Branch_data : in std_logic_vector(11 downto 0); - stack_overflow : out std_logic; - IAddress : out std_logic_vector(20 downto 1)); -end component Program_Counter; - -component Address_Provider - Port ( Q1 : in std_logic; - Q4 : in std_logic; - nreset : in std_logic; - IR11_0 : in std_logic_vector(11 downto 0); - R_W : in std_logic_vector(1 downto 0); - call_return : in std_logic_vector(1 downto 0); - MovFF_enable : in std_logic; - load_BSR : in std_logic; - DATA : inout std_logic_vector(7 downto 0); - Address_RAM : out std_logic_vector(11 downto 0)); -end component Address_Provider; - ---component Table_Read --- Port ( nreset : in std_logic; --- Q1 : in std_logic; --- Q4 : in std_logic; --- enable : in std_logic; --- r_w : in std_logic_vector(1 downto 0); --- IR1_0 : in std_logic_vector(1 downto 0); --- pm_data : in std_logic_vector(7 downto 0); --- PCIstruction_address : in std_logic_vector(IALength-1 downto 0); --- Data : inout std_logic_vector(7 downto 0); --- Istruction_address : out std_logic_vector(IALength-1 downto 0)); ---end component Table_Read; - - signal Command_vector_opu : std_logic_vector(13 downto 0); - signal Command_vector_pc : std_logic_vector(6 downto 0); - signal Command_status : std_logic_vector(4 downto 0); - signal PCIstruction_address : std_logic_vector(20 downto 1); - signal Current_status : std_logic_vector(4 downto 0); - signal New_status : std_logic_vector(4 downto 0); - signal IR11_0 : std_logic_vector(11 downto 0); - signal Data_address_s : std_logic_vector(11 downto 0); - signal address_latch_cu : std_logic_vector(1 downto 0); - signal Set_response : std_logic_vector(1 downto 0); - signal Bit_op : std_logic_vector(2 downto 0); - signal call_return : std_logic_vector(1 downto 0); - signal r_w_add_pr : std_logic_vector(1 downto 0); - signal r_w_opu : std_logic_vector(1 downto 0); - signal bit_op_enable : std_logic; - signal load_FREG : std_logic; - signal read_result : std_logic; - signal WREG_write_enable : std_logic; - signal MUL_enable : std_logic; - signal MOVFF_enable : std_logic; - signal load_BSR : std_logic; - -begin - -InstructionDecoder : Instruction_Decoder -Port map ( nreset => nreset, - Q1 => Q(1), - Q4 => Q(4), - Fetched_instruction => Instruction, - Data_add => Data_address_s, - New_status => New_status, - Set_response => Set_response, - Data => Data, - Command_vector_opu => Command_vector_opu, - Command_vector_pc => Command_vector_pc, - Command_status => Command_status, - Current_status => Current_status, - Bit_op => Bit_op, - call_return => call_return, - IR11_0 => IR11_0, - r_w_ram => r_w_ram, - r_w_add_pr => r_w_add_pr, - r_w_opu => r_w_opu, - r_w_port => r_w_port, - r_w_wdt => r_w_wdt, - bit_op_enable => bit_op_enable, - load_FREG => load_FREG, - read_result => read_result, - WREG_write_enable => WREG_write_enable, - MUL_enable => MUL_enable, - MOVFF_enable => MOVFF_enable, - load_BSR => load_BSR, - soft_reset_enable => soft_reset_enable, - sleep_mode_enable => sleep_mode_enable, - clear_watchdog => clear_watchdog - ); - -OperationUnit : Operation_Unit -Port map ( nreset => nreset, - Q => Q, - CommandVector => Command_vector_opu, - CommandStatus => Command_status, - OldStatus => Current_status, - R_W => r_w_opu, - BitOp => Bit_op, - call_return => call_return, - Address_Latch => address_latch_cu, - BitOp_enable => bit_op_enable, - WREG_write => WREG_write_enable, - MUL_enable => MUL_enable, - Read_result => read_result, - Load_FREG => load_FREG, - DATA => DATA, --- Wregister => Wregister, - NewStatus => New_status, - SetResponse => Set_response ); - -PC : Program_Counter -Generic map( STKPTR_length => STKPTR_length, - STVREN => STVREN) -Port map ( nreset => nreset, --- Q1 => Q(1), - Q2 => Q(2), - Q4 => Q(4), --- freeze => freeze, - Command_vector => Command_vector_pc, - Branch_data => IR11_0, --- R_W => r_w_pc, --- Data => DATA, - stack_overflow => stack_overflow, - IAddress => PCIstruction_address ); - -Address_RAM : Address_Provider -Port map ( Q1 => Q(1), - Q4 => Q(4), - nreset => nreset, - IR11_0 => IR11_0, --- Wregister => Wregister, - R_W => r_w_add_pr, --- loadFSRx => load_FSRx, - call_return => call_return, - MovFF_enable => MOVFF_enable, - load_BSR => load_BSR, --- INDFx_enable => INDFx_enable, - DATA => DATA, --- SFR_ind => SFR_ind, - Address_RAM => Data_address_s ); - ---Tab_read : Table_Read ---Port map ( nreset => nreset, --- Q1 => Q(1), --- Q4 => Q(4), --- enable => tableread, --- r_w => r_w_tbr, --- IR1_0 => IR11_0(1 downto 0), --- pm_data => Instruction(7 downto 0), --- PCIstruction_address => PCIstruction_address, --- Data => DATA, --- Istruction_address => Istruction_address ); - - Istruction_address <= PCIstruction_address & '0'; - address_latch_port <= IR11_0(4 downto 3)&IR11_0(1 downto 0); --- address_latch_tmr <= IR11_0(1 downto 0); - address_latch_cu <= IR11_0(2)&IR11_0(0); - Data_address <= Data_address_s; - -end CPUnit; \ No newline at end of file Index: mcip_open/trunk/Decoder.vhd =================================================================== --- mcip_open/trunk/Decoder.vhd (revision 5) +++ mcip_open/trunk/Decoder.vhd (nonexistent) @@ -1,2465 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 01:16:40 05/16/05 --- Design Name: Decoder --- Module Name: Decoder - Decode --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This module generate system commands --- by decoding instruction. --- Revision: 07/07/2008 --- Revision 3.2 - Add description --- Additional Comments: Decoder is based on instructions classification --- to optimize the code. --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - - -entity Decoder is - Port ( Instruction : in std_logic_vector(15 downto 0); - Status : in std_logic_vector(4 downto 1); - second_inst_ide : in std_logic_vector(2 downto 0); - - Command_vector_pc : out std_logic_vector(6 downto 0); - Command_vector_opu : out std_logic_vector(13 downto 0); - Command_status : out std_logic_vector(4 downto 0); - second_inst_inf : out std_logic_vector(2 downto 0); - Skip_inf : out std_logic_vector(4 downto 0); - read_write : out std_logic_vector(1 downto 0); - call_return : out std_logic_vector(1 downto 0); - bit_op_enable : out std_logic; - load_FREG : out std_logic; - read_result : out std_logic; - WREG_write_enable : out std_logic; - MUL_enable : out std_logic; - MOVFF_enable : out std_logic; - load_BSR : out std_logic; - nop_enable : out std_logic; --- retfie : out std_logic; - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic; --- table_read : out std_logic; --- INDFx : out std_logic; - literal_enable : out std_logic ); - -end Decoder; - -architecture Decode of Decoder is - - constant enable : std_logic := '1'; - constant disable : std_logic := '0'; - - constant Enabled : std_logic := '1'; - constant Disabled : std_logic := '0'; - - subtype Command_vector_opu_type is std_logic_vector(13 downto 0); - subtype Command_status_type is std_logic_vector(4 downto 0); - subtype Command_vector_pc_type is std_logic_vector(6 downto 0); - - -- Command vector for calcul unit ---------------------------- - constant NOP : Command_vector_opu_type := "00011100000110"; - constant ADDWF : Command_vector_opu_type := "00011110000110"; - constant ADDWFC : Command_vector_opu_type := "11011110000110"; - constant ANDWF : Command_vector_opu_type := "00011100001000"; - constant CLRF : Command_vector_opu_type := "00011100000000"; - constant COMF : Command_vector_opu_type := "00011100000011"; - constant CPF : Command_vector_opu_type := "01011101001001"; - constant DECF : Command_vector_opu_type := "00011111000011"; - constant INCF : Command_vector_opu_type := "01011100001100"; - constant IORWF : Command_vector_opu_type := "00011100001110"; - constant MOVF : Command_vector_opu_type := "00011100001100"; - constant MOVWF : Command_vector_opu_type := "00011100001010"; - constant NEGF : Command_vector_opu_type := "01011100000011"; - constant RLCF : Command_vector_opu_type := "11011111000000"; - constant RLNCF : Command_vector_opu_type := "10011111000000"; - constant RRCF : Command_vector_opu_type := "10101111000000"; - constant RRNCF : Command_vector_opu_type := "10111111000000"; - constant SETF : Command_vector_opu_type := "00011100001111"; - constant SUBFWB : Command_vector_opu_type := "11011100101001"; - constant SUBWF : Command_vector_opu_type := "01011101001001"; - constant SUBWFB : Command_vector_opu_type := "11011101001001"; - constant SWAPF : Command_vector_opu_type := "00010100001100"; - constant TSTF : Command_vector_opu_type := "00011100001100"; - constant XORWF : Command_vector_opu_type := "00011100000110"; - - constant BCF : Command_vector_opu_type := "00000000001100"; - constant BSF : Command_vector_opu_type := "00010000001100"; - constant BTF : Command_vector_opu_type := "00011000001100"; - constant BTG : Command_vector_opu_type := "00011000001100"; - - constant DAW : Command_vector_opu_type := "00000110000110"; - -------------------------------------------------------------- - - -- Command vector for status --------------------------------- - constant allv : command_status_type := "11111"; - constant ZN : command_status_type := "10100"; - constant CZN : command_status_type := "10101"; - constant C : command_status_type := "00001"; - constant Z : command_status_type := "00100"; - constant none : command_status_type := "00000"; - -------------------------------------------------------------- - - -- Command vector for program counter ------------------------ - constant increment : Command_vector_pc_type := "0001010"; - constant branch_con : Command_vector_pc_type := "0001100"; - constant branch_inc : Command_vector_pc_type := "0001110"; - constant CALL1 : Command_vector_pc_type := "1001010"; - constant CALL2 : Command_vector_pc_type := "1111010"; - constant GOTO1 : Command_vector_pc_type := "1001010"; - constant GOTO2 : Command_vector_pc_type := "1101010"; - constant RCALL : Command_vector_pc_type := "0011110"; - constant POP : Command_vector_pc_type := "0010010"; - constant PUSH : Command_vector_pc_type := "0011010"; - constant retur_n : Command_vector_pc_type := "0010011"; - -------------------------------------------------------------- - - -- second instruction information ---------------------------- - constant sec_disable : std_logic_vector(2 downto 0) := "011"; - constant sec_MOVFF : std_logic_vector(2 downto 0) := "100"; --- constant sec_LFSRx : std_logic_vector(2 downto 0) := "101"; - constant sec_GOTO : std_logic_vector(2 downto 0) := "110"; - constant sec_CALL : std_logic_vector(2 downto 0) := "111"; - -------------------------------------------------------------- - - -- Skip information ------------------------------------------ - constant not_skip : std_logic_vector(4 downto 0) := "00011"; - constant CPFSEQ : std_logic_vector(4 downto 0) := "11010"; - constant CPFSGT : std_logic_vector(4 downto 0) := "10011"; - constant CPFSLT : std_logic_vector(4 downto 0) := "10111"; - constant SZ : std_logic_vector(4 downto 0) := "11010"; - constant SNZ : std_logic_vector(4 downto 0) := "10010"; - constant BTFSC : std_logic_vector(4 downto 0) := "10001"; - constant BTFSS : std_logic_vector(4 downto 0) := "10101"; - -------------------------------------------------------------- - - -- call-return ----------------------------------------------- - constant none_action : std_logic_vector(1 downto 0) := "01"; - constant call_action : std_logic_vector(1 downto 0) := "10"; - constant return_action : std_logic_vector(1 downto 0) := "11"; - -------------------------------------------------------------- - - -- load FSRx ------------------------------------------------- --- constant load_disable : std_logic_vector(1 downto 0) := "01"; --- constant enable_1stcyc : std_logic_vector(1 downto 0) := "10"; --- constant enable_2ndcyc : std_logic_vector(1 downto 0) := "11"; - -------------------------------------------------------------- - - alias read_D : std_logic is read_write(1); - alias write_D : std_logic is read_write(0); - - alias d : std_logic is Instruction(9); - alias s1 : std_logic is Instruction(8); - alias s2 : std_logic is Instruction(0); - - signal not_d : std_logic; - - signal BC : Command_vector_pc_type; - signal BNC : Command_vector_pc_type; - signal BN : Command_vector_pc_type; - signal BNN : Command_vector_pc_type; - signal BOV : Command_vector_pc_type; - signal BNOV : Command_vector_pc_type; - signal BZ : Command_vector_pc_type; - signal BNZ : Command_vector_pc_type; - -begin - - not_d <= '1' when Instruction(9) = '0' else - '0'; - - BC <= branch_con when Status(1) = '1' else - increment; - BNC <= branch_con when Status(1) = '0' else - increment; - BN <= branch_con when Status(4) = '1' else - increment; - BNN <= branch_con when Status(4) = '0' else - increment; - BOV <= branch_con when Status(3) = '1' else - increment; - BNOV <= branch_con when Status(3) = '0' else - increment; - BZ <= branch_con when Status(2) = '1' else - increment; - BNZ <= branch_con when Status(2) = '0' else - increment; - -control : process(Instruction, Status, second_inst_ide, not_d, - BC, BNC, BN, BNN, BOV, BNOV, BZ, BNZ) - begin - - case Instruction(15 downto 12) is - when "0000" => -- class 0 - case Instruction(11 downto 10) is - when "00" => -- class 0:0 - case Instruction(9) is - when '1' => -- MULWF - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; --- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= enabled; - MOVFF_enable <= disabled; --- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; --- table_read <= disabled; --- INDFx <= enabled; - when others => - case Instruction(8) is - when '1' => - case Instruction(7 downto 4) is - when "0000" => -- MOVLB - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= enabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- NOP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - when others => - case Instruction(7 downto 0) is - when "00000100" => -- CLRWDT - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= enabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00000111" => -- DAW - Command_vector_opu <= DAW; - Command_vector_pc <= increment; - Command_status <= C; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00000110" => -- POP - Command_vector_opu <= NOP; - Command_vector_pc <= POP; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00000101" => -- PUSH - Command_vector_opu <= NOP; - Command_vector_pc <= PUSH; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00000011" => -- SLEEP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= enabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00001000" => -- TBLRD* - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= enabled; - -- INDFx <= disabled; - when "00001001" => -- TBLRD*+ - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= enabled; - -- INDFx <= disabled; - when "00001010" => -- TBLRD*- - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= enabled; - -- INDFx <= disabled; - when "00001011" => -- TBLRD+* - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= enabled; - -- INDFx <= disabled; - when "11111111" => -- RESET - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= enabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00010000" => -- RETFIE - Command_vector_opu <= NOP; - Command_vector_pc <= retur_n; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= s2&'1'; - nop_enable <= enabled; - -- retfie <= enabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00010001" => -- RETFIE - Command_vector_opu <= NOP; - Command_vector_pc <= retur_n; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= s2&'1'; - nop_enable <= enabled; - -- retfie <= enabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00010010" => -- RETURN - Command_vector_opu <= NOP; - Command_vector_pc <= retur_n; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= s2&'1'; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "00010011" => -- RETURN - Command_vector_opu <= NOP; - Command_vector_pc <= retur_n; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= s2&'1'; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- NOP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - end case; - end case; - when "01" => -- DECF - Command_vector_opu <= DECF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "10" => -- class 0:2 - case Instruction(9 downto 8) is - when "00" => -- SUBLW - Command_vector_opu <= SUBWF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "01" => -- IORLW - Command_vector_opu <= IORWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "10" => -- XORLW - Command_vector_opu <= XORWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- ANDLW - Command_vector_opu <= ANDWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - when others => - case Instruction(9 downto 8) is - when "00" => -- RETLW - Command_vector_opu <= MOVF; - Command_vector_pc <= retur_n; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "01" => -- MULLW - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= enabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "10" => -- MOVLW - Command_vector_opu <= MOVF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- ADDLW - Command_vector_opu <= ADDWF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= enabled; - bit_op_enable <= disabled; - literal_enable <= enabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - end case; - when "0001" => -- class 1 - case Instruction(11 downto 10) is - when "00" => -- IORWF - Command_vector_opu <= IORWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "01" => -- ANDWF - Command_vector_opu <= ANDWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "10" => -- XORWF - Command_vector_opu <= XORWF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- COMF - Command_vector_opu <= COMF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0010" => -- class 2 - case Instruction(11 downto 10) is - when "00" => -- ADDWFC - Command_vector_opu <= ADDWFC; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "01" => -- ADDWF - Command_vector_opu <= ADDWF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "10" => -- INCF - Command_vector_opu <= INCF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- DECFSZ - Command_vector_opu <= DECF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= SZ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0011" => -- class 3 - case Instruction(11 downto 10) is - when "00" => -- RRCF - Command_vector_opu <= RRCF; - Command_vector_pc <= increment; - Command_status <= CZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "01" => -- RLCF - Command_vector_opu <= RLCF; - Command_vector_pc <= increment; - Command_status <= CZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "10" => -- SWAPF - Command_vector_opu <= SWAPF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- INCFSZ - Command_vector_opu <= INCF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= SZ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0100" => -- class 4 - case Instruction(11 downto 10) is - when "00" => -- RRNCF - Command_vector_opu <= RRNCF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "01" => -- RLNCF - Command_vector_opu <= RLNCF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "10" => -- INFSNZ - Command_vector_opu <= INCF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= SNZ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- DCFSNZ - Command_vector_opu <= DECF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= SNZ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0101" => -- class 5 - case Instruction(11 downto 10) is - when "00" => -- MOVF - Command_vector_opu <= MOVF; - Command_vector_pc <= increment; - Command_status <= ZN; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "01" => -- SUBFWB - Command_vector_opu <= SUBFWB; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "11" => -- SUBWF - Command_vector_opu <= SUBWF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- SUBWFB - Command_vector_opu <= SUBWFB; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= d; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= not_d; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0110" => -- class 6 - case Instruction(11 downto 9) is - when "101" => -- CLRF - Command_vector_opu <= CLRF; - Command_vector_pc <= increment; - Command_status <= Z; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= enabled; - - load_FREG <= disabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "001" => -- CPFSEQ - Command_vector_opu <= CPF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= CPFSEQ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "010" => -- CPFSGT - Command_vector_opu <= CPF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= CPFSGT; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "000" => -- CPFSLT - Command_vector_opu <= CPF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= CPFSLT; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "111" => -- MOVWF - Command_vector_opu <= MOVWF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= enabled; - - load_FREG <= disabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "110" => -- NEGF - Command_vector_opu <= NEGF; - Command_vector_pc <= increment; - Command_status <= allv; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= enabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "100" => -- SETF - Command_vector_opu <= SETF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= enabled; - - load_FREG <= disabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when others => -- TSTFSZ - Command_vector_opu <= MOVF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= SZ; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - end case; - when "0111" => -- BTG - Command_vector_opu <= BTG; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= enabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= enabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - -- table_read <= disabled; - clear_watchdog <= disabled; - -- INDFx <= enabled; - when "1000" => -- BSF - Command_vector_opu <= BSF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= enabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= enabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "1001" => -- BCF - Command_vector_opu <= BCF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= enabled; - - load_FREG <= enabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= enabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "1010" => -- BTFSS - Command_vector_opu <= BTF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= BTFSS; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= enabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "1011" => -- BTFSC - Command_vector_opu <= BTF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= BTFSC; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= enabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "1100" => -- MOVFF - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_MOVFF; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= enabled; - write_D <= disabled; - - load_FREG <= enabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= enabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "1101" => -- class 13 - case Instruction(11) is - when '0' => -- BRA - Command_vector_opu <= NOP; - Command_vector_pc <= branch_inc; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- RCALL - Command_vector_opu <= NOP; - Command_vector_pc <= RCALL; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= enabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - when "1110" => -- class 14 - case Instruction(11 downto 8) is - when "0010" => -- BC - Command_vector_opu <= NOP; - Command_vector_pc <= BC; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BC(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0110" => -- BN - Command_vector_opu <= NOP; - Command_vector_pc <= BN; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BN(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0011" => -- BNC - Command_vector_opu <= NOP; - Command_vector_pc <= BNC; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BNC(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0111" => -- BNN - Command_vector_opu <= NOP; - Command_vector_pc <= BNN; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BNN(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0101" => -- BNOV - Command_vector_opu <= NOP; - Command_vector_pc <= BNOV; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BNOV(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0001" => -- BNZ - Command_vector_opu <= NOP; - Command_vector_pc <= BNZ; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BNZ(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0100" => -- BOV - Command_vector_opu <= NOP; - Command_vector_pc <= BOV; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BOV(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "0000" => -- BZ - Command_vector_opu <= NOP; - Command_vector_pc <= BZ; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= BZ(2); - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "1100" => -- CALL - Command_vector_opu <= NOP; - Command_vector_pc <= CALL1; - Command_status <= none; - - second_inst_inf <= sec_CALL; - Skip_inf <= not_skip; - call_return <= s1&'0'; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "1101" => -- CALL - Command_vector_opu <= NOP; - Command_vector_pc <= CALL1; - Command_status <= none; - - second_inst_inf <= sec_CALL; - Skip_inf <= not_skip; - call_return <= s1&'0'; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "1111" => -- GOTO - Command_vector_opu <= NOP; - Command_vector_pc <= GOTO1; - Command_status <= none; - - second_inst_inf <= sec_GOTO; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "1110" => - case Instruction(7 downto 6) is - when "00" => -- LFSR - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; --sec_LFSRx; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= enable_1stcyc; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- NOP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - when others => -- NOP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - when others => -- class 15 - case second_inst_ide is - when "100" => -- 2nd MOVFF - Command_vector_opu <= MOVF; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= enabled; - - load_FREG <= disabled; - read_result <= enabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= enabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= enabled; - when "101" => -- 2nd LFSR - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= enable_2ndcyc; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "110" => -- 2nd GOTO - Command_vector_opu <= NOP; - Command_vector_pc <= GOTO2; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when "111" => -- 2nd CALL - Command_vector_opu <= NOP; - Command_vector_pc <= CALL2; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - when others => -- NOP - Command_vector_opu <= NOP; - Command_vector_pc <= increment; - Command_status <= none; - - second_inst_inf <= sec_disable; - Skip_inf <= not_skip; - call_return <= none_action; - nop_enable <= disabled; - -- retfie <= disabled; - read_D <= disabled; - write_D <= disabled; - - load_FREG <= disabled; - read_result <= disabled; - WREG_write_enable <= disabled; - bit_op_enable <= disabled; - literal_enable <= disabled; - MUL_enable <= disabled; - MOVFF_enable <= disabled; - -- load_FSRx <= load_disable; - load_BSR <= disabled; - soft_reset_enable <= disabled; - sleep_mode_enable <= disabled; - clear_watchdog <= disabled; - -- table_read <= disabled; - -- INDFx <= disabled; - end case; - end case; - end process; - -end Decode; \ No newline at end of file Index: mcip_open/trunk/Address_Provider.vhd =================================================================== --- mcip_open/trunk/Address_Provider.vhd (revision 5) +++ mcip_open/trunk/Address_Provider.vhd (nonexistent) @@ -1,100 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 20:38:55 05/21/01 --- Design Name: Data adress provider --- Module Name: Control_Address - Address --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This module provides the adress of Data in Data Memory --- as specified in the instruction. --- Revision: 07/06/2008 --- Revision 5 --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity Address_Provider is - Port ( Q1 : in std_logic; - Q4 : in std_logic; - nreset : in std_logic; - IR11_0 : in std_logic_vector(11 downto 0); - R_W : in std_logic_vector(1 downto 0); - call_return : in std_logic_vector(1 downto 0); - MovFF_enable : in std_logic; - load_BSR : in std_logic; - DATA : inout std_logic_vector(7 downto 0); - Address_RAM : out std_logic_vector(11 downto 0)); -end Address_Provider; - -architecture Behavioral of Address_Provider is - - signal BSR : std_logic_vector(3 downto 0); - - signal Address : std_logic_vector(11 downto 0); - signal Address11_8 : std_logic_vector(3 downto 0); - - signal data_read : std_logic_vector(7 downto 0); - - signal BSRs : std_logic_vector(3 downto 0); - - signal AB : std_logic_vector(3 downto 0); -- Access Bank - - signal Address_Latch : std_logic_vector(3 downto 0); - - alias RE : std_logic is R_W(1); - alias WE : std_logic is R_W(0); - -begin - - Address11_8 <= IR11_0(11 downto 8) when MovFF_enable = '1' else - AB when IR11_0(8) = '0' else - BSR; - Address <= Address11_8 & IR11_0(7 downto 0); - - Address_Latch <= IR11_0(4 downto 3) & IR11_0(1 downto 0); - - data_read <= x"0"&BSR; - - AB <= "0000" when ( IR11_0(7 downto 0) < x"80" ) else - "1111"; - - Address_RAM <= Address; - - DATA <= data_read when (Q1 = '1' and RE = '1') else - (others => 'Z'); - -latchs : process (nreset, Q4, WE, Address_Latch, - IR11_0, load_BSR, - call_return, BSRs, BSR) - begin - if nreset = '0' then - BSR <= (others => '0'); - BSRs <= (others => '0'); - - elsif (Q4'event and Q4='1') then - if WE = '1' then - case Address_Latch is - when "0000" => BSR <= DATA(3 downto 0); - when others => null; - end case; - else - if load_BSR = '1' then - BSR <= IR11_0(3 downto 0); - else - if call_return(1) = '1' then - if call_return(0) = '0' then - BSRs <= BSR; -- call - else - BSR <= BSRs; -- return - end if; - end if; - end if; - end if; - end if; - end process; - -end Behavioral; \ No newline at end of file Index: mcip_open/trunk/MCIPopen.xise =================================================================== --- mcip_open/trunk/MCIPopen.xise (revision 5) +++ mcip_open/trunk/MCIPopen.xise (nonexistent) @@ -1,427 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/LCDapp_MCIPopen.ucf =================================================================== --- mcip_open/trunk/LCDapp_MCIPopen.ucf (revision 5) +++ mcip_open/trunk/LCDapp_MCIPopen.ucf (nonexistent) @@ -1,46 +0,0 @@ - -NET "clk50MHz" LOC = "C9" | IOSTANDARD = LVCMOS33 ; -# Define clock period for 50 MHz oscillator -NET "clk50MHz" PERIOD = 20.0ns HIGH 40%; - -NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN ; -#NET "clock_out" LOC = D7; - -NET "PORTB[7]" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[6]" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[5]" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[4]" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[3]" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[2]" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[1]" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; -NET "PORTB[0]" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ; - -NET "PORTA[0]" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP ; -NET "PORTA[1]" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP ; -NET "PORTA[2]" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP ; -NET "PORTA[3]" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP ; - -NET "PORTC[4]" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "PORTC[5]" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "PORTC[6]" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "PORTC[7]" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -NET "PORTD[7]" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "PORTD[6]" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; -NET "PORTD[5]" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ; - -#NET "PORTA[7]" LOC = C5; -#NET "PORTA[6]" LOC = D5; -#NET "PORTA[5]" LOC = A4; -#NET "PORTA[4]" LOC = B4; - -#NET "PORTC[3]" LOC = F7; -#NET "PORTC[2]" LOC = E7; -#NET "PORTC[1]" LOC = B6; -#NET "PORTC[0]" LOC = A6; - -#NET "PORTD[4]" LOC = A13; -#NET "PORTD[3]" LOC = B13; -#NET "PORTD[2]" LOC = A14; -#NET "PORTD[1]" LOC = B14; -#NET "PORTD[0]" LOC = C14; Index: mcip_open/trunk/LCDapp_MCIPopen_envsettings.html =================================================================== --- mcip_open/trunk/LCDapp_MCIPopen_envsettings.html (revision 5) +++ mcip_open/trunk/LCDapp_MCIPopen_envsettings.html (nonexistent) @@ -1,516 +0,0 @@ -Xilinx System Settings Report - -
System Settings

-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
PathC:\Xilinx\14.2\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\lib\nt64;
C:\Xilinx\Vivado\2012.2\bin;
C:\Xilinx\14.2\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.2\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\arm\nt64\bin;
C:\Xilinx\14.2\ISE_DS\common\bin\nt64;
C:\Xilinx\14.2\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\mpasm;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\bin;
C:\Program Files\mips\NavigatorICS\bin;
C:\Program Files\mips\NavigatorConsole\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\mast;
C:\Program Files (x86)\MATLAB\R2007b\bin;
C:\Program Files (x86)\MATLAB\R2007b\bin\win32;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling\stdemos;
C:\Program Files (x86)\Java\jdk1.7.0_04\bin;
C:\Program Files (x86)\Microchip\MPLAB C32 Suite\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files (x86)\Skype\Phone\
C:\Xilinx\14.2\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\lib\nt64;
C:\Xilinx\Vivado\2012.2\bin;
C:\Xilinx\14.2\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.2\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\arm\nt64\bin;
C:\Xilinx\14.2\ISE_DS\common\bin\nt64;
C:\Xilinx\14.2\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\mpasm;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\bin;
C:\Program Files\mips\NavigatorICS\bin;
C:\Program Files\mips\NavigatorConsole\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\mast;
C:\Program Files (x86)\MATLAB\R2007b\bin;
C:\Program Files (x86)\MATLAB\R2007b\bin\win32;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling\stdemos;
C:\Program Files (x86)\Java\jdk1.7.0_04\bin;
C:\Program Files (x86)\Microchip\MPLAB C32 Suite\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files (x86)\Skype\Phone\
C:\Xilinx\14.2\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\lib\nt64;
C:\Xilinx\Vivado\2012.2\bin;
C:\Xilinx\14.2\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.2\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\arm\nt64\bin;
C:\Xilinx\14.2\ISE_DS\common\bin\nt64;
C:\Xilinx\14.2\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\mpasm;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\bin;
C:\Program Files\mips\NavigatorICS\bin;
C:\Program Files\mips\NavigatorConsole\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\mast;
C:\Program Files (x86)\MATLAB\R2007b\bin;
C:\Program Files (x86)\MATLAB\R2007b\bin\win32;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling\stdemos;
C:\Program Files (x86)\Java\jdk1.7.0_04\bin;
C:\Program Files (x86)\Microchip\MPLAB C32 Suite\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files (x86)\Skype\Phone\
C:\Xilinx\14.2\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.2\ISE_DS\ISE\lib\nt64;
C:\Xilinx\Vivado\2012.2\bin;
C:\Xilinx\14.2\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.2\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.2\ISE_DS\EDK\gnu\arm\nt64\bin;
C:\Xilinx\14.2\ISE_DS\common\bin\nt64;
C:\Xilinx\14.2\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\mpasm;
C:\Program Files (x86)\Microchip\mplabc18\v3.41\bin;
C:\Program Files\mips\NavigatorICS\bin;
C:\Program Files\mips\NavigatorConsole\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\mast;
C:\Program Files (x86)\MATLAB\R2007b\bin;
C:\Program Files (x86)\MATLAB\R2007b\bin\win32;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling;
C:\Program Files (x86)\MATLAB\R2007b\toolbox\scheduling\stdemos;
C:\Program Files (x86)\Java\jdk1.7.0_04\bin;
C:\Program Files (x86)\Microchip\MPLAB C32 Suite\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files (x86)\Skype\Phone\
XILINXC:\Xilinx\14.2\ISE_DS\ISE\C:\Xilinx\14.2\ISE_DS\ISE\C:\Xilinx\14.2\ISE_DS\ISE\C:\Xilinx\14.2\ISE_DS\ISE\
XILINX_DSPC:\Xilinx\14.2\ISE_DS\ISEC:\Xilinx\14.2\ISE_DS\ISEC:\Xilinx\14.2\ISE_DS\ISEC:\Xilinx\14.2\ISE_DS\ISE
XILINX_EDKC:\Xilinx\14.2\ISE_DS\EDKC:\Xilinx\14.2\ISE_DS\EDKC:\Xilinx\14.2\ISE_DS\EDKC:\Xilinx\14.2\ISE_DS\EDK
XILINX_FOR_ALTIUM_OVERRIDE
XILINX_PLANAHEADC:\Xilinx\14.2\ISE_DS\PlanAheadC:\Xilinx\14.2\ISE_DS\PlanAheadC:\Xilinx\14.2\ISE_DS\PlanAheadC:\Xilinx\14.2\ISE_DS\PlanAhead
XILINX_VIVADOC:\Xilinx\Vivado\2012.2C:\Xilinx\Vivado\2012.2C:\Xilinx\Vivado\2012.2C:\Xilinx\Vivado\2012.2
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn LCDapp_MCIPopen.prj 
-ifmt mixedMIXED
-ofn LCDapp_MCIPopen 
-ofmt NGCNGC
-p xc3s500e-4-fg320 
-top LCDapp_MCIPopen 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsALLCLOCKNETS
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 500500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc3s500e-fg320-4None
-uc LCDapp_MCIPopen.ucfNone
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Map Property Settings
Switch NameProperty NameValueDefault Value
-irUse RLOC ConstraintsOFFOFF
-cmOptimization Strategy (Cover Mode)areaarea
-intstyle iseNone
-o LCDapp_MCIPopen_map.ncdNone
-prPack I/O Registers/Latches into IOBsoffoff
-p xc3s500e-fg320-4None
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-t 11
-intstyle ise 
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i3-2100 CPU @ 3.10GHz/3093 MHzIntel(R) Core(TM) i3-2100 CPU @ 3.10GHz/3093 MHzIntel(R) Core(TM) i3-2100 CPU @ 3.10GHz/3093 MHzIntel(R) Core(TM) i3-2100 CPU @ 3.10GHz/3093 MHz
Hostdpr158b421dpr158b421dpr158b421dpr158b421
OS NameMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bit
OS Releasemajor release (build 7600)major release (build 7600)major release (build 7600)major release (build 7600)
- \ No newline at end of file Index: mcip_open/trunk/Multiplier.vhd =================================================================== --- mcip_open/trunk/Multiplier.vhd (revision 5) +++ mcip_open/trunk/Multiplier.vhd (nonexistent) @@ -1,38 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 14:43:38 05/24/05 --- Design Name: Hard multiplier --- Module Name: Multiplier - Multiply --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This is a 8x8 multiplier. --- Revision: 07/07/2008 --- Revision 1 --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity Multiplier is - Port ( Q : in std_logic; - MUL_enable : in std_logic; - a : in std_logic_vector(7 downto 0); - b : in std_logic_vector(7 downto 0); - PROD : out std_logic_vector(15 downto 0)); -end Multiplier; - -architecture Multiply of Multiplier is - -begin - - process(Q, a, b) - begin - if (rising_edge(Q) and MUL_enable = '1') then - PROD <= a*b; - end if; - end process; - -end Multiply; \ No newline at end of file Index: mcip_open/trunk/PORTs.vhd =================================================================== --- mcip_open/trunk/PORTs.vhd (revision 5) +++ mcip_open/trunk/PORTs.vhd (nonexistent) @@ -1,151 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 14:36:31 05/24/05 --- Design Name: In/Out Ports --- Module Name: Ports - InOutPorts --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: Simple peripherals: 4 General purpose I/O configurable --- ports --> PORTA, PORTB, PORTC and PORTD. --- PORTB includes 3 External Interrupts: --- Revision: 07/06/2008 --- Revision 2 - Add description --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity PORTs is - Port ( nreset : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - RE : in std_logic; - WE : in std_logic; - SFRs_Address : in std_logic_vector(3 downto 0); -- data_addr(4&3&1&0) - DATA : inout std_logic_vector(7 downto 0); - PORTA : inout std_logic_vector(7 downto 0); - PORTB : inout std_logic_vector(7 downto 0); - PORTC : inout std_logic_vector(7 downto 0); - PORTD : inout std_logic_vector(7 downto 0)); -end PORTs; - -architecture Behavioral of PORTs is - - signal data_read : std_logic_vector(7 downto 0); - - signal TRISA : std_logic_vector(7 downto 0); - signal TRISB : std_logic_vector(7 downto 0); - signal TRISC : std_logic_vector(7 downto 0); - signal TRISD : std_logic_vector(7 downto 0); - - signal LATA : std_logic_vector(7 downto 0); - signal LATB : std_logic_vector(7 downto 0); - signal LATC : std_logic_vector(7 downto 0); - signal LATD : std_logic_vector(7 downto 0); - -begin - --- SFRs write operation --------------------------------- - -Write_pros : process( nreset, Q4, WE, SFRs_Address, DATA) - begin - if nreset = '0' then - LATA <= (others => '0'); - LATB <= (others => '0'); - LATC <= (others => '0'); - LATD <= (others => '0'); - TRISA <= (others => '1'); - TRISB <= (others => '1'); - TRISC <= (others => '1'); - TRISD <= (others => '1'); - elsif Q4'event and Q4 = '1' then - if WE = '1' then - case SFRs_Address is -- SFR address - when "1010" => TRISA <= DATA; -- F92 - when "1011" => TRISB <= DATA; -- F93 - when "1000" => TRISC <= DATA; -- F94 - when "1001" => TRISD <= DATA; -- F95 - when "0101" => LATA <= DATA; -- F89 - when "0110" => LATB <= DATA; -- F8A - when "0111" => LATC <= DATA; -- F8B - when "0100" => LATD <= DATA; -- F8C - when "0000" => LATA <= DATA; -- F80 - when "0001" => LATB <= DATA; -- F81 - when "0010" => LATC <= DATA; -- F82 - when "0011" => LATD <= DATA; -- F83 - when others => null; - end case; - end if; - end if; - end process; - - --- SFRs read operation ---------------------------------------------- - - data_read <= TRISA when SFRs_Address = "1010" else - TRISB when SFRs_Address = "1011" else - TRISC when SFRs_Address = "1000" else - TRISD when SFRs_Address = "1001" else - LATA when SFRs_Address = "0101" else - LATB when SFRs_Address = "0110" else - LATC when SFRs_Address = "0111" else - LATD when SFRs_Address = "0100" else - PORTA when SFRs_Address = "0000" else - PORTB when SFRs_Address = "0001" else - PORTC when SFRs_Address = "0010" else - PORTD; --when SFRs_Address = "0011" - - DATA <= data_read when (Q1 = '1' and RE = '1') else - (others => 'Z'); - - --- IO PORTs assignements --------------------------------- - - PORA : process(TRISA, LATA) - begin - for i in 0 to 7 loop - if TRISA(i) = '0' then - PORTA(i) <= LATA(i); - else - PORTA(i) <= 'Z'; - end if; - end loop; - end process; - - PORB : process(TRISB, LATB) - begin - for i in 0 to 7 loop - if TRISB(i) = '0' then - PORTB(i) <= LATB(i); - else - PORTB(i) <= 'Z'; - end if; - end loop; - end process; - - PORC : process(TRISC, LATC) - begin - for i in 0 to 7 loop - if TRISC(i) = '0' then - PORTC(i) <= LATC(i); - else - PORTC(i) <= 'Z'; - end if; - end loop; - end process; - - PORD : process(TRISD, LATD) - begin - for i in 0 to 7 loop - if TRISD(i) = '0' then - PORTD(i) <= LATD(i); - else - PORTD(i) <= 'Z'; - end if; - end loop; - end process; - - -end Behavioral; \ No newline at end of file Index: mcip_open/trunk/Data_Memory_Banks_Controller.vhd =================================================================== --- mcip_open/trunk/Data_Memory_Banks_Controller.vhd (revision 5) +++ mcip_open/trunk/Data_Memory_Banks_Controller.vhd (nonexistent) @@ -1,67 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- --- Create Date: 10:09:19 04/17/2012 --- Design Name: --- Module Name: Data_Memory_Controller - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - - -entity Data_Memory_Banks_Controller is - Generic (Banks_number : integer := 3; -- number of banks, min = 2, max = 16 - dm_TOPaddr : std_logic_vector(11 downto 0) := x"07F"); - Port ( RE : in std_logic; - WE : in std_logic; - Data_address : in std_logic_vector(11 downto 0); - - RE_bank : out std_logic; - WE_bank : out std_logic; - Bank_selection : out std_logic_vector(0 to Banks_number-1); - Bank_data_address : out std_logic_vector(7 downto 0) - ); -end Data_Memory_Banks_Controller; - -architecture Behavioral of Data_Memory_Banks_Controller is - - signal over_address : std_logic; - - -begin - - - over_address <= '1' when Data_Address > dm_TOPaddr else - '0'; - RE_bank <= RE when over_address = '0' else '0'; - WE_bank <= WE when over_address = '0' else '0'; - - Bank_data_address <= Data_address(7 downto 0); - - - Bank_selection_p : process(Data_address(11 downto 8)) - begin - for i in 0 to Banks_number-1 loop - if i = CONV_INTEGER(Data_address(11 downto 8)) then - Bank_selection(i) <= '1'; - else - Bank_selection(i) <= '0'; - end if; - end loop; - end process; - -end Behavioral; - Index: mcip_open/trunk/Reset_module.vhd =================================================================== --- mcip_open/trunk/Reset_module.vhd (revision 5) +++ mcip_open/trunk/Reset_module.vhd (nonexistent) @@ -1,78 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 14:24:19 06/01/05 --- Design Name: Reset device --- Module Name: Rest_module - reset --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This module generate the global reset of the device (nreset). --- nreset is active (low) if one of following events occure: --- soft reset, stack overflow, Watchdog time out, external reset. --- Revision: 07/09/2008 --- Revision 3 - Extend a soft reset time. --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity reset_module is - Port ( clock : in std_logic; - nExternal_reset : in std_logic; - Soft_reset : in std_logic; - WDT_reset : in std_logic; - Stack_reset : in std_logic; - nreset : out std_logic); -end reset_module; - -architecture reset of reset_module is - constant reset_counter_length : integer := 5; - signal reset_enable : std_logic; - signal reset_counter : std_logic_vector(reset_counter_length downto 1); - signal disable_counter_value : std_logic_vector(reset_counter_length downto 1); -begin - - disable_counter_value <= (others => '1'); - - Enabling_reset : process(nExternal_reset, clock, reset_counter, - disable_counter_value, Soft_reset, WDT_reset, - Stack_reset) - begin - if nExternal_reset = '0' then - reset_enable <= '0'; - else - if clock'event and clock = '1' then - if reset_counter = disable_counter_value then - reset_enable <= '0'; - else - if Soft_reset = '1' or WDT_reset = '1' or Stack_reset = '1' then - reset_enable <= '1'; - else - reset_enable <= reset_enable; - end if; - end if; - else - reset_enable <= reset_enable; - end if; - end if; - end process; - - Counter : process(reset_enable, clock) - begin - if reset_enable = '0' then - reset_counter <= (others => '0'); - else - if clock'event and clock = '1' then - reset_counter <= reset_counter + "1"; - else - reset_counter <= reset_counter; - end if; - end if; - end process; - - nreset <= '0' when ( nExternal_reset = '0' or reset_enable = '1') else - '1'; - -end reset; \ No newline at end of file Index: mcip_open/trunk/Program_Memory_Controller.vhd =================================================================== --- mcip_open/trunk/Program_Memory_Controller.vhd (revision 5) +++ mcip_open/trunk/Program_Memory_Controller.vhd (nonexistent) @@ -1,50 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- --- Create Date: 16:16:00 06/17/2013 --- Design Name: --- Module Name: Program_Memory_Controller - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - - -entity Program_Memory_Controller is - Generic (IAlength : integer := 20; -- min = 2, max = 21 - pm_TOPaddr : std_logic_vector(20 downto 0) := '0'&x"0FFFF"); - Port ( Prg_addr : in std_logic_vector(20 downto 0); - Instruction : out std_logic_vector(15 downto 0); - - eff_Prg_addr : out std_logic_vector(IAlength-1 downto 0); - Prg_memory_bus : in std_logic_vector(15 downto 0)); -end Program_Memory_Controller; - -architecture Behavioral of Program_Memory_Controller is - - signal over_address : std_logic; - -begin - - over_address <= '1' when Prg_addr > pm_TOPaddr else - '0'; - - eff_Prg_addr <= Prg_addr(IALength-1 downto 0); - - Instruction <= X"0000" when over_address = '1' else -- NOP - Prg_memory_bus; - -end Behavioral; - Index: mcip_open/trunk/MCIPopen.vhd =================================================================== --- mcip_open/trunk/MCIPopen.vhd (revision 5) +++ mcip_open/trunk/MCIPopen.vhd (nonexistent) @@ -1,209 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: july 2015 --- Design Name: MCIP open --- Module Name: MCIPopen --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 14 --- Description: "MCIP open" is a light vertion of MCIP core, it is compatible --- whith Microchip PIC18 microcontrolle. --- Revision: --- Revision 0 --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - - -entity MCIPopen is - Generic ( STKPTR_length : integer := 5; -- Stack Pointer Length -- < 6 - STVREN : std_logic := '1'; -- Stack Overflow/Underflow Reset Enable bit - WDTPS : std_logic_vector(3 downto 0) := "0100"; -- Watchdog Timer Postscale Select bits - WDTEN : std_logic := '0'); -- Watchdog Timer enable bit - - Port ( nreset : in std_logic; - clock : in std_logic; - Wdt_clock : in std_logic; - Instruction : in std_logic_vector(15 downto 0); - clock_out : out std_logic; - nresetDevice : out std_logic; - Q1 : out std_logic; - Q4 : out std_logic; - RE_ram : out std_logic; - WE_ram : out std_logic; - Istruction_address : out std_logic_vector(20 downto 0); - Data_address : out std_logic_vector(11 downto 0); - Data_Bus : inout std_logic_vector(7 downto 0); - PORTA : inout std_logic_vector(7 downto 0); - PORTB : inout std_logic_vector(7 downto 0); - PORTC : inout std_logic_vector(7 downto 0); - PORTD : inout std_logic_vector(7 downto 0) ); -end MCIPopen; - -architecture mapping of MCIPopen is - -component CPU - Generic ( STKPTR_length : integer := 5; - STVREN : std_logic := '1'); - Port ( nreset : in std_logic; - Q : in std_logic_vector(1 to 4); - Instruction : in std_logic_vector(15 downto 0); - DATA : inout std_logic_vector(7 downto 0); - Istruction_address : out std_logic_vector(20 downto 0); - Data_address : out std_logic_vector(11 downto 0); - address_latch_port : out std_logic_vector(3 downto 0); - r_w_ram : out std_logic_vector(1 downto 0); - r_w_port : out std_logic_vector(1 downto 0); - r_w_wdt : out std_logic_vector(1 downto 0); - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic; - stack_overflow : out std_logic ); -end component CPU; - -component PORTs - Port ( nreset : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - RE : in std_logic; - WE : in std_logic; - SFRs_Address : in std_logic_vector(3 downto 0); - DATA : inout std_logic_vector(7 downto 0); - PORTA : inout std_logic_vector(7 downto 0); - PORTB : inout std_logic_vector(7 downto 0); - PORTC : inout std_logic_vector(7 downto 0); - PORTD : inout std_logic_vector(7 downto 0)); -end component PORTs; - -component reset_module - Port ( clock : in std_logic; - nExternal_reset : in std_logic; - Soft_reset : in std_logic; - WDT_reset : in std_logic; - Stack_reset : in std_logic; - nreset : out std_logic); -end component reset_module; - -component PLL - Port ( clock : in std_logic; - nreset : in std_logic; - IDLEN : in std_logic; - Sleep_mode_enable : in std_logic; - Q1 : out std_logic; - Q2 : out std_logic; - Q3 : out std_logic; - Q4 : out std_logic; - internal_clock : out std_logic); -end component PLL; - -component Watchdog - Generic(WDTPS : std_logic_vector(3 downto 0) := "0100"; - WDTEN : std_logic := '0'); - Port ( nreset : in std_logic; - WDT_clock : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - RE : in std_logic; - WE : in std_logic; - clrWDT : in std_logic; - Sleep : in std_logic; - DATA : inout std_logic_vector(7 downto 0); - WDT_reset : out std_logic; - WDTwake_up : out std_logic); -end component Watchdog; - - - signal Q : std_logic_vector(1 to 4); - signal address_latch_port : std_logic_vector(3 downto 0); - signal r_w_port : std_logic_vector(1 downto 0); - signal r_w_wdt : std_logic_vector(1 downto 0); - signal r_w_ram : std_logic_vector(1 downto 0); - signal soft_reset_enable : std_logic; - signal sleep_mode_enable : std_logic; - signal sleep_mode : std_logic; - signal clear_watchdog : std_logic; - signal WDTwake_up : std_logic; - signal WDT_reset : std_logic; - signal stack_overflow : std_logic; - signal nreset_device : std_logic; - signal clock_div4 : std_logic; - -begin - -CPU_block : CPU -Generic map( STKPTR_length => STKPTR_length, - STVREN => STVREN) -Port map ( nreset => nreset_device, - Q => Q, - Instruction => Instruction, - DATA => DATA_BUS, - Istruction_address => Istruction_address, - Data_address => Data_address, - address_latch_port => address_latch_port, - r_w_ram => r_w_ram, - r_w_port => r_w_port, - r_w_wdt => r_w_wdt, - soft_reset_enable => soft_reset_enable, - sleep_mode_enable => sleep_mode_enable, - clear_watchdog => clear_watchdog, - stack_overflow => stack_overflow ); - -Ports_block : PORTs -Port map ( nreset => nreset_device, - Q1 => Q(1), - Q4 => Q(4), - RE => r_w_port(1), - WE => r_w_port(0), - SFRs_Address => address_latch_port, - DATA => DATA_BUS, - PORTA => PORTA, - PORTB => PORTB, - PORTC => PORTC, - PORTD => PORTD); - -Reset_u: reset_module -Port map ( clock => clock, - nExternal_reset => nreset, - Soft_reset => soft_reset_enable, - WDT_reset => WDT_reset, - Stack_reset => stack_overflow, - nreset => nreset_device); - -PLL_u: PLL -Port map ( clock => clock, - nreset => nreset_device, - IDLEN => '0', - Sleep_mode_enable => sleep_mode, - Q1 => Q(1), - Q2 => Q(2), - Q3 => Q(3), - Q4 => Q(4), - internal_clock => clock_div4); - -Watchdog_u: Watchdog -Generic map(WDTPS => WDTPS, - WDTEN => WDTEN) -Port map ( nreset => nreset_device, - WDT_clock => Wdt_clock, - Q1 => Q(1), - Q4 => Q(4), - RE => r_w_wdt(1), - WE => r_w_wdt(0), - clrWDT => clear_watchdog, - Sleep => sleep_mode_enable, - DATA => DATA_BUS, - WDT_reset => WDT_reset, - WDTwake_up => WDTwake_up); - - - Q1 <= Q(1); - Q4 <= Q(4); - RE_ram <= r_w_ram(1); - WE_ram <= r_w_ram(0); - clock_out <= clock_div4; - nresetDevice <= nreset_device; - sleep_mode <= '1' when sleep_mode_enable = '1' and WDTwake_up = '0' else '0'; - -end mapping; \ No newline at end of file Index: mcip_open/trunk/PLL.vhd =================================================================== --- mcip_open/trunk/PLL.vhd (revision 5) +++ mcip_open/trunk/PLL.vhd (nonexistent) @@ -1,218 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 02:10:11 05/21/01 --- Design Name: Phase Lock Loop --- Module Name: PLL - Behavioral --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: The PLL divide the clock input by four. It provides an --- instruction cycle that is the same frequency --- as the external clock frequency. Four non-overlapping --- quadrature clocks, namely Q1, Q2, Q3 and Q4 are generated. --- Revision: 07/06/2008 --- Revision 3 --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity PLL is - Port ( clock : in std_logic; - nreset : in std_logic; - IDLEN : in std_logic; - Sleep_mode_enable : in std_logic; - Q1 : out std_logic; - Q2 : out std_logic; - Q3 : out std_logic; - Q4 : out std_logic; - internal_clock : out std_logic); -- MCU clock/4 -end PLL; - -architecture Behavioral of PLL is - - signal Q : std_logic_vector(1 to 4); - signal Syc : std_logic_vector(1 downto 0); - signal Idle_Syc : std_logic; - signal Idle : std_logic; - - signal clock_div4 : std_logic; - - signal nresetQ1 : std_logic; - signal nresetQ3 : std_logic; - -begin - - nresetQ1 <= '0' when nreset = '0' or Q(2) = '1' else - '1'; - nresetQ3 <= '0' when nreset = '0' or Q(4) = '1' else - '1'; - Idle <= '1' when Sleep_mode_enable = '1' and Idle_Syc = '1' else - '0'; - - Q1 <= Q(1); - Q2 <= Q(2); - Q3 <= Q(3); - Q4 <= Q(4); - - internal_clock <= clock_div4; - - Sychronise : process(nreset, clock, Idle, IDLEN, Syc) - begin - if nreset = '0' then - Syc <= "10"; - else - if clock'event and clock = '1' then - case Syc is - when "10" => - if Idle = '1' and IDLEN = '0' then - Syc <= "10"; - else - Syc <= "00"; - end if; - when "00" => - Syc <= "01"; - when "01" => - Syc <= "11"; - when others => - Syc <= "10"; - end case; - else - Syc <= Syc; - end if; - end if; - end process; - - Out_clock : process(nreset, clock, Idle, IDLEN, Syc) - begin - if nreset = '0' then - clock_div4 <= '0'; - else - if clock'event and clock = '1' then - case Syc is - when "10" => - if Idle = '1' and IDLEN = '0' then - clock_div4 <= clock_div4; - else - clock_div4 <= '0'; - end if; - when "00" => - clock_div4 <= '0'; - when "01" => - clock_div4 <= '1'; - when others => - clock_div4 <= '1'; - end case; - else - clock_div4 <= clock_div4; - end if; - end if; - end process; - - Q1_p : process(nresetQ1, clock, Idle, Syc) - begin - if nresetQ1 = '0' then - Q(1) <= '0'; - else - if clock'event and clock = '1' then - if Syc = "10" then - if Idle = '0' then - Q(1) <= '1'; - else - Q(1) <= Q(1); - end if; - else - Q(1) <= Q(1); - end if; - else - Q(1) <= Q(1); - end if; - end if; - end process; - - Q2_p : process(nreset, clock, Idle_Syc, Syc) - begin - if nreset = '0' then - Q(2) <= '0'; - else - if clock'event and clock = '1' then - if Syc = "00" then - if Idle_Syc = '0' then - Q(2) <= '1'; - else - Q(2) <= '0'; - end if; - else - Q(2) <= '0'; - end if; - else - Q(2) <= Q(2); - end if; - end if; - end process; - - Q3_p : process(nresetQ3, clock, Idle_Syc, Syc) - begin - if nresetQ3 = '0' then - Q(3) <= '0'; - else - if clock'event and clock = '1' then - if Syc = "01" then - if Idle_Syc = '0' then - Q(3) <= '1'; - else - Q(3) <= Q(3); - end if; - else - Q(3) <= Q(3); - end if; - else - Q(3) <= Q(3); - end if; - end if; - end process; - - Q4_p : process(nreset, clock, Idle_Syc, Syc) - begin - if nreset = '0' then - Q(4) <= '0'; - else - if clock'event and clock = '1' then - if Syc = "11" then - if Idle_Syc = '0' then - Q(4) <= '1'; - else - Q(4) <= Q(4); - end if; - elsif Syc = "10" then - if Idle = '0' then - Q(4) <= '0'; - else - Q(4) <= Q(4); - end if; - else - Q(4) <= Q(4); - end if; - else - Q(4) <= Q(4); - end if; - end if; - end process; - - Idel_state : process(nreset, Q(1), Q(4), Sleep_mode_enable) - begin - if nreset = '0' or Q(1) = '1' then - Idle_Syc <= '0'; - else - if Q(4)'event and Q(4) = '1' then - Idle_Syc <= Sleep_mode_enable; - else - Idle_Syc <= Idle_Syc; - end if; - end if; - end process; - - -end Behavioral; \ No newline at end of file Index: mcip_open/trunk/Instruction_Decoder.vhd =================================================================== --- mcip_open/trunk/Instruction_Decoder.vhd (revision 5) +++ mcip_open/trunk/Instruction_Decoder.vhd (nonexistent) @@ -1,250 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 00:56:38 06/04/05 --- Design Name: Instruction decoder and control --- Module Name: InstructionDecoder_Control - Decode_Cotrol --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: Decodes the fetched instruction and selects the block --- concernrd by read and write operations. --- Revision: 07/07/2008 --- Revision 2.2 - Add description --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity Instruction_Decoder is - Port ( nreset : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - Fetched_instruction : in std_logic_vector(15 downto 0); - Data_add : in std_logic_vector(11 downto 0); - New_status : in std_logic_vector(4 downto 0); - Set_response : in std_logic_vector(1 downto 0); - Data : inout std_logic_vector(7 downto 0); - - Command_vector_opu : out std_logic_vector(13 downto 0); - Command_vector_pc : out std_logic_vector(6 downto 0); - Command_status : out std_logic_vector(4 downto 0); - Current_status : out std_logic_vector(4 downto 0); - Bit_op : out std_logic_vector(2 downto 0); - call_return : out std_logic_vector(1 downto 0); - IR11_0 : out std_logic_vector(11 downto 0); - r_w_ram : out std_logic_vector(1 downto 0); - r_w_add_pr : out std_logic_vector(1 downto 0); - r_w_opu : out std_logic_vector(1 downto 0); - r_w_port : out std_logic_vector(1 downto 0); - r_w_wdt : out std_logic_vector(1 downto 0); - bit_op_enable : out std_logic; - load_FREG : out std_logic; - read_result : out std_logic; - WREG_write_enable : out std_logic; - MUL_enable : out std_logic; - MOVFF_enable : out std_logic; - load_BSR : out std_logic; - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic -); -end Instruction_Decoder; - -architecture Decode_Control of Instruction_Decoder is - -component Decoder - Port ( Instruction : in std_logic_vector(15 downto 0); - Status : in std_logic_vector(4 downto 1); - second_inst_ide : in std_logic_vector(2 downto 0); - Command_vector_pc : out std_logic_vector(6 downto 0); - Command_vector_opu : out std_logic_vector(13 downto 0); - Command_status : out std_logic_vector(4 downto 0); - second_inst_inf : out std_logic_vector(2 downto 0); - Skip_inf : out std_logic_vector(4 downto 0); - read_write : out std_logic_vector(1 downto 0); - call_return : out std_logic_vector(1 downto 0); - bit_op_enable : out std_logic; - load_FREG : out std_logic; - read_result : out std_logic; - WREG_write_enable : out std_logic; - MUL_enable : out std_logic; - MOVFF_enable : out std_logic; - load_BSR : out std_logic; - nop_enable : out std_logic; - soft_reset_enable : out std_logic; - sleep_mode_enable : out std_logic; - clear_watchdog : out std_logic; - literal_enable : out std_logic ); -end component Decoder; - ---component Instruction_Control --- Port ( nreset : in std_logic; --- Q1 : in std_logic; --- Fetched_instruction : in std_logic_vector(15 downto 0); --- Set_response : in std_logic_vector(1 downto 0); --- Skip_info : in std_logic_vector(4 downto 0); --- nop_enable : in std_logic; --- retfie : in std_logic; --- INTH : in std_logic; --- INTL : in std_logic; --- GIEH : in std_logic; --- GIEL : in std_logic; --- second_inst_enable : in std_logic; --- freeze_enable : out std_logic; --- GIEHout : out std_logic; --- GIELout : out std_logic; --- load_INTCON : out std_logic; --- Instruction : out std_logic_vector(15 downto 0) ); ---end component Instruction_Control; - -component Selection_block - Port ( R_W : in std_logic_vector(1 downto 0); - Address : in std_logic_vector(11 downto 0); - r_w_ram : out std_logic_vector(1 downto 0); - r_w_add_pr : out std_logic_vector(1 downto 0); - r_w_opu : out std_logic_vector(1 downto 0); - r_w_port : out std_logic_vector(1 downto 0); - r_w_dec : out std_logic_vector(1 downto 0); - r_w_wdt : out std_logic_vector(1 downto 0) ); -end component Selection_block; - ---component Interrupt_Block --- Port ( INTCON : in std_logic_vector(7 downto 0); --- INTCON2 : in std_logic_vector(7 downto 0); --- INTCON3 : in std_logic_vector(1 downto 0); --- INTH : out std_logic; --- INTL : out std_logic; --- wake_up : out std_logic); ---end component Interrupt_Block; - - signal data_read : std_logic_vector(7 downto 0); - - signal IR : std_logic_vector(15 downto 0); - signal instruction : std_logic_vector(15 downto 0); - - signal STATUS : std_logic_vector(4 downto 0); - signal STATUSs : std_logic_vector(4 downto 0); - signal STATUSmap : std_logic_vector(4 downto 1); - - signal literal_enable : std_logic; - signal nop_enable : std_logic; - signal sleep_mode_enables : std_logic; - - signal Set_response_register : std_logic_vector(1 downto 0); - signal Command_status_s : std_logic_vector(4 downto 0); - - signal R_W : std_logic_vector(1 downto 0); - signal r_w_dec : std_logic_vector(1 downto 0); - - signal second_inst_register : std_logic_vector(2 downto 0); - signal second_inst_inf : std_logic_vector(2 downto 0); - signal Skip_inf : std_logic_vector(4 downto 0); - signal call_returns : std_logic_vector(1 downto 0); - - signal nop_enables : std_logic; - - alias read_en : std_logic is r_w_dec(1); - alias write_en : std_logic is r_w_dec(0); - - -begin - -ins_decoder : Decoder -Port map ( Instruction => IR, - Status => STATUSmap, - second_inst_ide => second_inst_register, - Command_vector_pc => Command_vector_pc, - Command_vector_opu => Command_vector_opu, - Command_status => Command_status_s, - second_inst_inf => second_inst_inf, - Skip_inf => Skip_inf, - read_write => R_W, - call_return => call_returns, - bit_op_enable => bit_op_enable, - load_FREG => load_FREG, - read_result => read_result, - WREG_write_enable => WREG_write_enable, - MUL_enable => MUL_enable, - MOVFF_enable => MOVFF_enable, - load_BSR => load_BSR, - nop_enable => nop_enable, - soft_reset_enable => soft_reset_enable, - sleep_mode_enable => sleep_mode_enables, - clear_watchdog => clear_watchdog, - literal_enable => literal_enable ); - - -selecter : Selection_Block -Port map ( R_W => R_W, - Address => Data_add, - r_w_ram => r_w_ram, - r_w_add_pr => r_w_add_pr, - r_w_opu => r_w_opu, - r_w_port => r_w_port, - r_w_dec => r_w_dec, - r_w_wdt => r_w_wdt ); - - - nop_enables <= '1' when (nop_enable = '1' or (Skip_inf(4) = '1' and - (Skip_inf(3 downto 2)=(Skip_inf(1 downto 0) and Set_response_register)))) else - '0'; - - instruction <= X"F000" when nop_enables = '1' else Fetched_instruction; - - - data_read <= IR(7 downto 0) when literal_enable='1' else - "000"&STATUS; - Data <= data_read when (read_en='1' or literal_enable='1')and Q1='1'else - (others => 'Z'); - sleep_mode_enable <= sleep_mode_enables; - Command_status <= Command_status_s; - STATUSmap <= STATUS(4 downto 2)&STATUS(0); - Current_status <= STATUS; - Bit_op <= IR(11 downto 9); - call_return <= call_returns; - IR11_0 <= IR(11 downto 0); - - - process(nreset, Q1, instruction, second_inst_inf) - begin - if nreset = '0' then - IR <= (others => '0'); - second_inst_register <= (others => '0'); - else - if Q1'event and Q1 = '1' then - IR <= instruction; - second_inst_register <= second_inst_inf; - end if; - end if; - end process; - - process(nreset, Q4, write_en, Data, - Set_response, call_returns, STATUS, STATUSs) - begin - if nreset = '0' then - STATUS <= (others => '0'); - STATUSs <= (others => '0'); - Set_response_register <= (others => '0'); - else - if Q4'event and Q4 = '1' then - Set_response_register <= Set_response; - if write_en = '1' and Command_status_s = "00000" then - STATUS <= Data(4 downto 0); - else - if call_returns(1) = '1' then - if call_returns(0) = '0' then - STATUSs <= STATUS; -- call - else - STATUS <= STATUSs; -- return - end if; - else - STATUS <= New_status; - end if; - end if; - end if; - end if; - end process; - -end Decode_Control; \ No newline at end of file Index: mcip_open/trunk/Operation_Unit.vhd =================================================================== --- mcip_open/trunk/Operation_Unit.vhd (revision 5) +++ mcip_open/trunk/Operation_Unit.vhd (nonexistent) @@ -1,157 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 23:44:46 05/28/05 --- Design Name: Process unit --- Module Name: Calcul_Unit - Calcul --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: This process unit includes 8-bits ALU, --- hard multiplier, and several 8-bits work registers --- WREG, WREGs, PRODL, PRODH, FREG. --- Revision: 07/07/2008 --- Revision 2.2 - Add description --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity Operation_Unit is - Port ( nreset : in std_logic; - Q : in std_logic_vector(1 to 4); - CommandVector : in std_logic_vector(13 downto 0); - CommandStatus : in std_logic_vector(4 downto 0); - OldStatus : in std_logic_vector(4 downto 0); - R_W : in std_logic_vector(1 downto 0); - BitOp : in std_logic_vector(2 downto 0); - call_return : in std_logic_vector(1 downto 0); - Address_Latch : in std_logic_vector(1 downto 0); - BitOp_enable : in std_logic; - WREG_write : in std_logic; - MUL_enable : in std_logic; - Read_result : in std_logic; - Load_FREG : in std_logic; - DATA : inout std_logic_vector(7 downto 0); - NewStatus : out std_logic_vector(4 downto 0); - SetResponse : out std_logic_vector(1 downto 0) ); -end Operation_Unit; - -architecture Behavioral of Operation_Unit is - -component ALU - Port ( CommandVector : in std_logic_vector(13 downto 0); - CommandStatus : in std_logic_vector(4 downto 0); - OldStatus : in std_logic_vector(4 downto 0); - a : in std_logic_vector(7 downto 0); - b : in std_logic_vector(7 downto 0); - s : out std_logic_vector(7 downto 0); - NewStatus : out std_logic_vector(4 downto 0); - SetResponse : out std_logic_vector(1 downto 0) ); -end component ALU; - -component Multiplier - Port ( Q : in std_logic; - MUL_enable : in std_logic; - a : in std_logic_vector(7 downto 0); - b : in std_logic_vector(7 downto 0); - PROD : out std_logic_vector(15 downto 0) ); -end component Multiplier; - - signal WREG : std_logic_vector(7 downto 0); - signal PRODL : std_logic_vector(7 downto 0); - signal PRODH : std_logic_vector(7 downto 0); - signal FREG : std_logic_vector(7 downto 0); - signal WREGs : std_logic_vector(7 downto 0); - - signal DATA_read : std_logic_vector(7 downto 0); - signal DATA_write : std_logic_vector(7 downto 0); - - signal a_ALU : std_logic_vector(7 downto 0); - signal b_ALU : std_logic_vector(7 downto 0); - signal result : std_logic_vector(7 downto 0); - - signal PROD : std_logic_vector(15 downto 0); - - alias read_D : std_logic is R_W(1); - alias write_D : std_logic is R_W(0); - - alias Q1 : std_logic is Q(1); - alias Q2 : std_logic is Q(2); - alias Q3 : std_logic is Q(3); - alias Q4 : std_logic is Q(4); - -begin - -ALUnit : ALU -port map ( CommandVector => CommandVector, - CommandStatus => CommandStatus, - OldStatus => OldStatus, - a => a_alu, - b => b_alu, - s => result, - NewStatus => NewStatus, - SetResponse => SetResponse ); - -MUL : Multiplier -Port map ( Q => Q3, - MUL_enable => MUL_enable, - a => FREG, - b => WREG, - PROD => PROD ); - - a_alu <= FREG; - b_alu <= WREG(7 downto 3) & BitOp when BitOp_enable = '1' else - WREG; - - DATA_read <= PRODL when Address_Latch(0) = '1' else - PRODH when Address_Latch(1) = '1' else - WREG; - - DATA_write <= DATA; - -Latchs_p : process (nreset, Q1, Q2, Q3, Q4, write_D, DATA_write, MUL_enable, - call_return, Address_Latch, PROD, WREGs, WREG, Load_FREG) - begin - if nreset = '0' then - WREG <= (others => '0'); - PRODH <= (others => '0'); - PRODL <= (others => '0'); - FREG <= (others => '0'); - WREGs <= (others => '0'); - else - if (Q4'event and Q4 = '1') then - if MUL_enable = '1' then - PRODL <= PROD(7 downto 0); - PRODH <= PROD(15 downto 8); - else - if write_D = '1' then - if Address_Latch(1) = '1' then - PRODH <= DATA_write; - elsif Address_Latch(0) = '1' then - PRODL <= DATA_write; - end if; - end if; - end if; - if WREG_write = '1' or - (write_D = '1' and Address_Latch = "00") then - WREG <= DATA_write; - elsif call_return(1) = '1' then - if call_return(0) = '0' then - WREGs <= WREG; -- call - else - WREG <= WREGs; -- return - end if; - end if; - end if; - if ((Q2'event and Q2 = '1') and Load_FREG = '1') then - FREG <= DATA_write; - end if; - end if; - end process; - - DATA <= DATA_read when (Q1 = '1' and read_D = '1') else - result when (Q3 = '1' and Read_result = '1') else - (others => 'Z'); - -end Behavioral; \ No newline at end of file Index: mcip_open/trunk/LCDapp_MCIPopen_guide.ncd =================================================================== --- mcip_open/trunk/LCDapp_MCIPopen_guide.ncd (revision 5) +++ mcip_open/trunk/LCDapp_MCIPopen_guide.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###3720:XlxV32DM 3ff6 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###3384:XlxV32DM 3ffd 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###1684:XlxV32DM 3fe9 67ceNqtm1tP40gQhf/R4qpq37QoEstqRjygHcGgeWwFx1lFLAmKzWh//rZDHBhtEvqcNi/kob66nCp3tx3n9vrh4vb65luz2bYX198e/OM/m+bp4mqx2LZd5++ubsfPIr66zGZi8vstBsnMFGfEHA75ZY7np0R+yuSnXH5G5GdMfjbkp3UM9sf9XRiGeFsBbBWwtZlWkbY+izeVeFONN7W43g+mz6//ZuEvaGyGM+LiJuZXSplQqjZnKP8yb568MCHN4ToKoaNQxUlKcUIVp0RxShWnKcUpVZwRxRlVnKUUF9J02sZS600fILEMBJRA6riN4BfE3//IdAlilcZK3g1LQLyxIMaKGFv8VtOF/SPeVgBbBWwtuivdYcgMJYbuWxFD7affz9eL3f/V+qeVJCi2IMn9RatR/Dp8avsBO71xX2+en4N/3/Xz/nU3rMto0zGZWOcS71xg5xrvXGHnbqbNZ6Y/26bfbP3m5fXsUeao+adtPULJcDzA7Mcln8IUy07A7MaOGxYmtB2yN6yTgnXyfWyRIIYFMSpIjgXJqSAFpm6J5VRSOVVYkIoKUmNB6tmnp68zlOpjJPXSDGuRItZj9UAIgUIIU0W4zAFrgxI6XE8MZAzkkGIcVIxjGphDIXJGrxFSBjpzFLxZd/32telXm/WfbbNZtNuLm+F5zelD3SliLCrDwGEbLmFkDMaSSpNGk+70YnWa3PawoIILKrSgQgt6OLDAsshOlpyhfsPnU3E5lZZTaTmVnk89zCcqjeHSGC2N0dIYPWlGXYAOl8XRsriDLGiaOZ5mTqeZ092jNzGBN7H3xYwEjQUdWpzCxb0vSiSoLAh3zuDijM3R2AY4OEfHNsCxxTm2ATlcXM4WR1/eBZxjweZYsA0o2HWhYMeyhFUpWVVKVpWSbXkFF1exxVVs5yq2czVcXM0WV7Odq1lV6sMZU2Fw25/+tuwo5DPwLOQz+EQzIOOTAjA7ASfDSwYTeAw9/X3rKYKoxOAoRkRxcBRHRMnhKDn8eCQMGTyZMk6mopOJ3kN4xbPTMTtDszM0O8OzszE7h2YHAzkKFChQokCFAjXUkjv/4xJ82veGMDedH0mF0zz3vdUR5P771feH+6G4OUMxzwf3MJeoUom+3wgSIY0KaSkhHRXSff54/iQcTh4EREVSBjIGcvaIQx/ewWtTaHHOZYkO/Jd0F1+dJIlgw5syLk/3sfNTTuMnCDOVpyn0OfNaT4yPUtP4Kk8Z9LAQL1JoKdJ4q22ZxvsvyR6+piioSQpqooKaqKAmK6jJCoY9tkmhky5AGxqwTOMv/nJFqof97u00zdHu1cYpnEySiU7hxKZw4qZwkqc5UcnSu/PmRFKOJ8GJVSlni52DMPRlsovxzJpWTaVpK4AVaXxZpvFVlrJ8uqTl06Utny5x+XTJy6f7sHxqWilhK61wfnwLvabRM6/mnmS7y3MvAp/BhMOUw4zDHPN8oAv3sQwlFKUUZRTliOHqxh8QENfEgd0dBLIEfr++M+mP19WcZ8FDTNv7bdu9bNZdGz78ver6dhsuswmcyAy70TzmxGcT+BDoBbT7p9WLX62Xw1rTcNw4AVTYIBsyOat15xf7z//72Yo4N5/Ol7hmOmd+mU+Xm2ruHid0Jm4xobdQai5T+nvb98OY/AewEqah###1268:XlxV32DM 3fd0 4dceNq1m0lrG0EQRn+SpqurNyLm4mBhiIIhODk28kgGY2tBS/DPz8zICsaWLqPXN4Ph8dVe3dOa3jyMpjd39816uxjd3D/kx9d18zK6W+3220Ozf16vvi+a9XyxHT2vdnn+/vfNermcreZ5t5/tD7txVYt31bcpxcqbWfOSDY8UTRgygPLCu8WcPGs0MCyp9ZEi5V9/KspGWxujM4wl0RkQdgooJ1CF85w4kOU5lkaO5SNVoB0LLlBbJ69zjtUXFpRrWpvKaAPC8i2Lm5CmYinXwpRjcSWqtXqqhXesNpokbcLZGbCZ3LFOW4heify7aPbrbV5vDnl5eKsqI2MjtXNFsMYJw900R2zlx6Z2tgDUqB9I/fDvselAAQJxpOHD+jMpPznKUUqZp2ZwC/hC6kcXpUswkqUCqGAAIZClSqbfwSNFAlEyeEP4gurCRzlLMBKUnhbsL+1RgAqgGCxBreiCIp0OAZC0di2DSEGYftyR+n6MxNH1B4kAoaCR05Guuob4TBOrTLM5sgwJk8HnrjOwrlFgTmvnK5VjEvUJQ51KnBJnuQJQSpSAKCtUTrQoQwWyY+W7n79zBaoTqgG1rK6WqBBoRaUYl6yKkRxGChgpQkdXVyeq2ydybGdTUbpyn+oNCMtYumdsBmWucjLWmzO3S2TXRfERhBksJ1yfE4M/3pzFvd/88UwhI+LJiPjhN4dnaSd7SYlCJo1vGwmKw5bG7LnC9WThdjAuTXraMU0UlSioRDlJbEAomHs9jutV/n+v4gZR4EojCIfixn/ArgByIAs29JOWpAlKsyiNK9HAlmiPMyxOWJzF9teIVVXk2kbk2kbk2kbkWmx0HMpzqID1xYh9h2lhCbMwcWWdDHqGT1yHSMIqs5wyyyrjCjI5HfoWZfrwIy9Ws8fXherViM4/g/fh6fLwls88OOnOOy4VgB43T4sL7rZaXPDHVfkJZgfcBf2GRMsc/sbyItHgIhMqctOYyrIiN40YB4tsiQbNoR5J51AL5X1pdfAn//NENXBw1ORbHjmhzRZYYzBVcgFHXvtzkUtkK4Pffl5G9vM48NjjdSvvAw9XZ7CRJqrSxGicp4mnlwVzFEw7M15xlXiJ2GU8z6SnW8+sSkAN3EaO0HaCFMFOSrjAltBqy7jAlnGBK6HVlXGBK+MCXwIaSjgglPFrKOPXVEJrKuOC1LqAHwZSwK1SFbBfqiIpICVmjJQZBlIkWCUmjJSZMFJmwoi20H93ZfYx###1640:XlxV32DM 3fcb 650eNq1mttu4zYQhh/JHJ4koYUvmtbBXnh3scF2LwlFUgojsuRK8m4fv5Qsre1ELcCZ4U18QPj9wyFnOEN5//B1s3/48Llou2rz8Pmre67b4nXzoemH7lwMh7b5vSrasuo2h6Z35fx+fzz/4x7a4zFvSve9Koa2c6cidU/fpDH6lz071O3iYB+N5MfaGLbazSfzHAXrTnnx6mQMT2QxPJHF2QyZ3wzAjlUR3KogwvwVRHGrx8aIMRVjuyoVwwEqjl9VHL/qGNAYZ4KKcyaoOGeCSmNAY6RXFSe9qjjpNcZ21THSq46TXjVEcasxip/pC5g8BnWpX/jdkLCv2GQqMJvqpHvhXrGJ6YSueKlKv/ACNeiMQtx/+nO3c1WTP9eVBFo2adrTTHL+oxDCjl607FA1LncWATpHEm2NVtia3QXaW8tupjGCmwjsRlpN6gK7Ki/9n/5cD86MTky5aJYPleiSjPrRHYbqV7G9zDLn41lOGOGE6dqju/kfB+BAOP+t3ybCmChYMEkcbgLGRiFbGclkK0FhN/xHobDp+yMILfBj5wxPkAeCPMz1D15eEuTlIk9HSDpCEZygCWMNwXRDX7+EIJ/Q1y8hB4DMCPIZ2YGKMHtFjz9F8JzJ8GMtwWZLiFYrF5ehc32CtzwhWJ4slmtss/n0eji5Q/Pi6xRt6AzfTwsmDmhJ5cAWtKJC5NZnA81BAR6M1JYDM1bMDM5Rmk5RWynQBfcdZQkHSYcZ9J3Dty9/PF6q/7mvA2BkKXQDu8Ja/MVmnhbotm6FNaYTvulqwT5dY9AxXeR17RvF4dw1Y/JNWTBT/rUMKJ862UCGCeT7Ah6Qr68SJhCbSRodN29ByyZnMswygbRCFwa1D7gur39mLex1W93mpfvt6Qu+XJoIO58FQDIwUnTU3zCoFeAVlaFP8usVpgT84Xul+ABNyZDlNhVdWVxv8RzMMIV+VrIGm7I1MxB/uN0B2268/+Jx3YUF6IN8BbZseqx9vX9tSl/p9cPccRAq0BVYil6F97AE7bgVFrUCeoscWywultziz+W+rqqTO/r3S7H3zEWaohQ9x/ZlGJ94VMPPXiD0lmH5yi9f6C3DzVBAjwWvm+PHEoSBIAwUYUkQlhRhRRBWFGFNENYUYUMQNlt8MAE+mIAgK/GykiCr8LKKIKvxspoga/CylC1l8bKWIJvgZROCbIqXTQmyGV42C5S9bztVSH9+qceFy5tyej0031VFGx92e7wCWJ6KhXTh126qD+q6b8Yt5b8O65hXCW7HwHikziSxRIL0TamiEsayNGhPvacYG9SrrwGm4lgxQEAbBsr4UIPMmR8G0nybZapAAmZ90nAZNPx9o0gaDrThchsU5bfDu+qvQ++z9nijTmYAA0OG3ez/BwPzm4g1lBN0BIMVMqid7qu6KobxHsj9cHlZulPniqPf739fMnrJx5qyWc7Gk2FJ7Q5WVsUNKegO/n84oAseECZJ3uHa03m8lQiMsHvAckup6AxfWXBQwmqLO8qp7YbJIwJL6PLjCABBRuiwYnMdMQUT1ZJEBJUobxFL/YtPODcQzI8L3rGWOlQzQIAH4/c+C+YRH0JXjAQOimbxjOFxsOGZkx2z7b9Iltyq###1720:XlxV32DM 3fdc 6a0eNqtW+9vGjkQ/Y+Otcdjg+6ElEsKilRElDatdKeTBZtNhUrZHGyu/fNvF1jKT5V543wJH/ye543fjL1mGd0+dUa39w95uSw6tw9PcTov86+d+8WqWr7l1axc3BV5+VwsO6tiXuRV/WEZv8fvz9UfWd9Y+n10nmA0/jQYxGIxmc4LO7lmVHyd5F+jsebi4Kf3LWH312O2dM5dGjp+LZaTRuDTYlZ1bt6v//15/3H8MIk25t9eY/FvVv+Z+OFzhtFQGhp3TEMvCE3Yo3GZlsEIg7i7+RzL18ixXDZwQMYpgz4Gq2YgNYNzRsvQGbuunmNbMmpBrBbECQTxT0FBRDX69vYj5rO6v1maosi1v5816DoFTodv5RdymqpYVXE6q+pO+OJVBBRf2LGSYKMEWo4dj1PJcHUUqumZcg3aqCb3zorQH4rqsVi9lotVEbNYU206/kRE8tfebmGtMPuH4PXemSkJ6oIKaoq2pvBUMCtSwaxMxYYgDvQUQ60MQ7JtYtK0NiI5xmYIyjExguqMhZW+w2Hb1Ra+3nAAmSEgMkOIAxA3RKLserJyVE+eStM3myM2ijTUQ5F1SnHsEI7YwkiSL2WN9AiKLIJih2hjhxWTaWw6QVDYrnIAJvIAGBXag2LtGbjF1WBG67IH7jYW2G1s35A8NQ0KTo3tW9CwtUBGUN5CKGiuwEhGAmOtuEUirXiHHcIRW7lJqS/fGam2GwCy5OSg5rlJLMoBohwiyiGiHCaKgXbCTYUGBIU1S+6HTIU0iMZA8pXjfheZqgsF2LXyw26NamzSQ3DbrUBsMQ9YzEMW87DFPGwxD1vMQxbziMU8ZDEPWcxvLNaV42CHBaAzB6QzB6QzB6Azr29MhTlcY7Ac5utjlxjjgBAdHiIDIXoAEwBZYScLhlrhET2fRbu7Bs004CC8NTsEA5d/pwTie9BzFNgT6z4Te0Uq2CtTsSFQpaKlgFNBe5bKFeBaBangCRQAviatr0nva7rka1wNbe4PlQSaCDbG1BIAdnAaQzudoV0SQzuNoZ3WAu7IAnj47OVg1uxwvJe4XAFGlv4ADhcwa1ePtavHmtXzLdiSfAH2wHGggw81oXc1c3cR7xzA4baxY+EgB4edfa3cdDvw9nFcSWAUBNszmVUSpCIxKUhsChLSJtUoTOW9YnYPHrOPCJCT2QkF3NgPmdQEVpsOq0+HTZYOkj6zl8BtSbm7LbFO5uTVfJYXq7+zf35bf+qMfpTLuIqPxeptXknfVDwmy0uptU8ZDKkpbE/YZM5RxEEKkqE6o6QM48sM6P9nSQxmNXPBaoWK7MsMk2SO8uISkNTtZ5qEpn3FPoEyI3xF9AwJ1A+3PHZvnTIlgfjdujMUQ8y7dN67rkhI1iw9Z4kJO2MOySlVjiBt5VKKjkYpioROigRzl0vZGZ224py+4txBxbGWQnPA4QuVa1VkGutxis3Up7SM15akT5EXnyIvIWWjDpebYGpCcOWCttiDvtiDvtjD6WlDdh5rf49x7TPX3c3HmzhZPG+uZ+SY9Yp1AZzs+9VTqAUUXv1O4T4mDiDUUJTNRVmtf3k5W/x37f3kMa7NqApu7ZXwweO7oeC3EtvhyCSmLxpuZcNJJoHaFwNEkzjZJD9fqkBQJAqNZaExtIRetiZBFlPYCZ9ej4qZaLQRjbai0bK4nWg0i0Z70ejmW7j/AZW1osc=###1632:XlxV32DM 3ff9 648eNqdm91u20YQRh9Jmp39odBCQKIWTQCqEdwauSRkSQWC2JYry0D69iUlS/KFo50zvokvzsczuySXww09n92O5rPPi9V2txnNFrfd3f129X305WmzW+6/bR9vH7/tR/PbdjR/eLnfd4ubL791w2/j/iesfplb4kPo1/E0rAEulBfIB8gr5CPk01Qj47un5ep7J+gs9LNE8MBwZXhkeGJ4ZniZqiLcM/0Nq2mCrqFP/T1GrqGBPw5CmUagRnyaAEevsCz1lRWhJvo0CWqST5OhJvs0BV34n7oxw4XhgeHK8MjwxPDM8KKZ4A8vP4Yn+/D41eIMilMpXqW4lcGrDG6lepXqVkavMrqVyatMvTJ5ks3UV2rjFU6cwkkvDCT4uB26bSHtyTkkZJluYQPRnhuIyDQCNeLTsAaihQ1Ee24gYFkRaqJPk6Am+TQZarJPwxqIljUQLWsgWtZAtKyBaFkD0bIGomUNRNs3EIngp1VujFbHtznxCcUpFK8wOIXBK1SnUL3C6BRGrzA5hckrzE5h9gqLU1hY09B6mob20jQYV4ivN7//MdzpivDTCySR2PetDnhguLIhKN37OaQik0SXJDFJckkym93CarrsrN3ZU/3zntDs2AHRiuiI6ITojOhi7eMO9JvnfHLFxKUTn06cuuDTBadOfTp16qJPF5265NMlpy77dNmpKz7d8GAXkDs91wPPiI5BaLs7eARHxLrz8yZzWvHX9uQz+B/FIy+QD5BXyEfIJ8hnyBf0WH+2v2IfcWF4YLgyPDI8MTwzvJC7+fm8BGRHqPvr69h6Vdx1H9rb4S7bMN68fp4C8O4/xgTWJbQu8dUVYF2B1hVOdfliwVrebvP8cr8H5/81IDSgNBBpINGAvXc4B04XCxP1a+5Pz+JiNvq469bL/XI4CXcmrPrq9A4dEK2IjqbhiW14goYnaHiXbxQsBQdbwQEVHFDBAc2w2gpWVLCiOYu2Sz3ZKk3nybIcNNsOermLLQft712tY32bZKHERAUTpSYqmqhkorKJKj9vi95Qq4enbvPv4fvCNcIllCv85w/rdb/oPh++UAiNlZSwtKLdbm+sQMwViL0COVaQTfDUOll2//TYXAJYwsQKWwcWrAMLw8AmRtKsV6tezfOqZKoU1BqttUbzVEWgT1Z9Mk9Ver0EJ1bYWmu21prNU5WBvlj1xawvQN9Y9Y1Z3wD9xKqfmPWTo/7a02i+XK+7xazr/9l1q/+ubja9gwvlBfIB8gr5CPkE+Qz5AvlrX1q/xzM8MFwZHhmeGJ4ZXhgO530CTuv9y/XdiPd4wQGhgUADSgORBhINZBooNNDQAL0yBPIB8gr5CPkE+Qz5AvkG8uR8/dju0J088IIDQgOBBpQGIg0kGsg0UGigoQF6ZQjkA+QV8hHyCfIZ8gXyDeQn1zfLjvyff988yWsrtCZ036OPr/CL2fBnaVVE6kioI1pHYh1JdSTXkf6N7J/rSDeuElIlQpXQKhGrRKoSuUqUypQNfxZw9cXwiJx2dK1kqGpDXRvM2sumv5XUaoFaL1DNBaq5QDUXGOsFRnOB0VxgNBeY6gVePp2sHSzXD5bNB6suE211EWgPi8D/BEAEXA==###1916:XlxV32DM 3ffd 764eNqdm29P40YQh7/RkZnZf1ZPSHfoDp0OWkpA9N0qJEatLhAaQq/99rVJQnuAPb8ZxAskP/vM/nbZtWM7p0eXB6dHX87mq3V7cHR2Wa+Xq/m3g7Oj7vekCt38dDpKBJWIKpFUIvNklLh8PzlUEdIR1hHRkXCoBLqsE5UglWCVEJUIHEaJOlss1v3gRgAjlCOQY5ATkAsgF0EugVwGuQJyzSE0bYRhjGGCYQHDIoYlDMsYVjCsG965jtXbx78n3U+/OhYmnPjGxhOJmFrU+9n8WyVTv/rV29p4YwEyFqBDErY04MN6O7tbmAZr34ikcbTaDbStm+Lppuy7aSoVPKWCq1T0lIquUslTKrlKZU+p7CpVPKV2jYwrsTGuxKZb6uNXPQ/9NkgqQirTb0OsM4CIABEhIgZEjIgEEAkiCoAoIKIIiCIiSoAoIaIMiDIiKoCoIKIGECELhPQFQoCGdQ0DGtE1AmiCrgmAJuqaCGiSrkmAJuuaDGiKrimAptE1jfZ//PPF+XttY90yxEWH9teXak0CahJSk3Y1Wa/JQE1GavKupug1BagpSE3Z1Qx6zQDU7NfeTIHq/Pa+tn/2Z3risYuP8/bhcbnRPlY+U5CMIRljMoFk/TxAGHNCsHoTkc5105URqk6vJqO+6cXXs+1yniHUfq0aYLbAYoGDBU7IMBA0DGRJRpbO7uGIdJahzrJlztgyDWzprECdFYsyQMrwnJ9VuE4QCDIxAgkChdF9fgfdrTZPO+4MR4kbGJbRT4Qv2Kc9x8aTga/Xi8Vk9IR28cv06ZOfyhDAMMAIwASAiQCTACYDTAGYZvzxxBOja3iia1hHREeCjkQdSTqSdaToSDe8Y9vccraZ/079qTnoFIEYYRhjmGBYwLCIYQnDMoYVDMPmiiCKIUogKkBUhKgEURmiCkQpd0O2lHJW3kEE1Ks0gSjMxRAlEBUgKkJUgqgMUQWiGmSCGIEEgQICRQRKCJQRqCBQw9c6tL1P/rTvzy00WXBSnjO+xm16sunJqGebno16senFqA82fTDqo00fjfpk0yejPtv02agvNn0x6hubvr/VatgSyLQlkE3OJjnb5GKSi00eTPJgk0eTPNrkySRPNnk2ybNNXkzyYpM3Jnm3iGTso9bDZtb9cbqe3dbpxYejr90fdPB59Mn4203eXX0SMreK9s4dm8tMukDB3qZPxPZm0dE/eyZyZCJfJvJk8swTOzKxLxN7MrEjkzgyiS+TeDKJI1NwZAq+TMGTKTgyRUem6MsUPZmiI1NyZEq+TMmTKTkyZUem7MuUPZmyI1NxZCq+TMWTqTgyNY5MjS9T48nUdJmMlx5svy5i13URO66LHOcmdlwXse+6iD3XRTyxz5HY50hccySOORJ7nmDPE1x5giNPsOeJ9jzRlSc68kR7nmTPk1x5kiNPsufJ9jzZlSc78mR7nmLPU1x5iiNPsedp7HkaV57Gkac7p46+jvHUpK7+atc3y9V33f6M/vemhbkJjd+i/L7+Y9PWbdP2bna9bEeH9zW+f39m8P21abup6/bhfnX30PaPLTCQDodH8ur803Hd9mTbh+Gvss1ny2Un3Tyu70YfmfzI7TNBWgK19Kw14SNfrFyuZov6cXo+PKR7Yl95Mgp+7sZ1+H2Ndf1eV/eP/TAWndlVpBf39c5OTurjwZdF938z/Wf+8qnYj0frat2/KPryGeObECmqXW/yW9Cv/b3wduiI0pQHm7LWVAabitY0DDZ9fqGN0pvAoLM7MODsjozOZgfUj5efj9+eqP3Rd8dHJ19PL38b6hcP9YsHy/JoWf6xbDtEbW8Uv/qG82tgqOcy5JbnPfhGA4bcYWhUwuBshd1s8dCwhdFhC9Cwhd2ohLfX3f+A/n2/Lv+/aBIhqA==###1992:XlxV32DM 3ffd 7b0eNqdm21vGkcUhX9R5Z07LwtqhJSZ20iW4siN0/rjCgNpozqQEhw5/74s9uIabM658ymR/Nwzd4e9POzCXpQ/zi7K+eVstV6cXb5/392dXf2cde+uLrp37+bOff714iQhkhDRfZvO/ulwlBdBxC/nS/EEhBcLcLHALNZDDm5BeNgCYUHv5i+Bs9vV9o/zLz+CxNN/777e3TdN88qCx5xjQZEZCXbnH/7sGhlxePc5SgDoqdNouV58X2x+d92X5Y+XX7Q9sVpvF2xO53iY44ccGR9Qq/Xme3fTt32mbz+97abLeQ/uEuc8211dO98Y+IftkRMl77clb5qJtBB5PA1hmMNhbjKc/ChMcJjQnXkc5unOAg4LE/YFiDgs0oeZcFiiw1oc1g57djQ+B2TXQMJBAq/iIREgESGRINEevdEdEg9vdE0/f2MadTLlYx3dg+N7cBM+VvhYMcR6PtYbYgMfGwyxkY+NhtjExyZDbMvHthP03paxXPJeLkKTHi7r8LKshjLWUN5rSGgSH4PHy3r6GAIOY4WVsbDyXli4s4TDEr1nLQ5jhZWhsDIUViZW8ZAIkIiQSJBAwsq8sLJBWM9YR/fg+B6wsDIvrGwQVuaFlQ3CyrywskFYmRdWNggr88LKBmFlXljZIKyChVVoDRWsoUJrqGANFVouBcul0HIpWC6FlkvBcil7uTiaxMeQ8LKJ3t0Wh7EaKlBDBWqoEKt4SARIREgkSCANFV5DxaChYtFQ4TVUDBoqvIaKQUOF11AxaKjwGioGDRVeQ8WgocJrqBg0VHgNFYOGFGtIaQ0p1pDSGlKsIaU1pFhDSmtIsYaU1pBiDSmtIaU1pFhDuteQo0m8bIuXHYSFZKNQWEpkCCQ8JAIkIiQSJJCwlBeWGoSlFmEpLyw1CEt5YalBWMoLSw3CUl5YahCW8sJSg7CUF5YahKW8sPSZsNzr7KeP51e7r5Eg4whGCMYTTCCYSDCJYLZ72QCmazDiMCIY8RgJGIkYSRhpj75GPkKWq83uW9OWBOGpuLvpPMLMYFEY54g4x8cJESd8HJ6FTMzC7qbuCDN0W4mIS3xcS8S1zIe7HYqHMTMpghGPkYCRiJGEETiMmR3GTA9jIbxQiAkr/IQVYsIKP2G7e2UjzNBxgYgLfFwk9jcRSyZ+yZaIo4ex4GEsTIpgxGMkYCRiJGEEDmNhh7HQw6iEGZU3oxJzq/zcKjG3ys+tEnOr/NwqMbfKz60Sc6vEZ08lhlH5YVQ8jMqkCEY8RgJGIkYSRuAwKjuM+jSMJ37aN59upt16MZ13s6/fusW//VWWLGy888FW8HgK1JWJ8XCc8XCctS83nNG2vsTYl1j7krptlrpt9sbD8da+fN02B2NfwdpXqOsrGvuK1r5i3csf617+ZDycZO0r1R1Oqjuc1rhMW3cSjIzLjOqWGRtfnLFxAWdUhjv90/SngoffsZ+6/3zIOn/w7MTH/ifx3d3ZxWx1t9x0u1/Id7v/L9bd/Wrd31y2F0lNke+LvLEobIvsS/U3i93o5aIPxw8Y/O9Pw1cri5eJ7b93t5t+004DggCPgOMPuQOw/O1+e5zL6e3jgfdPL3ym0WGErBVy+GDLUPFs9/sLkRnHDY2YcLHhnmtayKbF1rTYuvBkF962FwMeuS4C2cVwgRW42EjGPt3YDATOYsJhnsMCh8XDS8bn2GI5vbldHIrgJWb/4NaUZx0VPFxL3fCsG7/2bvwyPbychiVELHRwloaCq2gojqmtf6RHr71xP4Mfu6BR8QdXxtfTzezv+eqvJw9e66fucvV9++FgOAVnP980k8pCV1sotYW+tjDUFsbawlRb2NYWjmoLxxPf2gtv7zb9qTOvrXx4xrRm5f7z23blUV2laya1i7rqSqmu9NWVoboyVlem6sq2unJUXTmeuNdP390b7aHHD/8+XIBFCju61fyc6z/UN5PDZ9uPGbf7nu4/C/5HLg==###1740:XlxV32DM 3ff1 6b4eNqd2ltPG1cYheF/FPyd9syoCCnNSb2gippUXFTVyOBJWwE2sk2j/vsaSqKIYvIu3yF5bXsxMH7mYp2++vXo9NVP7y9W6+nobL69+HOx+mO8Pfpl2txebY/txO2H0+czDjIBMgkyBTINZDqQ6UFmOLFpb+bD2euPb3722B+4mqabcbOdbyf/RFLjcrWdzWY2/rX828/RidV6dnfCL/amdy3H96vNdjNerG6X22l9PDuJkOLjzfzicjRfCKds9ymp5R8+JmbisfVW+vVN+/XtoZc/8zd88pRYy7Va/vWv8kk7JdYKrVYcdLVCrpVarTzoaqVcq7RaddDVKrlW02q1g65Wk2t1Wq3uoKvVybV6rVZ/0NXq5VqDVms46GoN97XO+YlxJqUlqUbT3tyldEjplNIlpZuU7qR0L6UHBd+Hh49w+YjbAYdyiHbAofHD2Sz6Aw+Obw8/+s6eufHml9N4e2OP3nw9fh5vVuvt7pnr8eFvXnr4urHu/4nPi/uzj17Z3D8wXq8Wk8/2vTLOl4v7R8nHT7zfRKbl/Pxq8vpO4MvX4fBfbrperf8Zz+fLy81vs99f3P1w9Prlx5dfPvH+aXfOs18fEEn4S5faf+R0Pb8ef9z9ZEdvvWe5F2dvvLFowc9+x95wtis5wOBdyw5mi3487Gm0pwk9DffE19NpTxd6Ou7ptGfQniH0DNwzaM+kPVPombhn0p5Fe5bQs3DPoj0b7dmEng33bLRnR3t2Qs8O9+xoz5727IWePe7Z054D7TkIPQfcc9j1JG45NNO5mU7NpN+dTs10wUzHZjo106mZLpjp2EynZjo10wUzHZvp+O9OzXTBTMdmOjXTqZkumOnYTKdmOjXTBTMdm+nUTKdmumCmYzOdmunUTBfMdGymUzOdmumCmY7NdGqmUzNdMNOxmU7NDGhmcDODmkm/k4KaGYKZgc0MamZQM0MwM7CZQc0MamYIZgY2M6iZQc0MwczAZgb+/6RmhmBmYDODmhnUzBDMDGxmUDODmhmCmYHNDGpmUDNDMDOwmUHNDGpmCGYGNjOomUHNDMHMwGYGNTOhmcnNTGomvdeTmpmCmYnNTGpmUjNTMDOxmUnNTGpmCmYmNjOpmUnNTMHMxGYmNTOpmSmYmdjMxPcRNTMFMxObmdTMpGamYGZiM5OamdTMFMxMbGZSM5OamYKZic1MamZSM1MwM7GZSc0saGZxM4uaSe+homaWYGZhM4uaWdTMEswsbGZRM4uaWYKZhc0samZRM0sws7CZRc0samYJZhY2s6iZRc0swczCZha+36mZJZhZ2MyiZhY1swQzC5tZ1MyiZpZgZmEzi5pZ1MwSzCxsZlEzGzSzcTMbNZP+bzZqZhPMbNjMRs1s1MwmmNmwmY2a2aiZTTCzYTMbNbNRM5tgZsNmNmpmo2Y2wcyGzWwJ7/UO3usdv9c7eq93sGMPO/a8Y0879rDjADsOvONAO37ne30x387H9TRfHM9OWM5gzmEuYC5hrmCuwVx38tStasJO15Sdrj2/09WP+FO/psFpr/Fpr9Fpr8Fpr9FprwnTXsPTXqPTXqPTXhOmvYanvUanvUanvSZMew1Pe41Oe41Oe02Y9hqe9hqd9hqd9pow7TU87TU67TU67TVh2mt42mt02mt02mvCtNfwtNfotNfotNeEaa/haa/Raa/Raa8J017D016j016j014Tpr22b9r7L9zskXM=###1572:XlxV32DM 3fe9 60ceNqV2k9rXXUURuFv1OSsvffvnItSqP8yykxxIBKupgMpjRJF8NubgDoxN11vRxlsct8m99ynhXX75XdXt+8//vr4191P54cPv/+w/fjm+Yur28fzx7svnr7aTlc3zGe3nzzk6hsOd/fm+69Z7nTka9+4b3j9NPIkD59X7vJ27MvLnZvduQU7N71zszuxOwl2onfq33vZnRXsLL2z7M62OzvY2Xpn251jd06wc/TOsTuX3bmCnUvvXHbnbnfuwc5d79ztzsPuPIKdh9552J0nu/MU7DzpndbMkmaWN7OsmfYzqayZFZhZ2syyZpY1swIzS5tZ1syyZlZgZmkzy5pZ1swKzCxtZun3pzWzAjNLm1nWzLJmVmBmaTPLmlnWzArMLG1mWTPLmlmBmaXNLGtmWTMrMLO0mWXNLGtmBWaWNrOsmS3NbG9mWzPts97WzA7MbG1mWzPbmtmBma3NbGtmWzM7MLO1mW3NbGtmB2a2NrOtmW3N7MDM1ma2fo6smR2Y2drMtma2NbMDM1ub2dbMtmZ2YGZrM9ua2dbMDsxsbWZbM9ua2YGZrc1sa+ZIM8ebOdZM+wyNNXMCM0ebOdbMsWZOYOZoM8eaOdbMCcwcbeZYM8eaOYGZo80ca+ZYMycwc7SZY80ca+YEZo42c/Tzbs2cwMzRZo41c6yZE5g52syxZo41cwIzR5s51syxZk5g5mgzx5q5pJnLm7msmfa9uayZKzBzaTOXNXNZM1dg5tJmLmvmsmauwMylzVzWzGXNXIGZS5u5rJnLmrkCM5c2c7V81nf5rO/+Wd/ts77LjYfcePiNh914yI0nufHkN57sxufP9e3y3d354f766Q98+mZ77S/x79Hdb+efP9xtr227P/9xvnt8f77//Pqtu9vkHfKu5F3Lu5F3S97tb1/6eOCfu6/effvuvx/3Lw9/cva3W13741d+l/wviHr5Pf7S3YX3+EunI1/7xn3DC/8uePHwwufui7djX17u3OzOLdi56Z3654ndSbATvRO7s+zOCnaW3ll2Z9udHexsvbPtzrE7J9g5eufYncvuXMHOpXcuu3O3O/dg56537nbnYXcewc5D7zzszpPdeQp2nvTOC/+XRrbB+DYY2wYj22BsG0zQBqPbYGwbjG2DCdpgdBuMbYOxbTBBG4xug7FtMLYNJmiD0W0wtg3GtsEEbTC6Dca2wdg2mKANRrfB2DYY2wYTtMHoNhjbBmPbYII2GN0GY9tgbBtM0Aaj22BsG4xtgwnaYHQbjG2DkW0wvg3GtsHINhjbBhO0weg2GNsGY9tggjYY3QZj22BsG0zQBqPbYGwbjG2DCdpgdBuMbYOxbTBBG4xug7FtMLYNJmiD0W0wtg3GtsEEbTC6Dca2wdg2mKANRrfB2DYY2wYTtMHoNhjbBmPbYII2GN0GY9tgZBuMb4OxbTCyDca2wQRtMLoNxrbB2DaYoA1Gt8HYNhjbBhO0weg2GNsGY9tggjYY3QZj22BsG0zQBqPbYGwbjG2DCdpgdBuMbYOxbTBBG4xug7nQBv8NA0lgvQ==###2032:XlxV32DM 3fe5 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###1604:XlxV32DM 3fef 62ceNqlm01v3DYQhv9Rd2dG4gd6LGAhh0ULFEF7E1I3GxRF4sJND/33lUiuyKAXPeMcAhvQIw5nhnxfSfTth/eXn14/rbePn19e/73cXl8+r+++/P319Z/nr3+8fFm336/bP5lFVkmm9+9viJgxETAR9SMjMh0iKybM5DSRwkboep/NOLM+uaiFxmfrPZpyRmh4O7ReaXjTeg80vJ3B4e0QD2/eq6ucEcsOaP3rw/OfK26nnd2mlhxUG5BnJTiywos2l6KBfaJQZCuqgNAMBEdfBE9fhLEvHAOqBzJPlPaGKCfaGKG2/OShxDWYj1Lau5H2bhRMKCYMExMm8C4UHWswljXogNQDGe2ZWNvaQ4mLwv2ZaF0T7s+E+xPZ1UpgjUB2tRCZDpFxqrLp82kiy1X0w/nLTX8DFwMJVb1i49wZYpwHaqHxMePcGaHhNeMMh5rWn38BAjhAWwJdGM4g8/adwRmk3r5SzNt3Bni4AcLevrPE2w8U9fYHGhxZ4UWj3r5SYN9uAN6YgqMvgqcvfN6+s+qBzBNl8/YTrXGAfmaghG5SlRLXYErbMNI2JDb9MQQmDBMTJnCnQsvdIfVARuvfLPfsoTYl9XGLK0pxUbiNEidw4yXceMTjNwJrRcLLOuNckTfMWt5JQ6N8MMgod2qh8ZkjPnPFZ974ImWKdYVM8ZOJM9yoPdAAZKsweE7QCx0M8UId4h75YJGTLBRZ6xUQTCjNHRXLA1Jglw5ofxcaXNi2dp3gQqvbpG92UNtTKy0ZEj/8dbURE12GybEMU2kl49CKk5Zx0jJOWp7gAlc6jU2ez7/x0pAEBJSKENkVAtsywwjRx/TQRzqVicY18alMfCpQtpNDtpNftpNDthOV7eSQ7dRl2wEp0PoOca1P4nmj0Cm8XolDSNghtCEwYbRGEQpB6nINTMVA4UQnmoSEE51wopGuV2KCE1eFe6Lq5UeLGKlrjZZFaQLy+oSJ5XwG7DhuxZDy/BcwcnkK37272p2Dt/e/FvbyNG//T/qGO+w/zG8No/zgycAOgkdn62fH+Jy3zYaVlWm99YNjihkcW/EUghE570Psf4fGFKPbtCKH2pcAPDuc+faB6HcEAW9l7egXK23gpa0miTMG2+GbLzWK0VU8kMICRVigKBQwCtB61u8MihnymcnauRYI0PZPtByZrpcsxLIcCLYslQSP2HF+2AnFyPYw64AWGBx7cX0gAmOj6lsgpr4HQmOj6lsgpr4HAtS3M1h9D5Sob4facDghgScEF4sKdoHAjlWvFzj5wLshOLrhmw8YfDh1MOYI0XwN2167OCDxQAqbKMImIqaiDUABo8BEAboLRL4Q4KujzpBvuwO1OOJTB2OwKenbsAEipy1HbPGEKB6ItnbCAF0Lia4FYpQrQGVnM8psS8pwgEyzmu30YW6R6/X0p639YiG3FnJrOf/mtlxdTjkLIB4PDmACSiag6NZGbm0oN1ZyQ4KZSSKb1VTNpxnSCNvFIBoxeg5qYIAWjdRC40N/MDAwQsODf2nbKHQaf2BwePA0fqPQafyBOX/SaIRqcxudGjyNP1J1NTmyshftP0uRjVQ=###1596:XlxV32DM 3fdd 624eNqdm01r3EAMhv9RY0nzSY+FNT0sFEpob6ZNs6WUNCVND/33HX/76EeQDSzMY2skjTyvxnt9d3/34eX7cH18en75d3d9eX4a3v/68/ry9+H1x/OvoX3vuk5ETAaJwy2J2dsrhYZOHxmV9AaB9h8Swy2ackasOqDh95eHn4N6buiCzGOlrVYaZ4fORYmLUppPmeZTFkxgt2UdPn7q8H0MEwETka6m7FhNeVpNDkg9kOHwzFkdPdRwcXK9y0pxUeqiLi6qpylYFBN4YRS8MErEBH6UFVysKvZVNf1yngj6FQxGlTMIrYETgZ72YX6sCZlyJFOOot/I6OECx/fE9ERMb/um7vzoZX+gD6cRHT+PaHjzDgSIe5SkjgpPHVXgf1WSOm00Sp1xfE+mq2uAwXSNTNeQ9231/vm9LKlrRi4cwIUDyZdAHBgMXZoU7hBIKrbRKBXH8WSZBlKAAyrAARbgcTzZloU8PdOm5xqYcAHJGMGFI1lysVVT0Wm3zBm0Ndypntpnwy0DBbAxQs0boaYAoHlhuCVqXoCtlQ3i5kWm1DaG9D12iHcUNrZNrTio5YbcK8nhFR403A+bKCIiZgCv+uTIi+TJC2c/bGNdkHmsXPcfNMa4H7ZTvnspzadM84kXz0yV3WIYJgwTARN4ZeRx8hxiDbENUg9kOKK0IXagUEPsyPUuK8VFqcvGMdDZxzWveMneaas47yhuW8eNPyxPhS7QgstGwWWj4LKBuoUzkWjiljQloIcSbB1+bFQcl4rLUjWPByaKiOlIdHpEOj0SnR5RdyESHR2ZVozr9ogzpMOUyBRSJN5JFQzOJEoZRSmTnm1OAhqw7dKkxTsOnxbG+e1yARMtJFlK4s2IjUHNiJ3qqX2wGbExQs3DzYiJgs2IjcHm4WbERMFmxMYQ0blDXM5tLGpG7BRuRqxocnglebzSgnZ3iW/eS+j87OrYB9clrvefp6s4rR/xBHaYE5toTJIjU5MnUw/tEfPcUGl6427FTgl1O9lAzoBgQjGB/UyF+gapBzIaGyzUDxQS6keud1kpLgqHuOBVURSqip3CKYu06EwETERMZLq6K70Feg2lkoP1qmT/XcnWvqKD0goPSis8KK2ZmJ6RVwp4SNbCX5Wo9bzMUNBK1vZhx6/a/r6eH2xCjDHYCV8IIeZHYn5E5sfJ/POp0IhV5J+PLpmsgKNyBZtvbVlABefOEMF5oHpqHxOcOyPUPCo4Z4oJzp3B5lHBOVNMcO4M2MYfICw4d5YIzgO16AbuleTwSvLMDJ1+z1Si80mOKCdPlH1n2Tvrgsxj5XKWHWjEqDo8UOKi1IKHEppUQIougGBCMWGYiHRxZMfigIJ3h9QDGU2c7ErS7EpS+tOJA3VxUT1NiYITteBELThRiWRdiIiJhIlMY1IyawwcKByXygkcSaLBVZUMLqQ0FXyauDHqYMisrQPqyjpwPNcsJ7+PmIaT30fMAKkgTdpC6WxKvKNEaBsS2oaFti1CG1iUiFK1TC6dwamrglfAlRzrq+MVcHW9Aq7HV8Czh5oKcfWSzVY/21OPmsOj5vKorW2F/xGNoi0=###1844:XlxV32DM 3fff 71ceNqdmltrJDcQRn+SW1W6kuCXwDR5cDYQQh6biS8hBK8XrxeSfx/1Zbq9jNyto30xq64jfSqVbqW5++n3m19f/xruHp9fXv+7uXt9eR5+/vz17fXb/dvfL5+H/P+u64w4Ywajw1NQ88MdYezw5CnjhienkTPDl/P9P4M0NOflkTG4T37sk3DGaGqALp5Qzg4d9UWQJwgYTAj1XZh81wCJWg4NnaG+njDsiCiYUExY6rc4OVs5lIMNikvYZQm7LFk4BYR2Q/LfP+sJZ+SBWN98IkE82s8LBvJtXmV++6PDhJH7eiIaI+d680ScyiIpzb2tF+MVbBFelwFoYKSBsaQjZM/3oaEjCyNg1oV5OOqHO1gy3NkaDnckYmJHxGRrONNmwgB/xnHd67SDwHDiSE9lKW1DuSzlsixtw3JZdujBRIrrgTtyZp6DSpuDZ/X4/Vm9pTlPB8rTRuBZPW5n9QZIwAF/g/gBP24H/CYKrybkWhDxtWBpAhNKxyjAs23c7gTUY5H2JmKPRRwyUcbNp4ni6hQTFiuz02YKhyZRYQl3PuFgTnj5TOPawbouAjctEbzPZYRuv6LgSjQCdATTcMJEX99v9Xk4BG00K5L92wBRcSzbtyIGahuZoYPaWFZxRai26VxEtU0nIoMRU3+K2pjLKUowmrsVOLScOrBDPHcIHiw3DVb9qjBB4DA52xvYec+jwTdEg38fDbw5aWC0QeKashCM5oBtgEwLJDCIAgyiYCggFFAKWAo4OBECnwjz0Zsz0sDQNXQ+4denWd9BeftuwvoWiaYFkhbo1AL1MOwinQmRzoRIZ0J0FKCbTqQLTKJeSirVWQgN9WlRDeCdw3YCLk2jNbtjLoTUy7cKXiuy8c2n+tvoZH55dnkEFLu+zoCpH17rgH8c8U8+oJyYeQ9kexBonjwr5ZqBai+ok15QJ8HUy7ZAR2Cywyi73t8RTewo8L1jIUiQg5dCm1C0JOL2xNyeULQ48H7lOjCTszGRPZqDfX58Hx8zjh0ESNbpghBvuvqQcfmkWx++ozUL+IUAd1HnLltNfYc9qN5fnn0xIvWKvNYHtCdbdzYmAT2a90CKBRu8t3CDnwGwQnkP7lTBTW+2aD5ODEttrgi5RWxQDzuk7EljY7K8FqqHzptSrwxhGdEVMdDdNCM6QSwjuiIgB7YxOMG0oiQjukF02buQnjsEDxbNiE4QuJzO9lSVn7euBkigmz2PO98Qd9/94pY318Jog8T1J2aCUZJ73aCmlgSGa4DhSnKvSwMUUNjvMG9HDRDui6Wt2BZptkUanakwObwy0sDgEYXJ4XcQSQ6/x/oWiaYFovMh0s14+cWJbYCw9xasb5FoWtoybRLRDXrGlAKWAvSAH13DajJBdDWJ9BQT6T6S6DRIZDwS/HlzNB242UeQAh9Td/UVg9+VR0sqBonPGEjFEVQcQcUJJN9SB+I7xSk2zNUu9kuu5arMFAtNqVBKhVoqtKVCVyr0pcJgtFAo5lwqXQ6the5KoRrpSo7RQlmpDzZ7t1D4sQRXKCt12dtCxd5+XHHJRSEbnkull2qu2w0lMSEWxIT4sZhYqCSW/JwKqlMx2FJJQ1o1XK17w/nhIQd/96Ppbve+mltzv/vVPO5+Hk5HBv1+A7LPy1EDY4K/2zcYJ/+uBt1vQo806FEn7T5vjxqwRw24fd4dNeCOGvD7vD9qwB81EPb5cNRAyA087BnkCva/99eLxfpdcpzufLw8FRzbSIWNVtjYHbG6J1YrxGqFWK0QqxVi7e3OsNjcE3PwXXbiKn/P/3Y+D8/P/w4v395EK4xMtvofWRiPMw==###5852:XlxV32DM 3fff 16c4eNqVW21v2zgS/iv3A+4S8Z2sFwUcyVGNxo7Pdpr0k+C8dXPbJou0e7g79Mcf+YxMUZFCpwt4RM4MnxmSz5Cy012UF8er5y/N4u7b0/N/j5vd7W3h//tNvm++fftP8/TXD853k8Vhr+bP3c0fDefuDc7kyzjL+b7Zh7/BR7zBR7LXJ6res5uckbG7vNkecFCC3R9yyMyBPJp7lU+T57PgXPPigENzetilzocR0uQxvMOhMMGlzrvIZnPJ8uuh2G3enN8UdXDBNddZs7A+ySJ82HXGkWej8ANmkTfL7G5x2ZwecqjzAVR2bvnshMgONtkN9Cw6YK+zNXX4DNr78MzZod9nAPQbgug3BDHvM+vkjZlFDtZMJQVzICjnB12OzzPHf+fUzjifksybdXa6PGvNHbXeismyQx5+rvawz36quXTyM5W5q8WbwxGUQ1fZyaqDk1VvmKzqT/Y246qZyYbzdqQkDvv4pHZv8XoL3UyRzdrfV3m70tlZ7VN4PV+bOyTsGwDc+6Hx8fnu+92P5uHx32xwDzXl0+OP56evX++ej5/+ffccgLz7d27e6MgGlHjV8xdcOb9+qyt4cvMr3uzX3Dm/+xV3X4kDQr4+wDvfvtF5f0P8mj/v6j7of+P+0Hyp2dPqFQN/zSBeYMsBtnwNW76GLV/BVgNs9Rp2vI1fQOgBRLx2X3iagad5LZh5JZgdQNhXgrmBp9t7UhGsZ8317vEPxtNez+Oy53GZekjm7+KLsy2zV8xu5ufHaccfr7s/xWTJChlEoSAdHv6bBB6KHoYeDo5ckbyhR5sLKclRiBt6pDZBWIJAJDqSTJJMStCj7Wl6EKSy9KDRZNKUsiYQTXlpAtEUQBOWbgcQliYsTVM1hGIIxRCKIRRDKIZQDKEYQjGEYigj6ljCsn5P8SBMS5iWMC1hWsK0hGkJ07YwlJkjNEcojlAcoThCcYTiCMURiiMU58LmspAeA5LfXY0H5dXuZNgZPCQ9FD00PQw9LD2Q1x6tRWGEwgiFEQoRx9cLPQiFEQrRqB3GKSXegvFWS2CcwDiBcQIjjvnrhB6UkqCUBKEIQhGEIgiF+McEoQhCEYRCpGxzl5SSbFOSBCYJTLY+BCYJTBKYJDBJKSlKSRGKIhSiOFOEQkxnxHRGTGfEdEZMbxeQmM6I6YyYzojpjJjOiOlMtwMIi5jOiOnMoNCZDbTgocmhoDXHF0g82qLt9QQcJaSGBArUAigCiAIuQu+CbIGCI9QSs5Dha254tEcnLJiYZGRhqQXJSU4WnlowfSnIIlILVkRKssjUgkWSiiwqtWBWErNSoakwKwWjQvoKLsqGualkblBruGu4a7hr0tsgaeUNrAZWAyudIcZisf2jTSigYpzFOItxFuMsxllYHawOVgerg5Xq3xGqi6h8sgzI2HRekOSQElJDhhXg4dDguBc4mMKxgRxT5SysQKRJ0gY63ClG28ZQsIbzkDcHYTiK1EvEEgISrgKuwlxDxquu6wBWIFEMkMCTUGPvvQSqVJDAQ3F6CQNq08uwQuHRBoEJoVRPBWSFFFG5XtJI2XPTMGky6Z7JQGL2KhzLtBwgDddwAXW4RhCUtJdkIFeMBY847igvYTYYYdQ1ZLJABgto0jUzDiqXbBdgLeZs04zBOV/kMIhkE2xSaRyc5OAkByc5OMnBSQ5O+gMaEj4OObk2JzJjX4isPJJVOt/reCt8qmCNwGuKlwwdDikhNaSBtHCyoTwFPHFleUkdkhiMI0yA3v7yuIbsJihw/noZsguPboUEykAkZSCSMsBpKDiicoqKY1bQMSu6YxYmhAcnBMcMcLt5CTPNnCBRKAJXmpdkxjjUAwpIoB4Ejj8RGZp2gIF68QMgASERWoZ9FDj+BJgvcPwJHH8Ct5OXiAwqU3hQWYDKAlQWoLLAWejvXEjyRBTwWehwyQmwWhiMxRkpcEYKnJECZ6SXZEZEjMXZKMBTP3dIjLKIBU4Ki1gWS4NQoKcAPQXoKUBM4QDpnKed6Ggn/TgspixIhlWURbKKEhSUBbUlpIYMgBJHKL1ISAbaSbz3eEkdjMN7h5ekUpAaKvBO9ngnmYG0cLCkCquC/ZQ4ef11qSCpg4icInJExIuVl6RCRLBPgn0Sp4LkQIU/GChRShI8lCKUJMgjQRt/p0ICS+lgBCBYIA1cTNgH0EtiwyQ2TLpQ7O0tzbpm2ADlB4CJ/sCG1JABQmFyCouqWDinVHzjgEpBpVJVmBAorbBMilNbQAKUB5YoTFRhogol5V/bIA1kmAbuAX/+wxOloVAN1MSLmpeBL91NkHaAjcVRKBGFIlCgvDImIIUd9oWGCOCtcmF5VLdSqlupsOKoQQ1GasavIbtoGi9+qE3N4R6ia2ytxlw15upfK3dBdm84SFCjEOhO0pI0QAkro7FJGlekVqQxASV5T9LwDiuoUeT+FRUyXAl6f00BHTzBAaHBbw22aBS2RklrlDROD42l0S6EwBoaHLcGy2BAG4NbwYA2BrQxWFX/lS8MgiOqw+B09TfqNWSXkhEheZxKButg8MZqaP8NqG5QB0aGpAzWw4BrBqtisCoG9DB4ETDgCzbcgC9eAg1b5PXXkN0GGoqPZTOoKIOVMkDDcWhwHBqskcGFbHDW+WMTEkMdrM551oThGGgLkoGjNj3gLNbRFtRWkAYyoFscRhaFhdAWhWVx/ngJuPSqs5y8AIdrz+L4sTh+LI4fi1K0OH6w8xYctahKC6ZaQXoAoDYtriGLCrW4IKxwuyC7SxnHjcX+WfDY4sy0OOOsJCvAsKEWG2pxYFlsq8W2Whx1FptrsbkWN6TFFltsscUbn8VGW2w0LiSLErW4Ji322aIKLUrMopasJk8AoAqsBgAUoLjFXWnBXIsXQAtuWHDDglPWkD8AcG2CD5a2G3VlactojWlxUGOWcqWA7ShMH6VmHcaCVxa8srhJLdhlcZ9acMziVrW4VW13EADFFSQDUbwMRHHpe7gD7xx453CxOrDP4Qpw4KADB10RcnOoa4cKcni1c7hcHV7tHL65OHypcmAr0ndgqwNbHbHVpWx1nLwABLY6sNWBrQ5sdWCrw8XhQFQHcjqQ04GcDuR0IKcDOZ1AEnABIR0OFEdfgV36vcKBpA4kdZJGABQkdSCpA0kdSOpAUgeSOpDUgaQOJHUgqQNJHYiJDXMgpgMZHWjoQEAHpjkT7lcvk6zwjcMZlapoBECRj8WcbPizCx6JL75ZOJsiWiDaFNEaqEyqclC5hE+YtWNmspxtL8/XH9nknE/Oven8YqsnqyNu/3PLJ6vCf7xyxYog0OJBiCBkEMp7+Mt0JfxH+k/oa/8x/mP9x3m0aflxVnnnaRUQpuumXFRn8+WsOV9t5+fLjZ/yqto0J2fn5cemXlbNyXxZzZe1v69H9c16dhqQom11Ni1ni9lye58oLzbTetaD/lSWo9CJHtAvx4TQi3rtaRb01fly25Tr2XQ7ay6WF5tZ1azmyw2F9svZrP0aeqibqNh+Xs121KurZl6R66paNJ9m641fAcZJM182081mXi+bxXTVphGUs6vtbFn5QAGJEfCn+eyytyifZiWyZ505hNt8XpbpkHSy+yEqMVNGzXRdfmjXuKdfn/qZ+JROLjbz6up6315OFzPPtdXHppphAst60WzOL9bl7D5ofXc7X8w22+lidR0UgRTbD+sLO1mdr7fT34r3+xaLLR5bIrZkbKnY0u93bcu863DM+xtqNUUzP7k43fdYr8d7PdHryV5P9Xq61zPosTb094a0j08/wt8Y8YfE+1dN+7+THPLgBz0ExT/xi8mK2Gz/2oXqbVXN4my78VtT+QK5HdOePX15uNk+f//B9pCsg2RDSDYKyfKQvIPkQ0g+CsnzkKKDFENIMQop8pCyg5RDSDkKKfOQqoNUQ0g1CqnykLqD1ENIPQqpxyF3rbmrpZPw970iNgfwZhTejMO3Zl+U575ktvsu63d5vyv6Xdnvqn5X97uGujSVsquNclgb5WhtlPnaKLvaKIe1UY7WRpmvjbKrjXJYG+VobZT52ii72iiHtVGO1kaZr42yq41yWBvlaG2U+doou9ooh7VRjtZGma+NsquNclgb5WhtlPnaKJPaKLvaKIe1UY7WRpmvjbJfG2W/Nsp+bZT92ij7tVH2a6Ps10bZr42qq41qWBvVaG1U+dqoutqohrVRjdZGla+NqquNalgb1WhtVPnaqLraqIa1UY3WRpWvjaqrjWpYG9VobVT52qi62qiGtVGN1kaVr42qq41qWBvVaG1U+dqoktqoutqohrVRjdZGla+Nql8bVb82qn5tVP3aqPq1UfVro+rXRrWvjfBW+/z046l8+vZncXS5qWdLL0/H1XWY7l7NiuKoPqrCy3dUCXWU/nuSo1WRN4d/FZgzFwfsh8bzA3ZxwC4P2FV+ejxvFnmzzJsPxNZ5s8mbbd7sUiLwQh+deiKkKmbAjVTF5VClBgO5HngJEbzuE418qVAvFUMULV/GUkcl+1RV4dvpC9URu091/mtoHb539jVHReKkg+o0dSLNUTGiYyM6PqITLwPUA6d6JEA9EqAeCVD3A2DJEoVhLxbV8BcKW7xQOItln/xTTtb+uzDkCeSKTTZ8simE//xdB7Hhf7+ZbM7m5ezsGIt+G3ufFxdXp/1ubffdUxdbrGvyrim6powRTpUH6Xra965jb7qsdrFzepW0P8ewdUStu7B1F7buwtYyQtceuuv4PU07dexcna9P0060NKfHU5Z2eNoRaUfexo4Pelz0ux1InSLWKWKdItYpYt1HRJe1S7OIO7LodmTR7cii25FFtyOL3o4saEciYh0H1B1i3SHWHWLdIeKWaiewSFduka7cIl05dGTs1OmYOh1Tp2PC2ngyr6XPYv3TM6aZL+fbZrrdrt+FVvEu6DZr0mzWZ+eX786m2/JDc75uTk+99V342YrM09D8+XOP9XkE6/MvYpnJ5vfdn3dNsW+EvaJGEVudjseWiC0ZWyq2dGyZ2LKx5fbBIhyPwXgMxjtrDMZjMB6D8RiMx2A8BuMxWAQRMZiIwUQMJjq/GEzEYCIGEzGYiMFEDBaHyhhMxmAyBpMxmOxGxGAyBpMxmIzBZAwWB6gYTMVgKgZTMZiKwVQ3NgZTMZiKwVQMFt10DKbZ3mj2DbtvhL/3bH5W88305GxG1PO8/PmTT7bsbrKNvy6H33Pv0W9/NsUPvPKlprnYzNb+Gib1J19j+P10WsfBrW7t315TxcJf50l/s5rNqlYVfridL1a+YOdbQtn2fpDe7n/5RQJn85ONmGzXcz65nAn/8RfVZfgR/vKUByGCkF7UQVcHXR10tV/zy3k1O71Yln6ox/DvzkHUbHJ1O7mqPjTTMz/N8OP9Dl0szMai7ZegJO3mw3Q1a7UhoWu09tl6oPnZfHn1j6vq5G/FEfvbZntBjemmnPucr/6pfbjrZn7rB95+a04eHm8fHr/4pQi9xe5fT8+f7p6/Pzw97lUPj51q8plPPvsre/d8t7OTm69/cLX48D8XW8wPiu3259zbqGn8t4iaqX7/qC7PPvoT/b5T0zjgq8Ir7mILI8LfYfqKYwBfj2n38J5KL6zzLptEHf99xM3XJ994+uvHXdfE9yAzufl2+/Xh8c5Nbh+e725++IW565r4/VtN7r+I8PfB3x++/O7TfXj8/qM5Wy2akEnhv5vd66EW//ftbky7/9m7eGmUQxT8/1wDR+Vf6YaqgdsgKzaaKxvNleVyZcNc2WiubJgrG8mVDXPlo7ny0Vx5Llc+zJWP5sqHufKRXPkwVzGaqxjNVeRyFcNcxWiuYpirGMlVDHOVo7lKyvX/hR47jg==###3516:XlxV32DM 3ffb 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###3984:XlxV32DM 3fff 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###3040:XlxV32DM 3fff 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###3048:XlxV32DM 3fff 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###3212:XlxV32DM 3fff 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###3056:XlxV32DM 3fff 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###3060:XlxV32DM 3fff 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###3000:XlxV32DM 3fff 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###3032:XlxV32DM 3fff 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###3124:XlxV32DM 3fff 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###3080:XlxV32DM 3fff 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###2952:XlxV32DM 3fff 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###3092:XlxV32DM 3fff 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###3100:XlxV32DM 3fff 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###3204:XlxV32DM 3fff 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###3080:XlxV32DM 3fff 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###2972:XlxV32DM 3fff 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###3856:XlxV32DM 3fff ef8eNrV28nS5CYSAOCX8QOAWISk8H2ewhGAIMKX6YOPHf3uw5YJaMH6S+0OT19KzZJKLXw/QlXbj79mSlaysu+/U6LYSo2RW9ycVmo52f4QE9l+/LVPPDSavucawUWo4CpUSMKxN12FEKkzT1uhLw1NZqawb2hCYlChY8Vkmgru51ixhIr0/6aLYFvOToi4T6pUjRhS1pZvf4Rs97Qz1+1sijFtqjBdBY0VJmVhQwUvFSEMLYcQE8pboTAejZxybrzZuZAUj5htP36YkNwS6vc1dKPff6OWxapp+43q3ayM8O3PcEpDi/hJ8ydX+VMs5VOXT1M+bf6UU/lk5ZOXT5E+qfEp4Ld4LuKGCYnFqhiGfM8ZULPb7b9UO7bayae07Ep37baSK1u2P8Oh58ikZEpKpiRl8C0ca8ms7DmcNdiYYIPBBoeNdFg/YlYxPO+ychSzcpCV5wyz0nFv5TzJcpjhwpcDNxJDq9MBOwahGbk6YPvRAeOGhI0ZNtT5gGMj0Wel8ID3qwPe440BO2EeQhK4wgY20s0Rbsw9XfR8Y8o19gmjItwSsc40A0rE+1lv0Iyb3GDCBurQIA+fOkpSgDwkVRpJ2CmOYMlT86VvPmFzUpvL2Jyl5r5vzrH5VJur2FxsPVlzJUvekiXvyBJIlrojS9yRJQ5kibZLIWuuZC1YPd+TJe7IEndkiUqWQrLUkSzV7BzIUkCWsctK12Wd1x3BogUsqmYE65vg+hqqS5hCABeyM1ZvlaSwkTsZI84IGF3GBJuvEJhMGKnapX1w7e8QMMmHQ2SDkfVVZPswcsyd9ZEtRkZO/cQx8n7SBTQJ8WZxytRKjGdQK0fQBXanFZzvfdnaXfjplLKtJ8NiXH55BiZI1J2jOIxyCdg8DJjGwyGgx4ALRlGDKH+ZvYzxGC4Wx0GVBmq8p3NNyBxq0nAznpWacJuE0Nvv8RSlJmGozAuFfjDkEmjGiYxGLie5V8gICSNNgzQOcwMHozBfa5b3m81KsaIUMf3YNCQgjIL0dCuP8UWtOG5y2qFBI1C5N3ODEj+GSPHDScjx89goR58ZCqM6VqThCN1jRTlBHtLzoZWQss3CQ2hf1A0Xdg/34KpXtfoLTFT8o8bHmMCsJ6GCiKgNfMGieXtKTQp1S418Qw0bUTO9oGaW58iVmvmChgtqYCLTekDOA49hXIUDj4w9mEdwsSFcCFW6gClRLJLb33Dm3IgzfuYM45gNjgSL0u3SmKRHyIkPkDMj5OhT5CQgZw7I7QJq9AE552GYs6KFcw1y0C9cxg45MCCVk9yrQ26vDTJyzvXIQQTJELkZkDOAkEeEJiCYYHbCI92ynfU0BEsgmJTs8uSMLLVBIZiU7DKRM+gV9gvZSchOI5GsnruOyMQIdC9EMiDSFCKzsAwOzkPomGaY1yYi5wGRyx2RcNcat4GWWLRvRzi/OhtLje6IlK9mY2JApHwzG5vVgEjph0SiAfSMENmu4HR2BCc5w4nxxFke3sszLwNO5U+ZBzo9gFM+nQcqOyBSfjIP3AdEysfzQJg0hXAHImeosUciDQxzAUTqhkgLCC03RC5ApO6IpLw2KETqnkhQSgpEaAGEdkDI7ZAdh+xskx0SqW6IVJCd7YiEJ+fYoGRneyIVZMcxOwXZWSRS1HPXE8mb7oVIAQzuSCSrrUqdPRC5DIjUT2eRiF4a0V+YUF4TKUdEvppFziMiX80i9YjIr80iERbWw6IGLsrHE0ozEvBnTCi7nMUIw5ezyNGjsvxkFulHRD6eRWqA0B+JBEzU8VHZwcxTwjOnExUhjY/K5oZIAwiJnkhbGxSERE+kAR/wUTbGygh5JBLyDgOzZKcaIh1kp2+I1JCd6omca4OSneqJhAftsF/IDh60VX3Qnuu564mUTfdCJDxMp4PzKCyDg/MQuiUy3AirXeUlkeYzItObBpxV+u3JbLK8f8Ci5WaCqUZ6kjd6LgM9hXujpz0t26OeaqmznWn6mp7lvQIWTR2o837aK1KoFnex12eTweNeVbfXhQzYEv7rbKWVtDu2xP6ULZiHLfTIFszfFtKzlZfi0tDfy3p6em1gFtmWI1jSsbacgFPG4ZPcUoAJDfKSW3Fqrw1Agr1IsNAigURFw+3ULO+b+hRuW6Gkm9ryugLocNakIB3brQDOtjaAdCykQxAmZHM5wKSa7gWmpeCzUIRpbrPwELqByeoBTPb14233NvTr64MPuRIjroR9wZUYcvXq7YQZceWHXD18Hj6+EY17tQOuGBty9fWlwDFisx8hZj6Ye5ERYk8fTy1QpcjxNQXMymZ/RAwfAG2PmGrLG8R4W94gBk98AhCTtkNM2toA3huAGgrUyGtrKbzpEZvb8gvEpOkQ24FMAYhJ07/GMLUBpANzvxnnfgLSEQfEhGq6Z8QEIKYIIra0WXgI3SJmB4jt7xB7Nuf652jTI9rUG9rMiDb5hrZ9QBvjP4G25/Mz6QbgafJLwVN0BN78AXjTCDzxFDzAS01H8GA+p+gBPIqAuR483ZZfgeduwDMgjOvBc7UBCOMAvAnASytlKfzeg7e05Vfg7TfgaUhn78FrGkA6MIlUFMGDPyHCHMDTTfcCngHwJgBPLG0WHkK34LkBeO7/Gzw7Ao+/AW8fgfdm4U76AXia/lLwZjICb/q14LEReOwD8PgIvKeraxbetyp+BA/WofJXVVvw8BUD6cAzvi2/AC+VX4G3w3Mh6R9TmwbwXEhAGI7g4UzO9+CZtvwKPH8DngXwfA+erw0APA/pMAQP/oSI/QCebboX8HZAjSN4ps3CQ+h2/YwMwPP/HvDwC76XtLkRbW9W1YQf0MZfrarREW3s19I2jWjjP4G2q0dmJQaI8Q/W2tL3i+8Q44/X2mBBX8njWhtMhpQ4IDYhSlOHmKVt+RVi0w1iHhCbesSm2gAQm0ANCYhNkOjcffs/DMS2/Gqtjd4g5iAd2q+10doA0qGQjkDEgHLhD4i5pntBzANUEhHrsvAQukUszK/X8Hi8ujNiC0HE/p1rbJIM8OJv1thmNiJG/EMrYTMfYaJ+7TxpHhHzyUqYGhGjnxIDS+1KHYmBkZi/H1+JkQ7f5PGeGNaWXxHDz8SU8k6Wtn0ewArX7mG1LdxRnSdTW37lCbv2RBLwhPWesNoAPGGQzoxr9/hQSoANpVAL3+7DQ8eqhQvA01Wt+mLKwybS/DIp/4RlOPUp7wWbHx0JmKVkRQw16R0s7YchrplOevtW7pCQmh6st1Pz4vtnTg/W28M9/PlExpl0A14rs5BmjP2NMnXYOn/+6UK4yiVZu5SQgkscb7T+TqiE+RbSoN3FiYHPX4G2AgMrDDxj4OlZ4PzVqyN6/6HakxLe53/NNaQXLy7rSaBGk9N9Y+skRsb7xsE14PfOhbP7ZeecGUylwvE/c87ZYko872kQx7jluwkO8IhXu1RyrPTl8SZWpp9vpRhpq/6cC756EW/uxEk6ZxkJB98XjpemcS5fKZY7ZWJiEWYHveeaebzeSWiTapq0sUakGkjHdKg5M8EeYS0/tsBDLnvUSz2YdpKUTYDuSbt8vB4SjuyFHPZ6xuLvvPJJrz/4Cg6VaVgSKffXQDz+pCEXeUit/qTBpS+j3bFJm0nWmM2bB7+BoE7rkYr6jYpmpKJ6oWJ+L39U8SEIF0BOPZB8BOT8AkgxAlK+ANL9FCDbCWJn5XS2sn6bVzRWhrbzc1fnkavLB66qkavzQ1d3B4PVoGEORFFoGIiyd+8WHXwHLt5FB8F2qBFH9QzsUeMeDewRJmbOytofs0HvRd0tdjx7z9F7cfJeAZEGvYd9h00GtRprJzwXFs5F9xYkAfA/ofBwGA==###3908:XlxV32DM 3fff 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###3820:XlxV32DM 3fff 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###3824:XlxV32DM 3fff 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###3832:XlxV32DM 3fff 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###3812:XlxV32DM 3fff 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###3756:XlxV32DM 3fff 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###3684:XlxV32DM 3fff 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###3468:XlxV32DM 3fff d74eNq9m02S4ygQhS8zB1AiAZIdc5WJ4EdE9GZmMcuOvvuApILMFGDZqulFhSv8CgnL+fF4QMkHWD8+n/9IOT6Gx/OXBRdc/G2KP8PPP8B4G//EwfNvMOv48OGZ3nMPCNMYf3Xj9JBiff6Qck7tf0gF6fUfMG7a3gBrVb5yOF9ZHVdeh68rrz5feRyfP6ag9ysPsd0j/s0qU6fnZ3oL8FsL+hz/wrKu8Tfx88/tvvFPn39JNe3KQJQhKWNUNEgkxBsnQaQme9d3xT3ih3wejeW4yw7J6bPHltInyQxjltajG9Ju3QgzUVI3pNm6MSFh74Zc9vusuEm8+dEhOW/tNO5FfPCxoRiev+KzH0A84OHi019/Hg9XwnP/FqbFx2cphv0xC9hf5XK8muPVomfthu1Z+0MKx3d/XEKJ43U8Xifc1MSm4PbLWvDb86FFYd1XUdhKUQjPi+JUCbSi/SY2KnpdblS0327Priy+rixrVw6x82bdLjSZ0Lmy7LBiLrHyAhBtvoo23QwDYuxIlAogScCA7P3dFTgA2RrvgPhhynL8WGZNDYddAaKEVOwhKalki7JDlepmbyXQ7Qhw2posjQQ4bQVRKsAlAQPnhxE32YDbPuABnMM9PIADguLWP4JiehbwmB/jw1RQXBGKBEGM3kEjQrCK3IaX6uA1X8ILY3WUFMVr6uClbuGlOxDotyDAlW+0z9+OppWvZqJUK1+zyi9f9ZQrX9cqf2LWYEpLRSrVLIIo1UpVrFIVbnJU6nSyhq0XzBrGtjUo+8oa+nXZtYbcdHmeXGJmLmHbZRzE95Tx0i7jMNwq47njEu6WS/g2IAFuz6g0MYxZrLmIPMFmhoUoVWw8w6YwuGRsfMGmDK0zM4yFKNgwRqRwwzDodgTDeSy3sgTDeTREqWJoGYYWNzkwXCqGMTcNYzkZhmsbhnItw7jqEnhilm62dgwjvDUfo3h1YsXqb+HVixXrt0yVfLEFmiW0G4lSrfzAKj9kxeXKD7XKZ1liRl5Cs4ReA1GqlbqySl1xk6NSXcUwzlli6hiGbBkGMwrsHdY/ecKw68uEgQu3VdOa2gh0wgYMQ7e6mX+QsXM4j51AMwh0MkhY75Q/dDJIMHfcBToZJIQuWBikFmOKusuUJzBA48g8SaLUGAMWR5CS4wiUOFKoABZHAIiC3QW3Ye5SogqwODJPeegAGkfmSROlxiywOFIcDnIcgUocgWYcgVMcgU4cUYq7S4/i8ILiFrW9eaDeTAk6KSb4j7EVr7HtZJtgb2HbyTbBvQtXbxbHOSsVTsPPPHqiVDlj4QcVVg4/oGucTZyLUsqKcSGIUuWChR9QuMnBRSX8AA8/AK7pZUJOr7zsKPlv9LLleXXhDHqRaLnGhPjIynpBSd9iohOUwnjLynpBab5G2/y8OF2cValtFpSUIEoVMRaUoFCZgxKUoIQGfRaUSigDGpSAtOFWZtDtKLKqcMSCkpqIUkWWBSWwuMmBbCUoQTMowSkoQTsoCSlbVoYgPpHr2/61nP3LVNcxUsc6oSqod1k9ld/AAO1ErTDdArQTtYJ8FyPmY72lB5nDCtAANktPlCpRLIBBDkuQAxiEGlE8gKninSsjYCBKlQAWwGDFTQ4CKgEM3Mm0fNu0FvWGaX2wr9Orf/1yHU90A5i+TQLb+RGd1AWDvIOC6MSuGBbumJXo5C4Y1MeYqZeYqQyTYBlMOaLUMBMsg4mi5AwmSgYTGQzBMpgAomDj8khhxiUEuh3DNgMvWAZTK1Fq2AqWwcSIm+zYikoGE80MJk4ZTLQzmFj0BeOqrMJfyWDXPGzPYEL10J0+nnCOLyecYupRLG5RrHuojd/paCyGqTw7EyyGlT0o0YphgsUwUWorxzCha6jxGKbyNEywGKYMUaposBgmFG5yoFGJYYLHMGVMBCD6Y3zoJwLi/PzbYlh8y13YoboSx3azk3ttZYdTNr0j65goVZYYhfuOXBbfEmylXZnQxmWsO9NFXJSxbdMbhzsJTW1vyTqJSunKc3svoqnKw/pXrV+Debp/lBNScjudM3uiZD6tmYiQ8YxNHFIwn/uH25T4CHY+t9Y7n8raLFtihcp4ohQrTMQUhVqhMiu6HeZdrV8mmep0/7zS7Z2nSh4IrLFEyONAbAJIwQPBDkFusw0E2yffBgLQi8N9RyYZpQV3nQ4S8THBY4kuac9jxPJhujsPCC9XKXUj5Smne+ibd9Gvxbwa77bL+x17VN617VGpcjrLhvdWKV/NRBGX8frk8N4yEAXhtwBSCH4+15vN+KXWJ/xsExenGS6BKAiXiQgYlxUpBBencZsdF4twWXD/GBPpXJ+P31E4MbHMb2zF5d3iA4j6CsjVfbgXK/rYL53pQDOWXaZJXVrbz3vPBymNNRLlhh40d5KhsmPPJPUdk/Sd9ZcRz8H9N+8aqFKDni7ATMU4PFmAiXUrkUKBLPQMGci8AqNcdr34LIkfWkcU5IdWIIX5ofXodi3ADQFcLYooCPBAhAy4BSxQvg1usvOdPvjJDlPXG3boBo6+S6tAJtqhO6O/vJEZL0+VKe3zJdpNniXTOKm2Q9gN7mVZS/Tb6a7/ZZ7sRW8IuHNwcS+r1mR2KofJBtvhVD8vnnrnQx1FV+bD6albeI6rl5ko6FjLMhKlQC3tghQCdWEpPtkD6tT6BHWU6ZHdMlDEqsAUSjsTpcRTGRaiFJ/VZR4QFcJhPvi/tdk5TH09+WzqIYUt7ai3Auq6Xj0/fyN/vthkqECGXRZ6qRRKKt0+06tjXTWYoAOTmOc7MPUOuIj5zgkXta0ntjiFcjQT/HdsaPQ5RXW77Q0WThEFbD9RL5ooiNNgkEI4zYuo6Us7OC0bigrKJem6rMqnXBQ7G7N/RV8KM998ZiW1b3EPNI0iuoGk0ejLQJTCvRpGpBDugdxn5x5ExX/ZuRk8JMB5SNDNOLqGpv+ul9ZsSzLFxn3Pinff3XadmvPtcpZmCR3fhUvLuMO55AWdhW+bzc1R486/5qjaonFGey7RVU23LVjR6JopERONrmYgCoquBpBCYM1Lt+lZHbCKqQaracO1NuFix0jzmVBFdzEJdiuDi7Q54DIVU009ZARNbVMV6+vTN2tvLnvVaz+cxc7MYENvOluO44zze1iV6Wzddm0PIHvLdqee7fo7tit0x3ZFWesdP/9PUD7w0I3OZcxYCc28diFKwRdhQ/dlsHOzjRklCp8245s3ZpQovjkxr52Jgr12Qgr32gXdjg4HQ7lgYMOBJwoaDtAQEshwgGckgQ0HAbc5hgNb89qp7bWWjxRpM7WRdcUqf4fZXvynpa8todTnuee0xYH0+huctncSQszultPaHs3lvBEsH/8X0nnnJpZLXqARtjVdFraJsG0ibBnCeXU4H2PYWp8Q5scOFlVmAjOLtY4oVeQ2pSA3OYsUgpyYcZsduXwiAXPFDx78B4353es=###3036:XlxV32DM 3fff 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###3584:XlxV32DM 3fff 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###3952:XlxV32DM 3fff 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###3728:XlxV32DM 3fff e78eNrFW0uO7TYO3UwWoP+nLnreqwggWxaQSXqQ4UP2Hn1sibQllW+5qjN4eAWeK5uyz6EoUuYfdFnU63fJyOvvvxftlw/y4T74x/rrN7py8SElff1GXbQzol5/xN/FH8T/pY3/R2CT6QrbK5kcMK0kmxb4K59NKzSFZFIMDnTZxKHJZpOAJp1NEprM6/U/ugqfHIwzSVj6R34V/+Pd1tef8cf8w64sT2r9oD641z5T5l9/iKDBJT1NdyEEmng2UWjKUyVwEp5FX6RMk3ilp5ouKpAvK62+iMOXIHj1ZUuPypRnrdLd4tzcKrIhvbLjyuoyy1XVK/M2y+W4MueXWdrrLM11luo6Sw1m+ZfKF2W//pOcimMjqZRI9m2FdpbsMv+eQDtJdp7trtmjp8nOor3MNdv1R3wmrzJQ8owtDUvP6fV7YkK+uzkQud9drvkuAtqTt3LJv9+gPXklXf69bfbilbT5zhL8PjpT3JMmYUwAr6DOKGeJpiYKzV2EpsVQZ+G+zrKoLkpyVUlAK9GbcCFoFYt2y0GjzfOJWNhdsTRl7M9hIA3t7NelES+9XS+t6qVdZ1JNG5eZ2DoTQHmq5c75fDdAesolRUilN9VKAAQQnDqxVMQXipfRmeP7szpgxPJ4O1KxAPlMtTAIqYyOjnCAAE5HR1Y4JrG6uJRpHQcq6Agmdnakv4II/R3ExgvIdmsB0dcFxFwXEPtCosgeDlYQtalKTfotojjHVop1kh/rQCdMbE90IsN4DVGbrmvIwt9bQ9R1nvo6TwPXEMpCpZYMcLWgmm0IaVLTnCEESI3DMVBqZdIFIYfUZKhSa3KKf2GpaVZVGhkClpR4O4IQIEIuEdJEyAIDCBRh4V8dU0SYnN1FKBh0EYlQZKqPRMhHKtwl567C/PdUKNQyyeMWWqO4muVx/MpO9gUVCmUniZwLD1QolJtkcgup83T+51Wo2aGO5BZSoSAIaSpkR0K1I3DBowCBKjS8jbG7CvPookKhIHxSYVVUYghUYVNUQYAKq3ILApZCAcdAFRp8n6zC7OyuQk6gi1iF6zjH4/6GCJ8ndsKTcWJnWUuvmL+lnk+yObFuE30w/UQfaxhnc5aZzkzezeYWdyRz6WaA24sTCKjUXtwCAMhs7Svn4zPZmb3W9aVQ44ARsxdavYgvD9B3oQwBlb0LXQAAyas9GlLIm/zJ5FUbg06cuEuH3KVxy3y/ELAvF8v+hgdrRG9/D3mcCTHiMW/pD39zN086REi3YxMe8/URj/mEx9x3ZjKN871tep/SfERpPqI0x5TeGqVZpTRvlIbwiNLxgfQpnYEepSOAKL2hITulWYfS7EzpXCMYUdq+Q+lrUlSiNma3vZUBOUx0v02ILmq64314j+iddIfj2O3XGecf5TbeTzgvSGdSHc6bW7mNxfRvkdFj+msEAPoLAGD684qsB/3T4J3+fgHwif4N2lBCYoJHCOA/AwDmv4BDCv+TQ2f+Jy9O/A8T/q/vbAr8dVOwfRP/NzYL9EsLj/S9dJ9cqXLK7Tcy4/+TSpTIkXMY811nUt/O/+hCn/8Z6PE/AjijqXuC7dgx58EH/yF84n8lZXy7KPxrBPTCfwQw/QkcUui/kSv9t/OeWKpx+Lf629h/p3eBGhXRsVnI5zWz3YL6ZspLNQ356gHlpZqFfK47k5qk66a7h+3xPN23m+YUAPBcAwDz3FfkiPN5cOF5odEBD+J8eqXdNKcAgOcaADhz3+CQzPPsz4nn2Qkc5o0a7zovPL9TdZ0Wdz5L3I2ZdBZ0mHYW7lROT0E8T37UTtD+SRA3etJO0Fubif+GxJ0K545QFm+MCi1aIQQUWqwHCOostMpHfEJ78E6j9+BtFIBxoUU4WzGDshcmPUJAOcWuAEGdBQaRPX4nl/Zyit2gI5jY2ZF+UZM/TN9BTXOSxvRyl3P18kT/hY+rl3pt9OfkcTrfqpdIEW5SstTrI0UsbFyy1GtTBF1vpTW9XOZcp8QikcvR20q+oDqlpQhp8pHBIgTKxwEEyacVIl2tUy6syqdJxJ3rlGprt+OoTikMQwioU1o8pglLMgcQJCwl4ZgiLNfqlFZCF3HLLpfWbBTWchFW2N5o2Q0zo76wrosIar/lCtpAOobVow1bDr1vtN/6aVFHOrxXcqzS8eZJz61Tl23S8fariwkUB69JAq+FxL1hJjlCur3pWkrcJaBrbyuVMUvDbC8qpputAcCn3rStTXJPkQTs4hACJNB6056OGmaeYgloCseUhlmuuZ671slFLIFcKB1sDtz3l4amzTGsgknhMwa5ShTrvrkeVB7JiP0mPGL/pAaqLXmX/Z39MBZCPTfh+UkIGOkLAZdBHW9sZlUItQzKPQPwqWcla+fYiyHdUSE0Or8CBNGdGzim0N2zDt39uRRaLtpPpeKb/Tziv5M/3TvPZz5LpXg+LjY6juFbEXGTt9aDm/lTeVSjgxfskQzCJH9StuVP2j8+vKc/S6V0bcUmt2AqxYJECJBPO5AU2OjgRcCpFG/hezu213n0Lp9G920dtnwTFwYHLwLBe5R2u0DwHqUd4wi4a8YDuloR1rZ2Dl5s5823yUtQP5Wign+2++7tTLafOiFLGdfjtcWRVpZk5MbenH22N2d8shNx5ElTjeVQOFCSI+38Itt+/BisJsf6kLwCQlqsQgA4ICsQUGWkiQMAVBHj7SbHhiQPLioyNRNKMFKRJrJCGh98ZQioStHEAgAKhXEFh2ShZH+yUBYLfcQ6cbm6oaNObOecOZtXY9+ps+4KALRXJSKcWf9f6gKpjAHV/k/6DI3gdnaUFV3yXX7bXDQZ0tu0pU98uZh6Wfrae0+3Bzw2K4P2SmNNCbQDFvtmByS2RwC2x2HXPLAw2B3lL3s+6loPaKuAWgPqiPRqX03Kdfd6qQFXw20BMz7oIMkXUqF5J/iTcqk0fJLuG/nuQexPcvwy+WGOz580AAyb5PhGTE9f3ykO4fSFtbK8OdV7HEdIr1yaEFwuDRWhRw/A1CSlPLYDxkmKdLU0b3BVR9YDrAXplUsTgnN8AseUNkBy6VwuzY5gYmdHBjm+/tqXPJdzn/5drl/jcXTUTQo9pKXB0nz1S53Lio2PrZVHNZCBeZSZSGfHodsQ3/bv25dlcA3dkRi1seQsyublYhECsnkSENKEogJEkFAaAd2xGc6jd6E0MbjzZti6dlGHsnmpLEJgYVQiBBRGayU4IUhCFt2nSMi1bbK10EUoIZcFO/gYLtD/y8dw5s1UHwirxI7RyWnT+sra/vgXcI7NDoaaB53mEqpH56ZNazSvsy/g9JupP9CaOcowjqEPFjTh0N6y/dVCe1WZW5dmR4ekqTqA/SSpY8dBUnesKekPnCbVy3G0VzZHVlfsVVuaUGivynKra3Z0MProXecBSVbZv6wq6zxwCy9L+ZX2d8jMy9EO+U6W1dGKu7Utxl8cSOknOdhKpiXXu4lXnswo8VqefM5TYsqoK7eEjuzvCcLe2gvjDwkkO3aIyS20DtXaUUHAOlRbdwWBCRu8GlqHpKvIcqxDsu4mynM74FPCxhrmR6uN9IM2XELQalNLvHlMWW2SS5fVRi5YGSUuDITByM8lbOb9Y3o6hPHSwnlL7oP4mZRNh8lem/MHHxoYMjmrx9uZqPm+Rb9/Vq99kBzQx5j6SL7SH+UD43Dsa2vQTibApr+03y+WpgPEZegK7eQfs0nTUQ==###4008:XlxV32DM 3fff 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###3596:XlxV32DM 3fff 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###3932:XlxV32DM 3fff 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###3816:XlxV32DM 3fff ed0eNq9W8uy5CgO/Zn+AIN5pmN+pSMAm4jedC9mWdH/PjwMSLYhnelbs7pVOokt7HMkATL99R9CV/Ui1pLlT+I4X/79LxGevKYXDZgk9EWMY0v+GedzxBmdCk7Ni090+ZNzFxElN4SQiNiISCERMkXEJERRgDAvI6IjYhiBY8LNs0ecqwCvpNwrucZ4GMdUviKD/lsrAkSn5d9/LZF8Dph5zS/36w/iZhauRpY/iFntixCz/MXT5MJfrve/JvwNP9h4vJRfoskCk5uSycFfbdEkaL6AmOGvdYIYNKlk4vHX/xDH1viP4KmIjkTr9Gv3z1q3/B1GzS/NfXLavcgm7bLPhK7LX+EB5ttOE7jHSuM9JgJNczJRaCLL8g9PT2hJzyo+YYY8cKR6sBYPPJurB1t8FmqfOMlTCgRKhvgm6qX9aXJO1EtvdXKmXnqeu5NT58nJOrk2o8CMxqjgQGauSJyXdENIZK5gEaGeISQyV8yZ0/BqmbmCJsTrimyv8CCWfXQWUCZhgZPA/owvPjtiCxYIAMUlZ46QJi7qKUKAuBhEoLikN3BMFld0lmcNzRN0EWrIsPh+VZCQOUlonuVRQgedvFETkE4daJabKmrqMUyeqAvE4yq/whM5ieegkHc6QqIxjI80Y77XjGHifOUmGXsxpSaZ6qxebqqnqUaZna3RA6AMwxi0V12sFPweq0IWgGdRpJFJE5lUO4YUoSwpiISsr9kh2yvnV0qbHTNegQGR8MmPxHc5EXB7yHa58S7bKWM32H6Hz7LyuaSCa17LLZJg7vDayUovSm7xGjBXbmrA3KC4r5krNzkI9mGChbkb+4y5ojL3lMkQg0UJ4dETwNT8bpNdYdKJEh3jCMDhPJV9RKJwumSisJwmeJeaW8S2QXvVj/DVLiCBRUke0Q4InN99+X3kb3IC8zfNBPJXqzhKB87YC/6KdwXPzmO7Q3erHPMmPmulBsWNJoUSq71T3Mx9HqDgnJ9Fj+LKf09xreQgOOupXpmYG/WMfhORJd35HG8LGVWYlu2V52LTzQ4jsiJrAUSmcxqZ6Jwf1o4hccjNFETBCqXKKdtrpK7kzPZG9E01O4zUimxgQGR68i8xXawUuHWo7SNNRaC6OlE9ONiY/glnj+FYQxoTusXfkyOPy9uePWAvqqzpgIieP6qsySDYetaWDeRrJh5FpiA5YTUafMFFtkVIK7JhuUlwkd2q3oCgcoK3MbQW2aQV2RbAuMi2utT08QWW4pfW4rdVzfywgOTr1g2ns+0WvzlWfrOUXEf18ImwErKTb6MVpKzhbnXroFggy5eLyQmG3vzceoyXD9aSfPWD0Cu3VhKtt6qL09QEZLd2e8SMt4XFcKk6s73y1zDd7Dj0TgXYV4hpZOJuflg7hpjL13L7DS0Oa/mc7a1INhO0g9Armh2HXgIGRGEk/5IuasWd3EKhl4n+tsoc4tn9KuOgGHdSDNaC7mvBnIM3kkdwWgz0QSonN/JZEXKQDj1IB+QBljzuqYLYB3mApZn38gBxF5O7kIXqy0KfJ4eUEiJ3CbLRF5gHqOcIAXlAUITAPLABBOUBpyvC9jyQRu+7lWIG8GGzZW6OCLzZwihCrjZbMgI2W2oSiwhaejoDx6R8k5zd803Ni8lFpCyhTHf96bYP8s2VxtaPBIXVI9Q62GJhrJW84rPsciEklErCne1ANYw+UI1QbpBM0vWOk/pMNUgi1pRN8XhfoANrLAKqDKxhAEAqKMV3ejxZBWlwVkGmUYGRCkLNWKEVUt0Si4DK9FD3AgARveSVPCQRPfmTa/eNQicwzyXvFlaGdnnuz3XVOsolJ76rW5uLBlNfjlavcy3ft0kPqE/P1J9GOQRJQI5WsvP8RAJytJSd2wJCjxKHvLXPqLEaKt2kxGqQCLhSg8QLW7m2a4miBimrGiQHcE8NEq1ugRqkwjKhCGgJQfkVIEgnq4Njsk6kOOtEipNOdDcfePHJ5vsKd3Ye77gHx0b5gLbzqnS688GWexMFVsAoCdD1kQJGSYBuFzP5mZ12yOdePpCHfCABgBXQKF7zgWz5QGoAHxTQ2NfLB/KQDyQAMM8FHLLz/CIfyFM+0LqbDyT5ru65s7jWy52NoUMppN0gH1DRNrjtt6XQeHUdPDAjNbAnatB2pAZ+b32tljt7S6KTD4IL1/kgAVf5IAB4jeArYooa4uBdDVoD+KCG5oXD+UAi4CofaNfLB9oddDLBMVkn0dOjTqJ7h3ywdfMBIeonNqTQdum70kiRQRaYa22+uekn9pxQQvCjkmh6tCqYRiURuZjUeU/1XfHTCvupQ3Y1YbJbAODQ38Kxr0uBqYX+DcC90K8I5rREAAj9FgCY0hoO2UO/vwj9/rTk7R+5+qf9Bbf2UzGj9WidW49ct5U9biU4rnPlaJ3LHzFajda54mJStzZNr8N3PQ7aGc0QcFXM1AOhsrhtiKyMVm1xywHcC9/hPV5H6QRcFTMBwItbBYfsi1t5sbiVmNHKiO7uKHVfFu3/v41SZWS/vJlpO7+nX1f2t7dM86PsaGKmD7oUlFH9DVM163ZaQn/Phqnw+5owegK2S5VB9qojSQW0t9Pesrsa7UBFeYLJvi+J08AkofxYd+zQCcEKItFhL0X2qqvavZDt4MRha3agKlVEn34fNZXcy5LyG/AK5Qg+2f453PYzXQ03G3ZAruBkcOImTVs5pj2in2prCA9jcLgmjXuQKPg0OF2Tpp2RrPPXvTvgzIC50lIZbwxPBph1CAEnA1oABKYNw9aKlDbMNDqnjcyhAuOTAeZKSonvFJ0MTB4hoMdZ4zHgZEATgOAe5w2OSTklObufDNSFSXIRSkCk+uq62yH8915j2kVtdMokIBHowb7n3KoGT+4lgqv6Zxz99Sj6t1rsC6LP6QyGHIleLwgr+4vOsvhowHttUVDjlpca0TRukXEC2hvbbOFUnHpmcPQ0M1isuoG4aVIrcL0UVbU49oqlUahXLL2oTp2i2Aeb8N3Dpm9irb7VmINKFp2ef7e/rIUtZz/bob8+nBp3nflRGa8fHPJqPyhZtG5xn+qf2Kh8U72UnjSPqxeroL01TJRGimyv4bxWO9GOGiZo6S7z+xIgjcy9aqWzOP4DC6HE+MgIWL7UnjSPlgWibMRkexWuca7ZUcNEqcLSgNSr5suSQFsC3ELBm7Cu0phgvfrlTsOQX36yw00QPhCSb7v6ivYrmjvdQijOCzKQjJnI95IRRPQlY6ap7e2vv6c9Lr/5wIk4R1xpE9GQRPBo2SN9WQBHE+xHLvROPwVtR9JBe1OdYdBeVWpKj39830gmGtpbX5G00H7uK4p2mA8JB7+PKkmTzG1FpTkiPRFU5dPUJ9n5WmumX3ytNToKvpd65DJu0SeUjU4D5Nwks3734db1kTBloyMA+UAz4dJ2kGckvZjR13lGLONe/ratFL0CFG7bShkA+00eARf7TRFAuWZSFSnHBWlwXjhkWha4s98UaYCOCygCwEaUR8DFRlQEUM4pqsxD0qIhOXrYiEreHQS1dQXl1O8s8AbJ6J2exh9Ctl6H6ffXcvsD7H7f9Uhl428i6cU8b6Wmd3qq9MOfRAJ14C8irZ0RcHH8Rk/fQ5KKlIU4bd9D5oda4IOeqnv4c0igDvw1pKUUARddS/T0LSQakvVUv4UEejp+CikSfzu7UMw+1NN2qxtcfX5gLYaNr1Lfawy/pyjypjFcDFtg5YMlvRB81Biu7h1cy88PrnX5REXg7lYzO2g/94iLQ2ermuqAvbFV1L7W/Nx2DNeRot5eXPaIi9LR+j+QyMwE###4048:XlxV32DM 3fff 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###3708:XlxV32DM 3fff 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###3776:XlxV32DM 3fff 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###3736:XlxV32DM 3fff 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###3664:XlxV32DM 3fff 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###3628:XlxV32DM 3fff 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###3712:XlxV32DM 3fff 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###3788:XlxV32DM 3fff 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###3692:XlxV32DM 3fff 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###3376:XlxV32DM 3fff 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###3452:XlxV32DM 3fff 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###3732:XlxV32DM 3fff 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###3764:XlxV32DM 3fff e9ceNq1W0my5CgSvUwdgHmIsL5KmYlBZrmpWvQyLe/eIAXgLgEhRfze5OAuBHKePx8glHj++a9b1IM82O//UOnCQxLy/FsqnhWUA4VYdVawpEj/93CI5M+/qZfLplptUWmZ3sbSIOmTRkWGFDQr3Da/QIo8//YuR+GIfX5p8yRWRThkn1+E558/joqQFyAe9CF+/0U9F0lPn3/RJbgHTcv/ld6RHsh/L+nvpIjyQZ1bn1nkoCg+n//m9+bH84uX7cXkQX6/Xuecf/6TnuZpDrLN4R80pnGviVl4/krr3qcjBLw7sDwdoVBE03TpW/LTyJB5YmCvZHODNNVgVFsKNNBiu1XqGGQxs+avXh7ssZwsxuIyspgnXYslkT/ZVTE4cNlEHIr0JhJQZDaRhCILNuTfjLh9Z5jKBpH9nVHc1J3hy4Wd4d2dSSJ22L+6WY5Kz08r8KquQNcVGFlWwHlZQX2nfZ4Wo86L0efFGIgct+jXVme7IEdzSFFxo2L1wPQdu88quTmaNEiT36UyaSTQRKQBrMGAArGGhxrIGo0b9pVV0kjTBKCBiN5RW8cgREu9jjkg8oLog++/A3dAdCC9GNOBIrJs+UrEGXQHGniHP4KYoXl5XgNihka+m6YxQ+OMrIF23G1VxyA7cqvHdqTxZMf4vEKr2I7cmgmtps0qduR2Ykf6vMKwBzuygqC8BmhHzQnSNDuylQENtONuqzoG45GpsR2T279nWIQ8pmeBiE4tNoFbP/rk2frRZ9f0ok/WIIwxBcccbGMmtjE34nU2FzKTnQGrOmgM7rN4zXG8BnaxI6/cNH2L2YPF0NuwxTZ09i0m9ecJjvQzXAnRGM3/RIIDaWwIMY8hBgnuALHqstuYA8Ti0GDpkXE0eBcC2DpDGDCYvBQCrvN+mniEsHXE+0mDERbhGGQwu+VrNmWEthM/7YfxM54zQXvOBJdLmaDuZ4Jq5vNqS/9e1Gi+Dsr0kAHacQYoI2tsYyYZoDnPbC9lgOrARa46g0UJHXQgixI6bTnS1ISOakqABmd0Bo5BdWAFnjrQYYOxOtIhWYEGF3wMjjnQIR16t+NHOuwGVM5mqVwNqHHVY+LrRVHgsWmKgcdumq7HJg2mOPQ2ZARN4rCGU/qzRO3lw/5AgEcfNmcftmcf1tWH+45r2LiE0zTWLRDi6yyQn92HIF9WRIx9WdO1s5iOL+vzYsx5MaouBgLHhuo9RCAHNjYgTXVgy/GQ5r82aKCB/qsIh2Og/9pQUra8Nagksw5pKqgt10jRMG2DBBqI6R23dczBsfk4bK8XgtCO1mnKw2dFHFN1p2W8Fy/I2/YOcHMx4Mdd081++KGI4xyOgWYMNH+/TsygT1bk1H1mxp0a3sbyfpQO1I+NbnyrnOnytdE5cmxtMg5537GNry28ldF7QRqF31gbMHk+4InRKKAAjqgqGLYRwA8De70rGw2gJBYn3OUVI9HIJgcI2VFQnkduxuy4/UcjGwbQV2i4Eg863b3lenfP0XFoSGl/9VFFL0TnE9lmuqez5l3FY4jhHt13mnf2TfOudcLyqgDxt67erqi837p6uwLwvqRAg/K22rzbxuD+fW24OYp4n1msgYwlkaaXzGQNZCxWo8g2BiJSb521QfXh2c167QXPSZ1xAqLpAlF7OaYtDqo9Rr6v9lJo2sYNkxDXjhs6LeVJFXHCn0ZxyfqlRJJVHzIPhzSdzGNXAAQaDjQYgQqOAQi0/KXJ9u5lF7u8Ysxy2eQAYTuKyvO4vHXjZNnS24TXjjMmWe87lpOezhJg21jOf8hyepmwnK1FTljVpSOKSSbbpzZQYea1AGipiBWN26hDinbIsEAFSmlXAodAaoMNJzo4mvSY2aznSANSWgbHoFrVRTgGx9rt4LJPbcaOkDcryNYvCrL+WVqcRFtVz9KCJ+9xOCu5DgdompzItbVPJDgFWH6i5Oon4mkNg47Ipul2RJIG8Zpa4Zg+/LKNYWTV1iINiKy1I7JrGv6EhmNQZK2H49sYVAtsR9g+ZcDhXAssmfnEa/uEeeHvq9rgZtD9Rd1KCx7loWhY1aTXyhs/Bv6zRUOI58NlL14TUxOqQ8g6MUeWlC9L8v2DnNsZunxrniGOmVnQluAu7gcjfa058gfmxSSY5D9T5CzozgsDNK2JhPLqKJoEKK9uoqJqcugkxkQwAFY7wcE1Obpp5KZ5pSUZB8BD9lZNlTf/MEY3BSyEVgkGpLm3ufI/crEl2vRp49IYYZJ8LW2SXZ4nSX9sVydE/hKT+NycWxQq3r468ZbIl8tEbsTkcMKsvqWr7uNLEYDAd3sPCNysthE4vUfg9g2Ba1ooMi8BwpJapKi41FQCBQYmgUMAMjUtVySyXQEANdVIURGoqQcKdHNBRDgE38XZarYRoOyVRu4lRu7V410USTZDkWtHXMu9vuzbXo2JyxhQPITOzGdW7NXfEDql1smTIehEpOhBJ+K800QChyDoVIRIhqEjkKIHHYnbpaaW9NsQCB25xal+UcONvl01o1rn++pZxskdLUlqTz2E8HH1fK56FJ3cy5I8dmb9gdAay9Fqnh4E0KgolFe4RaGhvPULlWhy2C4sFzO35wHUInsBOlsbZJhRRihvXUTBobx1EctxW5YD/O2bWJ6H6FPCDtEniLx5i+NY5MSbdwgvYnJf9AiTmk8x+eFJE4qaipoZPNcpPK9dG3yDVFIRaRBS5QLlDalUQXlDqgxNjpBqwPMQqSU45z1ASHVQ3pBaUrBd3pAqdZMDpO5bW55HSN3yhQFPRvX/q8HP8OxiclveCJOCtcMR84P1t6JhAkRBrwFRXwEiQF+QvqAjYJ6UUF7RF4yGcsCTpskR+iJ4Ht43pRWuK2oEUQ/lrcpoMEY5HiBilOKpkpNuzyP0bXXtAH3hdDP1hbZeG3J2JG+fszzv2l2afaVDcmTXAjZ/jnqT/bb3vgNDIJJrQDTPWQI4vTsTHClb5zEmVyhvmAwLlDdMatrkCJMePI9q3zKBRL+biJpAecVkcBLKQez2TQ4xKeHzuB8u1bjoEOLyda+3FcbFXrgj+nRMW6EnfLvgSuLtW1wAaozMoFajf6T2XoEx7YI3zmME4atGYoa7kK5GPoabkEs5xMsKiDBGwADYgXSk9KyzhSFmapsxK1AzWyo4BMLGRDr+2Yjl3xUcb24VjG8I9gBl4uRykQC3Aon6wdpjrwhHbT1iOrNevmwwvhGIzpJfUSuvBEDNlN9h7PJW9Zb21y6vSDOONzkAmim3DLfnYcVbEJgNj85ZFJS3Qrg0EHd5haVxS5MDVO7IK89jKvPjoz0uyTcd7U9KEvvFZVazTk4ERTsRXIn+iY73tFAxYZ1gWcbW3SP3ChVz/3arKreg8qJQe5pCeYW1WgWUt/Z04c8sR7CGzyNYF8V6OD40UN6yxhihHMCaNzkiWw8H4Etc4wvZTMV7bcE7N3dAn6dLrGpGrL5la+7T7mCXWPm4Myi463S277aaAdwA2XDcIyRQ3lqExDY5hFUU4HkAKxMrvSoIK0DH+Mij0To68jAhNjm6+sXA8yiCh/FpNGNXbg3DXyhdqEvONyW6qApxhqpGfOzmL8L481oRYqKcAEy1w2hCbl2V6JJYlJisIpQDVNEmh6jyBDzfjcEhYrKKUF5RZdwK5a3EbeyJjpkb2sLhkFkFMs4Ljf6uwXIlwnYKjcGNrnWZRdj2C7FF/GCvRW8/XJWjS6iqdXj8hZ60uXTHEJ/8FgL5H1FJ3Ds=###3860:XlxV32DM 3fff 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###3832:XlxV32DM 3fff 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###3856:XlxV32DM 3fff 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###3824:XlxV32DM 3fff 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###3840:XlxV32DM 3fff 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###3364:XlxV32DM 3fff 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###3888:XlxV32DM 3fff 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###3896:XlxV32DM 3fff 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###3632:XlxV32DM 3fff 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###3692:XlxV32DM 3fff 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###3884:XlxV32DM 3fff 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###4076:XlxV32DM 3fff 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###5560:XlxV32DM 3fff 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###5780:XlxV32DM 3fff 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###6092:XlxV32DM 3fff 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###6180:XlxV32DM 3fff 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###6156:XlxV32DM 3fff 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###6616:XlxV32DM 3fff 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###6752:XlxV32DM 3fff 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###6760:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###4552:XlxV32DM 3fff 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###4488:XlxV32DM 3fff 1170eNqNW1uy5aYOnRLYxhZ9x3EHYIP9mb98dWXuYVtawqitfdKpStfqdQChFxLmULpC+3MEqlf7s4Y6ffCSy/rBIdT6wZlq+OAp5vjBO23nB1PI+Tn+DHQ+x4NfQrmYr9fIn/sHb6EWXp/iuD6VD77o3O+fz2l7rn8Sy3/RFr/JR+2/b/LFUKZv+8f6hdaBX2kd+JDX9Fy/8yzfQik9x0P+Jsf+Tb5Ae+b54zLOH2/9JKLyTf6u/20e59/u9QrV+k3+ibbrm/6h34XiNe5vuuWvlI9v60O+QlMZ9Ttvz/17/oHxnnzQT8jTNe6P54f/euvDPt546Mfj+/rv+oX+PPkx/0LzPup3WUb53v3jp/0dYd+Yj3HkeTzm98bDvt548J5/9Pk5vra4xKd+1jDf+Ah5+fwdI937TOF2i6s2/dxxFvM97xbm8uQprIvs8xrHH6y3sN14Dkd98jWUWy+BqPL49/VXiVtvPPgrHnGUj9ffKRHPX/Zx/pqe4731f5I/h3x8Wz+FtH3Tz0x55/n3aZx/L0/9e+uD9/YH/XrywX7e+j+Nr8Rx58n30/7A77HKv1/5Ont+bcbb+Pw5pyeP820KZ/1gb/xO1/HBU9xWPg9niTvOjzlM97zIH+ARHy2OZpaP5ieP/DjfIvryW/n6eObhH974jc7z23jI741P4dq/7b8EyavO/Igf7/xr663P8wP5BfpZaeE4dOSrLUGzfd/l6/p/l6/l7fMbf1Bcv8k/0UXP8xPyd/0sxzf/g308/4F9ML7vj8dDfo/faDm+8dQSPPPv+mtuG598r99Qn6zLt/qm10/v+rnaCfzUT5+f5cP57Mnf4+c9vn6y/yXY46E/j8f63v4ype3pv9if+pec/6iPFypR9JYlD4dv609h2b/xsK8n30zz1/4C9QHi88xNtNj1m8QOXvz+tH/YF/U15sf+J41Trs8xP+qv0Mz+rM+wf63PRD/e/BvV85t+bP6x8qM+RPwivhG/R4gL88s+xnea/ot8O52D//2hvyb3c/9Yv+snrt/Wh/+jfsT5ivpxFrt68Wn7Sys//MOTb27x+U0+xB/q986j/uf6w/MP1KeUpzj6B/ofthvGY/8Yj/rIkw/5F/HT4wP2+b7/s2n2Wb9b/Td9T2yfZRt5Xh/28eTD+evZ56PAW17HfyGfpx+s78kP/VFmubr+uT+C/+N86eejnN8/rI/62psf/uHlB/iXN777B/d3ff1lGe3D/VHf/1pH+7z7L+b37Nv973089OfpB/J547F/b33o1xsP//Liq+v/Xb+oX73+E/2vm58lvj3/Qf71ziecf978OF+8+ZG/vP4Y493zMcx3Pv3nn7+ObaFf4df++6+4n/Ov6X9/t7+n9vf/29/x15Tm3/M8b80PaxbfrXx3Q5VtMdf8hqd0co4P77hZ497bsvF8dv5IjOfjmN9wS67cAybiWjdwT5bpjG/zWb71wLfumssub3gluvezNhd5w7HNfPtuOukNtzOafX1jfEWe/yKc3ZltT+v6hpOsRzW94lZ7Jp6Pa32L205vHK6Zax2KfPe0ZbHXPD3xJbXgSofccax8F5pZvxbvgcev6R2nzDUw9mtxDSzP2Yq1N7xvUuNKLWix3Y+1r92P9RecRUVqCYqH3I2V+uST3CVaf9VeRu6qrb0Ra+0IlLvO9Za/ttQz1pLb9GYP5Dpvv7hLA2/tjfV3ifU/9GH8Ef5NeX2VFz+fxT/tz9v57M/beCz1kF687hx/9dbvkcqrPX76ec5lueWyGiSZJZvMwrX8ntM8ffLZRNOtr3SxP5Q4r9y75MD+zPqOtUS5NOSzL/H6G8n+4jE943Or+/mGm15u/yuR1UUzx+vUegGuNTauvS/OL9vG8ZLkrnsX/zi2fX3DkP8MO+c72R+Jv4A/QhZ/2KQW4LOsir1Lnle+W+Uerp3UUjPy/pfIR3Qr4NIb3iPXLMgHqeUrtpvosyVIloPjov0vsTznLecs/27xLmI3R+LekdLxjIcm3v4Np5a3WC6+G/Aw9AP7HilvT3tOKc/PeD8vvrO09rS4r3eGt/E1Z5JvX9ybXHKeYD75+UX8w2LMXz93dx9/CqyfJvg9/1KT9B7cu53E9lb57X4MxvlUQpnfMORfAmqpk/NRrOxPF8cr8qHFKVepD878DWN/sE/NW3mzl4cRr3b/Vt8Wt3Xq035J9DMfZbBvCfJt1OSXq97qajWv5EeDEZ+75k+Op5aQzrf5Fk4nYb3Yv5fItW3boXz8Yr3OM873cb5T4rNyCf/pebi3i6gpSX6eyy3gI3DtaTHy13bFVwz9nU2jz/GYHz+/bMvyhm2+Un3TfD7PO2DwUeYHD1ziKt8KpVaW2hkYfDY8MPazyf5RmwODL4Yvhsd6/dvnOH82PDD213qb+twfcPfHkQdWexD3Kv3umjH4mWIa7+5iGuQTe/S7RzlPdP6RB27nBt8RkvRaNGLwM+305IHh70RpG3pvweCr4avy0uvRujx7R2DwQXpl8MD9vJVvDajXBIOfA+fdfrfFuJ/H3Av3b6/SGwvfJBl6X2DwibgX79+WGfd8MvK78uLfYg/1b8HgT8Nb+x0kd+004q4/1K8jLjFyfEq+Qj0LDH41/Ko876+P5/1Fw6+GX838kFe/jQsGD3nBd/nF/uKvvV6Xb+ewv/ir2l8w5j9J3tpoPS/f5oWfJV+DB4Z/d/2PWM8D1f+Il4i7Sm4H+t2lvC2IeAs08sDwj0P7B7l71vNsFX2RuZug+NRPDjTYB7jH18ifhoe83b6QD/E18ofl1f7Cq/0l/tT+eHti7Q/7wf6wH+xP52h/2I/1if1Cv8DgT8MDa/6nMpxvwP18HHlgyLeEfeg3gbt9Rh5Yzz+ZT88/wXr+GR4Y+QHxivwADH41/Kq8+KP4m/qnYPDwt95v05Dfuv/gbYnxb8MDY3/tRBm+TQKDT4YHRj3b7VfraL9aRvvVOtqP80OPn1jG+JH8YnjgXq+zPfvbPNiX+Wx44K5/5J8Rd/0j/4wY+p0D33/0u2vG4MnwZHj0h338eYzjRx4Y+sXP92+rI0+GB1b9Eb8VVP0JVv0ZPhse/qpvJ3E/Jjz8tX87ofjUL/TVv40wBk+GB0Z+6PunMu6feTJ83/8p3wpx/8X3YcDgL8Nfyku/q/kB30aRP5gvhi+GR74FDwwe+RY8cO8nuV/rb0NqePJTqHF4eyFY87Osp/lZsNoH/RaNWO8DRB96XycY/GV4qz/Ii/0Bg4e8j2/bcbyPkH5e7wsZ6/qGvwwPf9e3l4LBZ8Nn5Wt55kvoN5j8mAzf8ycN9VN/e0FD/wN9928vjJEfoS99mygYPPQFHrjf1xzD21xg9V/DF8MjX/f8Oubn0/D2/O/6ozLqj/lk+KTnE8dnP784PoPhk+GBlxiG8/6kEYMvhi/mfOv+gzcXo39kw9v8GelIw9ttweBXw6+Gx3nV8y/jnn/zMebfsX/p/RfeXqO/isfYf8XyxNo/Sb/Xvz0y1v5J+j3tnwRDPz1/4O0b8kctY/7A2/UxfyDe9e2Huc+7DG/jH/Wa3q8IBr8avtdv7A/QF/wjqX4Y74bfDY94BQ8MHvEK3sYv5O1v//Ogn9XwVn7EC+YPJj6S4YGnfMk9ppxnYcTgi+GL4QNd25MHBp8MD6z1I/G9oNaPgnt/OvLAmt8lH/Vvy2N+Og0PrPuT7wy6P8Hg48clHzxw78+vbezPx/0lwwPje4LGS+B7dGD93mB4YMyP+yZ9Gy9YzxfD9/sn+HMtT/8ABn8ZHhj6WSnQUz/A4A/DA0O+K8hbU5EPGPwi323AA/f+Avdf6C/SMH+//5L7C8HIb7A38htw7w/D/uSt/SnIWyj93QTG/Xwf+Wp4rAceuN9PhSF/2/WRLzW/C+76HflLebm/EHvo/YXaB/cTIw+s+Y/OPOQ/wZr/5HeRNP/hd5dw/6X3j/jdENw/Snzp/aPEl2DMj3yB+YHBn4Y/TX6ZaK7P+YHBb4YH1vpC/WfE4Lv/jFjvF1W/cr9o4uMwvNX/GuQtNo0Y/GH4w/BYT8eb+Q/D2/jFev1+9KLRviPf15f7dolXvX8XrPfvEq96/27ur3v+k7eRmv+Yr4avhif5Tml/3uPJ3N+Dt/J6vOYr+V5dPh9UnvaGvhwe+rXfx629PH41fDuN6pu/ePz6g3zqr578P8iH+PB4zZfme/8f8erwiG/7nuCPfOHwWp/gvVDlz4g2X3m81tsyf4rn9povHV7zq3yPvTbRi8nXHm/zC76X2/PC4/W8ceyr55XD/2Q/PS8dHuer3Z89rz2+13vjexBbL3i8jV/4xx/1isNrfbPx7wBNxL/sYOslj9d87fiH1msOr/djzv6Q/zwe+c++h7H1qsdjfc8/tF52eK2vHf3o+erwsL99T2P7BY/X+27HvtqvODzyh82vtl/yeNtf4b2O7dc8Xu9LHP/QftHh0V/a9y22X/X4qO9Dxvdsn/n/BehE0Yc=###4236:XlxV32DM 3fff 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###4300:XlxV32DM 3fff 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###3992:XlxV32DM 3fff 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###4828:XlxV32DM 3fff 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###4940:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4376:XlxV32DM 3fff 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###4136:XlxV32DM 3fff 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###4408:XlxV32DM 3fff 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###4740:XlxV32DM 3fff 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###4996:XlxV32DM 3fff 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###4716:XlxV32DM 3fff 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###4156:XlxV32DM 3fff 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###4536:XlxV32DM 3fff 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###4608:XlxV32DM 3fff 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###4472:XlxV32DM 3fff 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###4352:XlxV32DM 3fff 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###4544:XlxV32DM 3fff 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###4476:XlxV32DM 3fff 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###4808:XlxV32DM 3fff 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###4684:XlxV32DM 3fff 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###4692:XlxV32DM 3fff 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###4536:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###3940:XlxV32DM 3fff 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###3956:XlxV32DM 3fff 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###4076:XlxV32DM 3fff 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###4636:XlxV32DM 3fff 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###4608:XlxV32DM 3fff 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###4568:XlxV32DM 3fff 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###4576:XlxV32DM 3fff 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###4628:XlxV32DM 3fff 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###4692:XlxV32DM 3fff 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###4552:XlxV32DM 3fff 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###4860:XlxV32DM 3fff 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###4804:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 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###4364:XlxV32DM 3fff 10f4eNqFW0sW5CgOvBIYY4uac8wBwNjL3s2qX999SKPAJhKyqxZV8STz1V/kdV3//PNXivH6Y/64v/+y8XR/gpX//K/8b/mz/Oe/5V/7xy1/O+diFpev8ueQvF/nddmwug+2Jh8jHKw7PljMaUbY6XjA91KSKUtZgq7Fp5PWssf1b2fdUv7GYPflM8BqcjblD/Bh8vnGTLfmJpvF7PeCTMjHL37Gm5z2jZMJ6Y0XE+7xVtnjB8cc5J7Q+vXG6xjPxmOcCUdzrP3+D/fBzsr2wUHSjY9d9g/elc74Mnu6x/f38r/wbD7GGG+VJbz3D7zm/fyFL78tI7yEig/jzhG29p7+2otgje7XWjHv+5zhJPnn97vyO9D9Zd/0U874ix7+hb6a8/hFv8yZ3vdz+r2Tdytyn38M9X6NVHk8bMUiYkeYx5uNf4jc95GMvRXY+hAqfbH1/MP5nr+ImcqH3PzG7Puv891M1a+UsP7xeTDG+opBOX/hU89vNn+Zz/yiFwN4Mzhf9cuXld7npfq0mWxGmMdLUseLkvxIXxnzfTLGfVkvQ4z9+/K/D87XIlVesn3fZ9H3PMLQr6j7MeLu7w8X19F4jKHPhz9lhDF+0POd4U31j+0Ly+NMPkVCHGEny/LGPF+SHvN5gA4M+iVV3kAH/roP2d0bN/8j2b3pwNCnQ669rl/ON4a8Fn90L8gXPX9j0K05lrc8ArO8gw7M/hTrA2Z9wvzArI9OvwfG/pwc13t/wGwvMD4wxwMYn+MDa67bTz/rr5jtLfYPzPYadGC296ADs78A/ST63uSvx+yvQAdmf4z9A3/FC+18ejriGdCBQf9Y4jcd+JHvng4M/YC+QT9Y/zLRc9PfPh7D/T3xWe9vssoPMPurrPoPjPmhj7641ZF+FjMdOvlXzP4SdGD218/34kbxHs4PmOMdnA8w22e2l0d1H5exyY742T8xP/tntkfZVXqAfWR/Tv7zi5/9I/FzfM32jtfL/Fnpi03nL3uI+Zmf18v2KhZBvulOtlE8xOthftz/Za4aB5C9QvwT8+XfdPBnna8cdnjHS+BH/Leapfpv4gc9anzN/MBFM4b8vF/mR3wieXMjfeLvmd/qfpJfzpE94vUw/+x72CfWF7ZXM3omOsc38P/Ai0ge2ZvZ+OA3uv4rHHkYL5B8Mn9NuO0n4b6QcB+GEm6RO+F2bisJd95vA+El9QmppFvhz7Qd6qA6B9ACelMFXPx1KwoMQjme8DYQwFCoKPZWwJDr+OVoqsMNvgYQsroRbgdmVvsTq8HE+EVgKABTB9YSDn+O1jPDbbzJ+Fcx3PV8NhXIviDg4IBNNRinj/d5XMHXgOkYYx5vNv6pDgV0KRfcB0jSKVz2wY34Z98fpjq8I9ukCpKqw1lu7FwN0BnjfpL0Do9xUcj8Xt+at25+I5vKDxKybRvxz75Pst0GKO5hq/u5Ui3MkDwTtrneV0lA0xA/AaL5hYsq56p3NcAtx1vvj/SxzL9350/7Zczy/CXfkA/xP/UbCQGfj/VVHre7HviNnd53WVQe4RbgmKsGJiQ/i/KfSYYY369SDSp//0oAq0MohrsGQPstf34PvtqLuh/GvF/Gvrj0934Yx5C0gHUFlZ/9rd98n4x5vbP7QcK1agAVjRYYyJ4whvz5FpCP8SJ9ggn55PkYz/bb5id7k129v+bQ9L4vd+QRbgWE5gA1wC3XMxrP570WHCXlET9jyJOTtP3CkLeZ/O2U8HjpCw7AvB/QAxUcTio4nFRwgD48BYXQJUxFHvuCgmL25y3hUjyTB+AWYAsVHKQvOCQqOCQqOECeMT8XFBBgIWF6Ci51fysVFFYqKOxUUNhNn1CWQHR7J5TA+B73j/UDs75fJsU3ZnuFhPO5n94et4KJYo7HcD7A7E+wPmD2p5gfmOOBp2Ci8QEKJkIFE6GCiVDBRPqCSaKCR6IGTJK+YAHM8cxTUOobJo4KGo4KGojHHvmp+CsebPrTFzQWSshbfEnxLOSvxbdkD9xTkOgKHo4KgsCPfvUFN9v0o/d3+Sl49g0U9betoKCY/X0rGJF+Il567mdbR/FYKxghPqN4sRVMFGN/0fQFM+BXwS5SwS72BcNevravBl8vX8Az/4rzZ//B/Owfmb/kqzf/9lnSwB5jvAP6RPw8H/N/zUf2GOOZq294wP7y+F/8k+8hf7wettfw/7s/8she8/jMP5sf9ntRunNnGNkrXh/zz76H/ZrRIZ/T+SGfk/W3hsPsfr4bEuconvCuFhQSNVTAz/ET88++b/HGJP/j+GKX3Y/8KcezX/yU77C/ffzjYUf6zPI/42cM/1ILJkv/QuGigsl23QWTz9+Ijt9pz85B7Vqh9trBFupgF5zfHe5PoHEH0LZijwoiYYx3FlPzC2O+2fzO9B10Xn9SgVmawEQzWi/jp6MWugpyUoPyVCizH+HXiwztiGrBRXoHjvFjqAH3oh2Z4shvAVv2PMRtfa2Dog7ZkEM2fcB+Ggq4TW/gNurwbdzhM9ThM32HL1GHD5jlCd8DNwWhDqCjDqChirehDiDu+xWQnX3FvQ84gJsBNxRwGwq4hQJu4YSiD6iXpvBwkG55B+TAuL9g+oAHmOURAS/fT6IOIu/fSq3IPwFXxU9A3gc0mTpAC3WAgFuHkDqQJ3UgHXUgHXUghTqMQh3GTPRM9EgdxkgdRk8Bk6eAPBI9Et1KH5AD434O03cAD9I/2CvQgVmfWwef1r9QB/uRX3RUKKGkDvUpfUII/BRM+vPzNP8hfcJyUIFzp/2z/RHaPzDWFyjhAIZ9fOxnb++8cbUjVTzbiN+pQ92qv//ib/ZGA94vfrHVIaccR/b6e7yeH/7HpMuO7DGPx/y8HvYnTgNMZy8/ssff/ov4Qy14nRpwMD+fD/P7UAtyjzz09jyb2pG8tJ7O/Dw+8z8B7OFG/oDXw/wcH3z5C9ov88/ii4VeaPL4oPP47G9m38O/rCHUFyNHFYBDtMOpLxL5PJkfBc2VAlL4Jz5/5keHc9GOaGwdWO240nq++cf62/yb7v/wtePL/god0lM7vsyP+Tbq+IKf18v80KeoLwzZH7K+Mf/s++Yfdb020wtM2GvSxy/+yffNf07ozX9O6PCfM/nz9MKU6fCvHF+zP+D7/4rHJ98f7fv+vthfvPQxj/wh75/5WX7ZX7L8cDzXGqYUL7R4g/MD5p98DzzLH6DvMzrik5oQupIQrhYJ4ZkpIUy1gy5uddGlalAuAwtcn4AvR7Qj/HoCFPsDEHryKusvulP6oQeyE93/C96MdAnamnuDJ2rw0OFcjd37CoatT1y0Q7qFvTMgXjuSjFvF1PjYPyms+3kqVHW9ouObIPrEqK4nKJ3xbDzGGz2R3n3c+opmDL/oUWL8RfdK/9xzTUhPPZ9wagUr/sLPk3E9P5+H483GR8Vrhk/qoGD+ZjBU4SEfSz7DL37GK75Xg7Xsfns/gQl+lV/Y6RMexk+Cp0+C9mow0RFAAcBd9cUB46eij4ArVvnXinTycVV52kZ4CZV/Uf3zjjrGKq8lrMgj/AQ8/ZOv9sIAT3SfDnL9SYVf6osaj4Ch6iNjr0+EDtGONWGeL6tBTIY7cvl6VxDLRXYJXEQHns4fAUvWgGVmn4re+F/0qPSZ/TFi4/sFEs7ni3/yfSqJx6/9oQWJFw5FENOIf2o/ily9n2zhiSfzo8J65W3pO14+jc6H+WffB/2e18/j8frwpMyFqi+sv8/51A7lYev94ycnWQMYq/aeMY83Gz8a3z3pFbN3HbUiH+twf7QexrPxGHsdHwmJN2kb+UvGzX/jxYAN9cmirMdIXr9wwhNJZ0f4eQLtagXcxxrYy55G8zFme8V41Rctkq48wuh4w36x/eT1MP6KFwhjf16fdB9SE3ofcux/wnDakX/E9wsVXBkLXgx8+Td0OKr/nc2/o8A0sZ8b/eSoxJcyGm82/ufHNiP54/EYt4REE/6v+IniVcZPQh3y6Hz5vBjzeczO5zDj+zt1v5dcMsKtw/Qv2JqKef9s7xjzeX/rf7/eXeXbiYTRfEjYksv65L7+hMroE2LGTwH01J+cVvsF+eDxGD9PlvMwPgJedT+MeT7GFi/ydk1wGbf4IA/jKz4vxmzPv+z7ZD+D+CMO4/FW0I49XfXl+UnLsfT+vKe3ghrFyygYh5Zg9/Fwbg2TPgHf1P4+DaWKOR57XljkaxRvoSECzPFWbgXv3ff+Vs7+J29yDuOZtv94jOKV3F7o+DTKd18/eYkjf/b8pMe5UT76NDz6hpHQCzBgtjfPC5T+BRjsBb4HbvEUvdAJ7Sd7oPcNwdA65L08uud+4iiffn7yZmWUL+N8OH++8+X/AxE12ps=###4656:XlxV32DM 3fff 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###4588:XlxV32DM 3fff 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###4640:XlxV32DM 3fff 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###4688:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 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###4764:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4716:XlxV32DM 3fff 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###4616:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###4644:XlxV32DM 3fff 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###4596:XlxV32DM 3fff 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###4636:XlxV32DM 3fff 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###4688:XlxV32DM 3fff 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###4600:XlxV32DM 3fff 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###4836:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4784:XlxV32DM 3fff 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###3828:XlxV32DM 3fff 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###4048:XlxV32DM 3fff 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###4144:XlxV32DM 3fff 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###2288:XlxV32DM 26a3 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 \ No newline at end of file Index: mcip_open/trunk/Program_Counter.vhd =================================================================== --- mcip_open/trunk/Program_Counter.vhd (revision 5) +++ mcip_open/trunk/Program_Counter.vhd (nonexistent) @@ -1,175 +0,0 @@ --------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- Progect Supervisor: Dr H. Chemali --- Create Date: 19:22:33 05/19/05 --- Design Name: Program Counter --- Module Name: Program_Counter - Counter --- Project Name: Microcontroller IP (MCIP) --- Target Device: xc3s500e-4fg320 --- Tool versions: Xilinx ISE 9.1.03i --- Description: The Program Counter (PC) specifies the address --- of the instruction to fetch for execution and addresses --- each byte in the program memory. this module includes --- also the Stack memory of 32 levels. --- Revision: 07/06/2008 --- Revision 6 --- Additional Comments: The PC structure is PCU<4:0>:PCH<7:0>:PCL<7:0> --- and is equivalent to PC<20:0>. --------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - - -entity Program_Counter is - Generic ( STKPTR_length : integer := 5; -- Stack Pointer Length -- < 6 - STVREN : std_logic := '1'); -- Stack Overflow/Underflow Reset Enable bit - Port ( nreset : in std_logic; - Q2 : in std_logic; - Q4 : in std_logic; - Command_vector : in std_logic_vector(6 downto 0); - Branch_data : in std_logic_vector(11 downto 0); - stack_overflow : out std_logic; - IAddress : out std_logic_vector(20 downto 1)); -end Program_Counter; - -architecture Behavioral of Program_Counter is - -component Stack_ram - Generic ( STKPTR_length : integer := STKPTR_length ); - Port ( Q : in std_logic; - write_enable : in std_logic; - STKPTR : in std_logic_vector(STKPTR_length-1 downto 0); - Data_write : in std_logic_vector(20 downto 1); - Data_read : out std_logic_vector(20 downto 1)); -end component Stack_ram; - - signal PCL : std_logic_vector(7 downto 1); - signal PCH : std_logic_vector(7 downto 0); - signal PCU : std_logic_vector(4 downto 0); - signal STKPTR : std_logic_vector(STKPTR_length-1 downto 0); - - signal latch1 : std_logic_vector(19 downto 0); - signal PNTR : std_logic_vector(STKPTR_length-1 downto 0); - signal PNTRp1 : std_logic_vector(STKPTR_length-1 downto 0); - signal pushed_addr : std_logic_vector(20 downto 1); - signal write_stack_enable : std_logic; - - signal PC : std_logic_vector(20 downto 1); - signal PCcall : std_logic_vector(20 downto 1); - signal TOS : std_logic_vector(20 downto 1); - signal PCs : std_logic_vector(19 downto 0); - signal PC_addr : std_logic_vector(19 downto 0); - signal offset : std_logic_vector(19 downto 0); - signal Br_data : std_logic_vector(7 downto 0); - - alias V : std_logic_vector(6 downto 0) is Command_vector; - - signal STKPTRfull : std_logic_vector(STKPTR_length-1 downto 0); - signal STKPTRzero : std_logic_vector(STKPTR_length-1 downto 0); - -begin - -stack : Stack_ram -Port map ( Q => Q4, - write_enable => write_stack_enable, - STKPTR => PNTR, - Data_write => pushed_addr, - Data_read => TOS); - - PC <= PCU&PCH&PCL; - PCcall <= Branch_data&Br_data; - PNTRp1 <= STKPTR + "1"; - - write_stack_enable <= '1' when Command_vector(4 downto 3) = "11" else - '0'; - pushed_addr <= latch1; - PNTR <= PNTRp1 when V(4 downto 3) = "11" else - STKPTR; - - STKPTRzero <= (others => '0'); - STKPTRfull <= (others => '1'); - - - offset <= "00"&x"00"&Branch_data(9 downto 0) when V(2 downto 1) = "11" and - V(5) = '0' and - Branch_data(10) = '0' else - "11"&x"ff"&Branch_data(9 downto 0) when V(2 downto 1) = "11" and - V(5) = '0' and - Branch_data(10) = '1' else - x"000"&Branch_data(7 downto 0) when V(2 downto 1) = "10" and - V(5) = '0' and - Branch_data(7) = '0' else - x"fff"&Branch_data(7 downto 0) when V(2 downto 1) = "10" and - V(5) = '0' and - Branch_data(7) = '1' else - x"00001"; - PC_addr <= PCcall(20 downto 1) + "1" when V(5) = '1' else - PC(20 downto 1) + offset; - PCs <= PC_addr when V(0) = '0' else - latch1; - - IAddress <= PCcall when V(5) = '1' else - PC; - -Load_latchs : process (nreset, Q4, PCU, PCH, - PCs, V(4), V(3), latch1, PNTRp1) - begin - if nreset = '0' then - PCL <= (others => '0'); - PCH <= (others => '0'); - PCU <= (others => '0'); - STKPTR <= (others => '0'); - stack_overflow <= '0'; - else - if Q4'event and Q4='1' then - PCL <= PCs(6 downto 0); - PCH <= PCs(14 downto 7); - PCU <= PCs(19 downto 15); - if V(4) = '1' then - if V(3) = '1' then -- PUCH --> stack - if STKPTR = STKPTRfull then - if STVREN = '1' then - stack_overflow <= '1'; - end if; - else - STKPTR <= PNTRp1; - end if; - else -- POP <-- stack - if STKPTR = STKPTRzero then - if STVREN = '1' then - stack_overflow <= '1'; - end if; - else - STKPTR <= STKPTR - "1"; - end if; - end if; - end if; - end if; - end if; - end process; - - process(nreset, Q2, V(6 downto 5), Branch_data, - V(3), V(4), PC, TOS) - begin - if nreset = '0' then - latch1 <= (others => '0'); - Br_data <= (others => '0'); - else - if Q2'event and Q2='1' then - if V(6 downto 5) = "10" then - Br_data <= Branch_data(7 downto 0); - end if; - if V(4) = '1' then - if V(3) = '1' then - latch1 <= PCU&PCH&PCL; - else - latch1 <= TOS; --(20 downto 1); - end if; - end if; - end if; - end if; - end process; - -end Behavioral; \ No newline at end of file Index: mcip_open/trunk/Memory_bank.vhd =================================================================== --- mcip_open/trunk/Memory_bank.vhd (revision 5) +++ mcip_open/trunk/Memory_bank.vhd (nonexistent) @@ -1,60 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: Ferhat Abbas University - Algeria --- Engineer: Ibrahim MEZZAH --- --- Create Date: 15:58:29 06/17/2013 --- Design Name: --- Module Name: Memory_bank - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity Memory_bank is - Port ( Address : in std_logic_vector(7 downto 0); - enable : in std_logic; - RE : in std_logic; - WE : in std_logic; - Q1 : in std_logic; - Q4 : in std_logic; - DATA : inout std_logic_vector(7 downto 0)); -end Memory_bank; - -architecture Behavioral of Memory_bank is - - type Ram_Table is array(0 to 255) of std_logic_vector(7 downto 0); - - signal Bank : Ram_Table := (others => X"00"); - - signal data_read : std_logic_vector(7 downto 0); - signal data_write : std_logic_vector(7 downto 0); - -begin - - data_read <= Bank(CONV_INTEGER(Address)); - data_write <= DATA; - - DATA <= data_read when enable = '1' and RE = '1' and Q1 = '1' else (others => 'Z'); - - process - begin - wait until rising_edge(Q4); - if enable = '1' and WE = '1' then - Bank(CONV_INTEGER(Address)) <= data_write; - end if; - end process; - - -end Behavioral; - Index: mcip_open/trunk/iseconfig/MCIPopen_mcu_example.xreport =================================================================== --- mcip_open/trunk/iseconfig/MCIPopen_mcu_example.xreport (revision 5) +++ mcip_open/trunk/iseconfig/MCIPopen_mcu_example.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2015-07-25T14:43:24 - LCDapp_MCIPopen - 2015-07-25T12:09:53 - F:/CDTA/VHDL Projects/MCIPopen/iseconfig/MCIPopen_mcu_example.xreport - F:/CDTA/VHDL Projects/MCIPopen - 2015-07-25T08:29:43 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/MCIPopen.xreport =================================================================== --- mcip_open/trunk/iseconfig/MCIPopen.xreport (revision 5) +++ mcip_open/trunk/iseconfig/MCIPopen.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2014-04-13T00:05:57 - Address_Provider - 2014-04-13T00:04:28 - D:/Doctorat/Projets VHDL/MCIPopen/iseconfig/MCIPopen.xreport - D:/Doctorat/Projets VHDL/MCIPopen - 2014-04-12T23:47:23 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/Address_Provider.xreport =================================================================== --- mcip_open/trunk/iseconfig/Address_Provider.xreport (revision 5) +++ mcip_open/trunk/iseconfig/Address_Provider.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2014-04-23T23:41:48 - Instruction_Decoder - 2014-04-23T23:41:37 - D:/Doctorat/Projets VHDL/MCIPopen/iseconfig/Address_Provider.xreport - D:/Doctorat/Projets VHDL/MCIPopen\ - 2014-04-23T23:41:48 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/IP_Basic_Core.xreport =================================================================== --- mcip_open/trunk/iseconfig/IP_Basic_Core.xreport (revision 5) +++ mcip_open/trunk/iseconfig/IP_Basic_Core.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2014-04-11T06:56:46 - PORTs - 2014-04-11T06:47:37 - D:/Doctorat/Projets VHDL/MCIPopen/iseconfig/IP_Basic_Core.xreport - D:/Doctorat/Projets VHDL/MCIPopen - 2014-04-11T06:47:00 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/Instruction_Decoder.xreport =================================================================== --- mcip_open/trunk/iseconfig/Instruction_Decoder.xreport (revision 5) +++ mcip_open/trunk/iseconfig/Instruction_Decoder.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2015-07-24T18:28:13 - MCIPopen_mcu_example - 2015-07-24T15:45:13 - D:/Doctorat/Projets VHDL/MCIPopen/iseconfig/Instruction_Decoder.xreport - D:/Doctorat/Projets VHDL/MCIPopen\ - 2015-07-24T18:28:12 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/PORTs.xreport =================================================================== --- mcip_open/trunk/iseconfig/PORTs.xreport (revision 5) +++ mcip_open/trunk/iseconfig/PORTs.xreport (nonexistent) @@ -1,215 +0,0 @@ - - -
- 2014-04-12T22:07:38 - MCIPopen - 2014-04-12T00:18:35 - D:/Doctorat/Projets VHDL/MCIPopen/iseconfig/PORTs.xreport - D:/Doctorat/Projets VHDL/MCIPopen - 2014-04-12T22:07:18 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: mcip_open/trunk/iseconfig/MCIPopen.projectmgr =================================================================== --- mcip_open/trunk/iseconfig/MCIPopen.projectmgr (revision 5) +++ mcip_open/trunk/iseconfig/MCIPopen.projectmgr (nonexistent) @@ -1,129 +0,0 @@ - - - - - - - - - 2 - /IP_Basic_Core - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd/CPU_block - CPU - CPUnit - /IP_Basic_Core - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd/RPW_block - RPW - Behavioral - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/InstructionDecoder - Instruction_Decoder - Decode_Control - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/OperationUnit - Operation_Unit - Behavioral - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/OperationUnit - Operation_Unit - Calcul/ALUnit - ALU - simple - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/PC - Program_Counter - Behavioral - /MCIPopen_mcu_example - Behavioral D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen_mcu_example.vhd/MCIPcore - MCIPopen - mapping - - - MCIPopen_mcu_example - Behavioral (D:/Doctorat/Projets VHDL/MCIPopen/MCIPopen_mcu_example.vhd) - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000029d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000029d0000000100000003000000000000000100000003 - true - MCIPopen_mcu_example - Behavioral (D:/Doctorat/Projets VHDL/MCIPopen/MCIPopen_mcu_example.vhd) - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - - - 1 - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000027d000000040101000100000000000000000000000064ffffffff000000810000000000000004000000aa0000000100000000000000240000000100000000000000660000000100000000000001490000000100000000 - false - Address_Provider.vhd - - - - 1 - - - Use_Pack.vhd - - 8 - 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000000f8000000010001000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Use_Pack.vhd - - 000000ff0000000000000002000000f2000000ae01000000050100000002 - Implementation - - - 1 - Configure Target Device - Design Utilities - Implement Design - User Constraints - - - Synthesize - XST - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000 - false - Synthesize - XST - - - - 2 - /MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd - /tb_PORTs - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_PORTs.vhd - /tb_testOldMCIP - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_testOldMCIP.vhd/uut - IP_Basic_Core - mapping - /tb_testOldMCIP - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_testOldMCIP.vhd/uut - MCIPopen - mapping/CPU_block - CPU - CPUnit - - - tb_PLL - behavior (D:/Doctorat/Projets VHDL/MCIPopen/tb_PLL.vhd) - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000017b000000020000000000000000000000000200000064ffffffff0000008100000003000000020000017b0000000100000003000000000000000100000003 - true - tb_PLL - behavior (D:/Doctorat/Projets VHDL/MCIPopen/tb_PLL.vhd) - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - - - 1 - - - Behavioral Check Syntax - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Behavioral Check Syntax - -

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.