OpenCores
URL https://opencores.org/ocsvn/video_systems/video_systems/trunk

Subversion Repositories video_systems

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/trunk/common/jpeg/sim/rtl_sim/bin/Makefile
19,7 → 19,7
JPEG_SRC_DIR= ../../../rtl/verilog
DCT_SRC_DIR= ../../../../dct/rtl/verilog
QNR_SRC_DIR= ../../../../qnr/rtl/verilog
RLE_SRC_DIR= ../../../../run_length_enc/rtl/verilog
RLE_SRC_DIR= ../../../../run_length_coding/rtl/verilog
 
_TARGETS_= $(JPEG_SRC_DIR)/jpeg_encoder.v \
$(DCT_SRC_DIR)/dct_mac.v \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.