OpenCores
URL https://opencores.org/ocsvn/mb-jpeg/mb-jpeg/trunk

Subversion Repositories mb-jpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 53 to Rev 54
    Reverse comparison

Rev 53 → Rev 54

/trunk/bitstreams/download_XUP2PRO_v0.1.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/bitstreams/download_XUP2PRO_v0.1.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/bitstreams/download_XUP2PRO.cmd =================================================================== --- trunk/bitstreams/download_XUP2PRO.cmd (revision 53) +++ trunk/bitstreams/download_XUP2PRO.cmd (nonexistent) @@ -1,6 +0,0 @@ -setMode -bscan -setCable -p auto -identify -assignfile -p 3 -file download_XUP2PRO_v0.11.bit -program -p 3 -quit Index: trunk/bitstreams/download_XUP2PRO_v0.11.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/bitstreams/download_XUP2PRO_v0.11.bit =================================================================== --- trunk/bitstreams/download_XUP2PRO_v0.11.bit (revision 53) +++ trunk/bitstreams/download_XUP2PRO_v0.11.bit (nonexistent)
trunk/bitstreams/download_XUP2PRO_v0.11.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/system.mhs =================================================================== --- trunk/system.mhs (revision 53) +++ trunk/system.mhs (nonexistent) @@ -1,287 +0,0 @@ -# ############################################################################## -# Created by Base System Builder Wizard for Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -# Wed Nov 01 17:33:15 2006 -# Target Board: Xilinx XUP Virtex-II Pro Development System Rev C -# Family: virtex2p -# Device: xc2vp30 -# Package: ff896 -# Speed Grade: -7 -# Processor: Microblaze -# System clock frequency: 100.000000 MHz -# Debug interface: On-Chip HW Debug Module -# On Chip Memory : 64 KB -# Total Off Chip Memory : 256 MB -# - DDR_SDRAM_32Mx64 Single Rank = 256 MB -# ############################################################################## - - - PARAMETER VERSION = 2.1.0 - - - PORT fpga_0_RS232_Uart_1_RX_pin = fpga_0_RS232_Uart_1_RX, DIR = INPUT - PORT fpga_0_RS232_Uart_1_TX_pin = fpga_0_RS232_Uart_1_TX, DIR = OUTPUT - PORT fpga_0_SysACE_CompactFlash_SysACE_CLK_pin = fpga_0_SysACE_CompactFlash_SysACE_CLK, DIR = INPUT - PORT fpga_0_SysACE_CompactFlash_SysACE_MPA_pin = fpga_0_SysACE_CompactFlash_SysACE_MPA, DIR = OUTPUT, VEC = [6:0] - PORT fpga_0_SysACE_CompactFlash_SysACE_MPD_pin = fpga_0_SysACE_CompactFlash_SysACE_MPD, DIR = INOUT, VEC = [15:0] - PORT fpga_0_SysACE_CompactFlash_SysACE_CEN_pin = fpga_0_SysACE_CompactFlash_SysACE_CEN, DIR = OUTPUT - PORT fpga_0_SysACE_CompactFlash_SysACE_OEN_pin = fpga_0_SysACE_CompactFlash_SysACE_OEN, DIR = OUTPUT - PORT fpga_0_SysACE_CompactFlash_SysACE_WEN_pin = fpga_0_SysACE_CompactFlash_SysACE_WEN, DIR = OUTPUT - PORT fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ, DIR = INPUT - PORT fpga_0_LEDs_4Bit_GPIO_IO_pin = fpga_0_LEDs_4Bit_GPIO_IO, DIR = INOUT, VEC = [0:3] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk, DIR = OUTPUT, VEC = [0:2] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn, DIR = OUTPUT, VEC = [0:2] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr, DIR = OUTPUT, VEC = [0:12] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr, DIR = OUTPUT, VEC = [0:1] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CASn_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CASn, DIR = OUTPUT - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_RASn_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_RASn, DIR = OUTPUT - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_WEn_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_WEn, DIR = OUTPUT - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM, DIR = OUTPUT, VEC = [0:7] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS, DIR = INOUT, VEC = [0:7] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ, DIR = INOUT, VEC = [0:63] - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CKE_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CKE, DIR = OUTPUT - PORT fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CSn_pin = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CSn, DIR = OUTPUT - PORT fpga_0_DDR_CLK_FB = ddr_feedback_s, DIR = INPUT - PORT fpga_0_DDR_CLK_FB_OUT = ddr_clk_feedback_out_s, DIR = OUTPUT - PORT sys_clk_pin = dcm_clk_s, DIR = INPUT, SIGIS = DCMCLK - PORT sys_rst_pin = sys_rst_s, DIR = INPUT - - -BEGIN microblaze - PARAMETER INSTANCE = microblaze_0 - PARAMETER HW_VER = 4.00.a - PARAMETER C_DEBUG_ENABLED = 1 - PARAMETER C_NUMBER_OF_PC_BRK = 2 - PARAMETER C_NUMBER_OF_RD_ADDR_BRK = 1 - PARAMETER C_NUMBER_OF_WR_ADDR_BRK = 1 - BUS_INTERFACE DLMB = dlmb - BUS_INTERFACE ILMB = ilmb - BUS_INTERFACE DOPB = mb_opb - BUS_INTERFACE IOPB = mb_opb - PORT CLK = sys_clk_s - PORT DBG_CAPTURE = DBG_CAPTURE_s - PORT DBG_CLK = DBG_CLK_s - PORT DBG_REG_EN = DBG_REG_EN_s - PORT DBG_TDI = DBG_TDI_s - PORT DBG_TDO = DBG_TDO_s - PORT DBG_UPDATE = DBG_UPDATE_s -END - -BEGIN opb_v20 - PARAMETER INSTANCE = mb_opb - PARAMETER HW_VER = 1.10.c - PARAMETER C_EXT_RESET_HIGH = 0 - PORT SYS_Rst = sys_rst_s - PORT OPB_Clk = sys_clk_s -END - -BEGIN opb_mdm - PARAMETER INSTANCE = debug_module - PARAMETER HW_VER = 2.00.a - PARAMETER C_MB_DBG_PORTS = 1 - PARAMETER C_USE_UART = 1 - PARAMETER C_UART_WIDTH = 8 - PARAMETER C_BASEADDR = 0x41400000 - PARAMETER C_HIGHADDR = 0x4140ffff - BUS_INTERFACE SOPB = mb_opb - PORT OPB_Clk = sys_clk_s - PORT DBG_CAPTURE_0 = DBG_CAPTURE_s - PORT DBG_CLK_0 = DBG_CLK_s - PORT DBG_REG_EN_0 = DBG_REG_EN_s - PORT DBG_TDI_0 = DBG_TDI_s - PORT DBG_TDO_0 = DBG_TDO_s - PORT DBG_UPDATE_0 = DBG_UPDATE_s -END - -BEGIN lmb_v10 - PARAMETER INSTANCE = ilmb - PARAMETER HW_VER = 1.00.a - PARAMETER C_EXT_RESET_HIGH = 0 - PORT SYS_Rst = sys_rst_s - PORT LMB_Clk = sys_clk_s -END - -BEGIN lmb_v10 - PARAMETER INSTANCE = dlmb - PARAMETER HW_VER = 1.00.a - PARAMETER C_EXT_RESET_HIGH = 0 - PORT SYS_Rst = sys_rst_s - PORT LMB_Clk = sys_clk_s -END - -BEGIN lmb_bram_if_cntlr - PARAMETER INSTANCE = dlmb_cntlr - PARAMETER HW_VER = 1.00.b - PARAMETER C_BASEADDR = 0x00000000 - PARAMETER C_HIGHADDR = 0x0000ffff - BUS_INTERFACE SLMB = dlmb - BUS_INTERFACE BRAM_PORT = dlmb_port -END - -BEGIN lmb_bram_if_cntlr - PARAMETER INSTANCE = ilmb_cntlr - PARAMETER HW_VER = 1.00.b - PARAMETER C_BASEADDR = 0x00000000 - PARAMETER C_HIGHADDR = 0x0000ffff - BUS_INTERFACE SLMB = ilmb - BUS_INTERFACE BRAM_PORT = ilmb_port -END - -BEGIN bram_block - PARAMETER INSTANCE = lmb_bram - PARAMETER HW_VER = 1.00.a - BUS_INTERFACE PORTA = ilmb_port - BUS_INTERFACE PORTB = dlmb_port -END - -BEGIN opb_uartlite - PARAMETER INSTANCE = RS232_Uart_1 - PARAMETER HW_VER = 1.00.b - PARAMETER C_BAUDRATE = 9600 - PARAMETER C_DATA_BITS = 8 - PARAMETER C_ODD_PARITY = 0 - PARAMETER C_USE_PARITY = 0 - PARAMETER C_CLK_FREQ = 100000000 - PARAMETER C_BASEADDR = 0x40600000 - PARAMETER C_HIGHADDR = 0x4060ffff - BUS_INTERFACE SOPB = mb_opb - PORT OPB_Clk = sys_clk_s - PORT RX = fpga_0_RS232_Uart_1_RX - PORT TX = fpga_0_RS232_Uart_1_TX -END - -BEGIN opb_sysace - PARAMETER INSTANCE = SysACE_CompactFlash - PARAMETER HW_VER = 1.00.c - PARAMETER C_MEM_WIDTH = 16 - PARAMETER C_BASEADDR = 0x41800000 - PARAMETER C_HIGHADDR = 0x4180ffff - BUS_INTERFACE SOPB = mb_opb - PORT OPB_Clk = sys_clk_s - PORT SysACE_CLK = fpga_0_SysACE_CompactFlash_SysACE_CLK - PORT SysACE_MPA = fpga_0_SysACE_CompactFlash_SysACE_MPA - PORT SysACE_MPD = fpga_0_SysACE_CompactFlash_SysACE_MPD - PORT SysACE_CEN = fpga_0_SysACE_CompactFlash_SysACE_CEN - PORT SysACE_OEN = fpga_0_SysACE_CompactFlash_SysACE_OEN - PORT SysACE_WEN = fpga_0_SysACE_CompactFlash_SysACE_WEN - PORT SysACE_MPIRQ = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ -END - -BEGIN opb_gpio - PARAMETER INSTANCE = LEDs_4Bit - PARAMETER HW_VER = 3.01.b - PARAMETER C_GPIO_WIDTH = 4 - PARAMETER C_IS_DUAL = 0 - PARAMETER C_IS_BIDIR = 0 - PARAMETER C_ALL_INPUTS = 0 - PARAMETER C_BASEADDR = 0x40000000 - PARAMETER C_HIGHADDR = 0x4000ffff - BUS_INTERFACE SOPB = mb_opb - PORT OPB_Clk = sys_clk_s - PORT GPIO_IO = fpga_0_LEDs_4Bit_GPIO_IO -END - -BEGIN opb_ddr - PARAMETER INSTANCE = DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - PARAMETER HW_VER = 2.00.b - PARAMETER C_OPB_CLK_PERIOD_PS = 10000 - PARAMETER C_NUM_BANKS_MEM = 1 - PARAMETER C_NUM_CLK_PAIRS = 4 - PARAMETER C_REG_DIMM = 0 - PARAMETER C_DDR_TMRD = 20000 - PARAMETER C_DDR_TWR = 20000 - PARAMETER C_DDR_TRAS = 60000 - PARAMETER C_DDR_TRC = 90000 - PARAMETER C_DDR_TRFC = 100000 - PARAMETER C_DDR_TRCD = 30000 - PARAMETER C_DDR_TRRD = 20000 - PARAMETER C_DDR_TRP = 30000 - PARAMETER C_DDR_TREFC = 70300000 - PARAMETER C_DDR_AWIDTH = 13 - PARAMETER C_DDR_COL_AWIDTH = 10 - PARAMETER C_DDR_BANK_AWIDTH = 2 - PARAMETER C_DDR_DWIDTH = 64 - PARAMETER C_MEM0_BASEADDR = 0x70000000 - PARAMETER C_MEM0_HIGHADDR = 0x7fffffff - BUS_INTERFACE SOPB = mb_opb - PORT OPB_Clk = sys_clk_s - PORT DDR_Addr = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr - PORT DDR_BankAddr = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr - PORT DDR_CASn = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CASn - PORT DDR_CKE = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CKE - PORT DDR_CSn = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CSn - PORT DDR_RASn = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_RASn - PORT DDR_WEn = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_WEn - PORT DDR_DM = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM - PORT DDR_DQS = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS - PORT DDR_DQ = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ - PORT DDR_Clk = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk & ddr_clk_feedback_out_s - PORT DDR_Clkn = fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn & 0b0 - PORT Device_Clk90_in = clk_90_s - PORT Device_Clk90_in_n = clk_90_n_s - PORT Device_Clk = sys_clk_s - PORT Device_Clk_n = sys_clk_n_s - PORT DDR_Clk90_in = ddr_clk_90_s - PORT DDR_Clk90_in_n = ddr_clk_90_n_s -END - -BEGIN util_vector_logic - PARAMETER INSTANCE = sysclk_inv - PARAMETER HW_VER = 1.00.a - PARAMETER C_SIZE = 1 - PARAMETER C_OPERATION = not - PORT Op1 = sys_clk_s - PORT Res = sys_clk_n_s -END - -BEGIN util_vector_logic - PARAMETER INSTANCE = clk90_inv - PARAMETER HW_VER = 1.00.a - PARAMETER C_SIZE = 1 - PARAMETER C_OPERATION = not - PORT Op1 = clk_90_s - PORT Res = clk_90_n_s -END - -BEGIN util_vector_logic - PARAMETER INSTANCE = ddr_clk90_inv - PARAMETER HW_VER = 1.00.a - PARAMETER C_SIZE = 1 - PARAMETER C_OPERATION = not - PORT Op1 = ddr_clk_90_s - PORT Res = ddr_clk_90_n_s -END - -BEGIN dcm_module - PARAMETER INSTANCE = dcm_0 - PARAMETER HW_VER = 1.00.a - PARAMETER C_CLK0_BUF = TRUE - PARAMETER C_CLK90_BUF = TRUE - PARAMETER C_CLKIN_PERIOD = 10.000000 - PARAMETER C_CLK_FEEDBACK = 1X - PARAMETER C_EXT_RESET_HIGH = 1 - PORT CLKIN = dcm_clk_s - PORT CLK0 = sys_clk_s - PORT CLK90 = clk_90_s - PORT CLKFB = sys_clk_s - PORT RST = net_gnd - PORT LOCKED = dcm_0_lock -END - -BEGIN dcm_module - PARAMETER INSTANCE = dcm_1 - PARAMETER HW_VER = 1.00.a - PARAMETER C_CLK0_BUF = TRUE - PARAMETER C_CLK90_BUF = TRUE - PARAMETER C_CLKIN_PERIOD = 10.000000 - PARAMETER C_CLK_FEEDBACK = 1X - PARAMETER C_PHASE_SHIFT = 60 - PARAMETER C_CLKOUT_PHASE_SHIFT = FIXED - PARAMETER C_EXT_RESET_HIGH = 0 - PORT CLKIN = ddr_feedback_s - PORT CLK90 = ddr_clk_90_s - PORT CLK0 = dcm_1_FB - PORT CLKFB = dcm_1_FB - PORT RST = dcm_0_lock - PORT LOCKED = dcm_1_lock -END - Index: trunk/TestApp_Memory/src/TestApp_Memory_LinkScr =================================================================== --- trunk/TestApp_Memory/src/TestApp_Memory_LinkScr (revision 53) +++ trunk/TestApp_Memory/src/TestApp_Memory_LinkScr (nonexistent) @@ -1,99 +0,0 @@ - -_STACK_SIZE = DEFINED(_STACK_SIZE) ? _STACK_SIZE : 0x1000; - -/* Define all the memory regions in the system */ -MEMORY -{ -ilmb_cntlr : ORIGIN = 0x00000000, LENGTH = 0xffff -} - -/* -* Specify the default entry point to the program -*/ -ENTRY(_start) - -/* -* Define the sections, and where they are mapped in memory -*/ -SECTIONS -{ - - .text : { - _ftext = .; - *(.text) - *(.text.*) - *(.gnu.linkonce.t*) - _etext = .; - } > ilmb_cntlr - - .rodata : { - _frodata = .; - *(.rodata) - *(.rodata.*) - *(.gnu.linkonce.r*) - _erodata = .; - } > ilmb_cntlr - - /* Alignments by 8 to ensure that _SDA2_BASE_ on a word boundary */ - .sdata2 : { - . = ALIGN(8); - _sdata2_start = .; - *(.sdata2) - . = ALIGN(8); - _sdata2_end = .; - } > ilmb_cntlr - _sdata2_size = _sdata2_end - _sdata2_start; - PROVIDE (_SDA2_BASE_ = _sdata2_start + (_sdata2_size / 2 )); - - .data : { - . = ALIGN(4); - _fdata = .; - *(.data) - *(.data.*) - *(.gnu.linkonce.d*) - _edata = .; - } > ilmb_cntlr - - .eh_frame : { - *(.eh_frame) - } > ilmb_cntlr - - /* Alignments by 8 to ensure that _SDA_BASE_ on a word boundary */ - /* Note that .sdata and .sbss must be contiguous */ - - .sdata : { - . = ALIGN(8); - _ssro = .; - *(.sdata) - } > ilmb_cntlr - .sbss : { - . = ALIGN(4); - PROVIDE (__sbss_start = .); - *(.sbss) - . = ALIGN(8); - _essro = .; - } > ilmb_cntlr - PROVIDE (__sbss_end = _essro); - _ssro_size = _essro - _ssro; - PROVIDE (_SDA_BASE_ = _ssro + (_ssro_size / 2 )); - - .bss : { - . = ALIGN(4); - PROVIDE (__bss_start = .); - *(.bss) - *(COMMON) - . = ALIGN(4); - PROVIDE (__bss_end = .); - } > ilmb_cntlr - - .bss_stack : { - . = ALIGN(8); - _heap = .; - _heap_start = _heap; - . += _STACK_SIZE; - . = ALIGN(8); - _stack = .; - __stack = _stack; - } > ilmb_cntlr -} - Index: trunk/system.xmp =================================================================== --- trunk/system.xmp (revision 53) +++ trunk/system.xmp (nonexistent) @@ -1,50 +0,0 @@ -#Please do not modify this file by hand -XmpVersion: 7.1 -IntStyle: default -ModuleSearchPath: D:/XilinxXUP/lib/ -MHS File: system.mhs -MSS File: system.mss -NPL File: projnav/system.ise -Architecture: virtex2p -Device: xc2vp30 -Package: ff896 -SpeedGrade: -7 -UseProjNav: 0 -AddToNPL: 0 -PNImportBitFile: -PNImportBmmFile: -UserCmd1: -UserCmd1Type: 0 -UserCmd2: -UserCmd2Type: 0 -SynProj: xst -ReloadPbde: 0 -MainMhsEditor: 0 -InsertNoPads: 0 -HdlLang: VHDL -Simulator: mti -SimModel: BEHAVIORAL -SimXLib: -SimEdkLib: -MixLangSim: 1 -UcfFile: data/system.ucf -Processor: microblaze_0 -BootLoop: 0 -XmdStub: 0 -SwProj: TestApp_Memory -Processor: microblaze_0 -Executable: TestApp_Memory/executable.elf -Source: TestApp_Memory/src/TestApp_Memory.c -DefaultInit: EXECUTABLE -InitBram: 1 -Active: 1 -CompilerOptLevel: 2 -GlobPtrOpt: 0 -DebugSym: 1 -AsmOpt: -LinkOpt: -ProgStart: -StackSize: -HeapSize: -LinkerScript: TestApp_Memory/src/TestApp_Memory_LinkScr -ProgCCFlags: Index: trunk/etc/download.cmd =================================================================== --- trunk/etc/download.cmd (revision 53) +++ trunk/etc/download.cmd (nonexistent) @@ -1,6 +0,0 @@ -setMode -bscan -setCable -p auto -identify -assignfile -p 3 -file implementation/download.bit -program -p 3 -quit Index: trunk/etc/bitgen_spartan3.ut =================================================================== --- trunk/etc/bitgen_spartan3.ut (revision 53) +++ trunk/etc/bitgen_spartan3.ut (nonexistent) @@ -1,15 +0,0 @@ --g CclkPin:PULLUP --g TdoPin:PULLNONE --g M1Pin:PULLDOWN --g DonePin:PULLUP --g StartUpClk:JTAGCLK --g M0Pin:PULLUP --g M2Pin:PULLUP --g ProgPin:PULLUP --g TckPin:PULLUP --g TdiPin:PULLUP --g TmsPin:PULLUP --g LCK_cycle:NoWait --g Security:NONE --m --g Persist:No Index: trunk/etc/bitgen.ut =================================================================== --- trunk/etc/bitgen.ut (revision 53) +++ trunk/etc/bitgen.ut (nonexistent) @@ -1,21 +0,0 @@ --g ConfigRate:4 --g CclkPin:PULLUP --g TdoPin:PULLNONE --g M1Pin:PULLDOWN --g DonePin:PULLUP --g DriveDone:No --g StartUpClk:JTAGCLK --g DONE_cycle:4 --g GTS_cycle:5 --g M0Pin:PULLUP --g M2Pin:PULLUP --g ProgPin:PULLUP --g TckPin:PULLUP --g TdiPin:PULLUP --g TmsPin:PULLUP --g DonePipe:No --g GWE_cycle:6 --g LCK_cycle:NoWait --g Security:NONE --m --g Persist:No Index: trunk/etc/fast_runtime.opt =================================================================== --- trunk/etc/fast_runtime.opt (revision 53) +++ trunk/etc/fast_runtime.opt (nonexistent) @@ -1,80 +0,0 @@ -FLOWTYPE = FPGA; -############################################################### -## Filename: fast_runtime.opt -## -## Option File For Xilinx FPGA Implementation Flow for Fast -## Runtime. -## -## Version: 4.1.1 -############################################################### -# -# Options for Translator -# -# Type "ngdbuild -h" for a detailed list of ngdbuild command line options -# -Program ngdbuild --p ; # Partname to use - picked from xflow commandline --nt timestamp; # NGO File generation. Regenerate only when - # source netlist is newer than existing - # NGO file (default) --bm .bmm # Block RAM memory map file -; # User design - pick from xflow command line --uc .ucf; # ucf constraints -.ngd; # Name of NGD file. Filebase same as design filebase -End Program ngdbuild - -# -# Options for Mapper -# -# Type "map -h " for a detailed list of map command line options -# -Program map --o _map.ncd; # Output Mapped ncd file --pr b; # Pack internal FF/latches into IOBs -#-fp .mfp; # Floorplan file -.ngd; # Input NGD file -.pcf; # Physical constraints file -END Program map - -# -# Options for Post Map Trace -# -# Type "trce -h" for a detailed list of trce command line options -# -Program post_map_trce --e 3; # Produce error report limited to 3 items per constraint -#-o _map.twr; # Output trace report file --xml _map.twx; # Output XML version of the timing report -#-tsi _map.tsi; # Produce Timing Specification Interaction report -_map.ncd; # Input mapped ncd -.pcf; # Physical constraints file -END Program post_map_trce - -# -# Options for Place and Route -# -# Type "par -h" for a detailed list of par command line options -# -Program par --w; # Overwrite existing placed and routed ncd --ol high; # Overall effort level -_map.ncd; # Input mapped NCD file -.ncd; # Output placed and routed NCD -.pcf; # Input physical constraints file -END Program par - -# -# Options for Post Par Trace -# -# Type "trce -h" for a detailed list of trce command line options -# -Program post_par_trce --e 3; # Produce error report limited to 3 items per constraint -#-o .twr; # Output trace report file --xml .twx; # Output XML version of the timing report -#-tsi .tsi; # Produce Timing Specification Interaction report -.ncd; # Input placed and routed ncd -.pcf; # Physical constraints file -END Program post_par_trce - - Index: trunk/system.bsb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/system.bsb =================================================================== --- trunk/system.bsb (revision 53) +++ trunk/system.bsb (nonexistent)
trunk/system.bsb Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/data/system.ucf =================================================================== --- trunk/data/system.ucf (revision 53) +++ trunk/data/system.ucf (nonexistent) @@ -1,363 +0,0 @@ -############################################################################ -## This system.ucf file is generated by Base System Builder based on the -## settings in the selected Xilinx Board Definition file. Please add other -## user constraints to this file based on customer design specifications. -############################################################################ - -Net sys_clk_pin LOC=AJ15; -Net sys_clk_pin IOSTANDARD = LVCMOS25; -Net sys_rst_pin LOC=AH5; -Net sys_rst_pin IOSTANDARD = LVTTL; -## System level constraints -Net sys_clk_pin TNM_NET = sys_clk_pin; -TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 10000 ps; -Net sys_rst_pin TIG; - -## FPGA pin constraints -Net fpga_0_RS232_Uart_1_RX_pin LOC=AJ8; -Net fpga_0_RS232_Uart_1_RX_pin IOSTANDARD = LVCMOS25; -Net fpga_0_RS232_Uart_1_TX_pin LOC=AE7; -Net fpga_0_RS232_Uart_1_TX_pin IOSTANDARD = LVCMOS25; -Net fpga_0_RS232_Uart_1_TX_pin SLEW = SLOW; -Net fpga_0_RS232_Uart_1_TX_pin DRIVE = 12; -Net fpga_0_SysACE_CompactFlash_SysACE_CLK_pin LOC=AH15; -Net fpga_0_SysACE_CompactFlash_SysACE_CLK_pin PERIOD = 30000 ps; -Net fpga_0_SysACE_CompactFlash_SysACE_CLK_pin IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<0> LOC=AF21; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<0> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<0> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<0> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<1> LOC=AG21; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<1> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<1> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<1> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<2> LOC=AC19; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<2> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<2> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<2> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<3> LOC=AD19; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<3> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<3> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<3> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<4> LOC=AE22; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<4> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<4> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<4> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<5> LOC=AE21; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<5> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<5> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<5> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<6> LOC=AH22; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<6> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<6> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<6> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<0> LOC=AE15; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<0> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<0> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<0> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<1> LOC=AD15; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<1> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<1> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<1> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<2> LOC=AG14; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<2> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<2> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<2> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> LOC=AF14; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<4> LOC=AE14; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<4> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<4> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<4> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<5> LOC=AD14; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<5> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<5> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<5> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<6> LOC=AC15; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<6> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<6> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<6> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<7> LOC=AB15; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<7> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<7> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<7> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<8> LOC=AJ9; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<8> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<8> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<8> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<9> LOC=AH9; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<9> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<9> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<9> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<10> LOC=AE10; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<10> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<10> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<10> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<11> LOC=AE9; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<11> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<11> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<11> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<12> LOC=AD12; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<12> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<12> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<12> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<13> LOC=AC12; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<13> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<13> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<13> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<14> LOC=AG10; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<14> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<14> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<14> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<15> LOC=AF10; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<15> IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<15> SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<15> DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_CEN_pin LOC=AB16; -Net fpga_0_SysACE_CompactFlash_SysACE_CEN_pin IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_CEN_pin SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_CEN_pin DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_OEN_pin LOC=AD17; -Net fpga_0_SysACE_CompactFlash_SysACE_OEN_pin IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_OEN_pin SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_OEN_pin DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_WEN_pin LOC=AC16; -Net fpga_0_SysACE_CompactFlash_SysACE_WEN_pin IOSTANDARD = LVCMOS25; -Net fpga_0_SysACE_CompactFlash_SysACE_WEN_pin SLEW = SLOW; -Net fpga_0_SysACE_CompactFlash_SysACE_WEN_pin DRIVE = 8; -Net fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin LOC=AD16; -Net fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin IOSTANDARD = LVCMOS25; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<0> LOC=AC4; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<0> IOSTANDARD = LVTTL; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<0> SLEW = SLOW; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<0> DRIVE = 12; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<1> LOC=AC3; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<1> IOSTANDARD = LVTTL; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<1> SLEW = SLOW; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<1> DRIVE = 12; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<2> LOC=AA6; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<2> IOSTANDARD = LVTTL; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<2> SLEW = SLOW; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<2> DRIVE = 12; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<3> LOC=AA5; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<3> IOSTANDARD = LVTTL; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<3> SLEW = SLOW; -Net fpga_0_LEDs_4Bit_GPIO_IO_pin<3> DRIVE = 12; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<12> LOC=M25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<12> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<11> LOC=N25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<11> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<10> LOC=L26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<10> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<9> LOC=M29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<9> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<8> LOC=K30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<8> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<7> LOC=G25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<7> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<6> LOC=G26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<6> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<5> LOC=D26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<5> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<4> LOC=J24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<4> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<3> LOC=K24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<3> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<2> LOC=F28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<1> LOC=F30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<0> LOC=M24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Addr_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr_pin<1> LOC=M26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr_pin<0> LOC=K26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_BankAddr_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CASn_pin LOC=L27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CASn_pin IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CKE_pin LOC=R26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CKE_pin IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CSn_pin LOC=R24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_CSn_pin IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_RASn_pin LOC=N29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_RASn_pin IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_WEn_pin LOC=N26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_WEn_pin IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<7> LOC=U26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<7> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<6> LOC=V29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<6> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<5> LOC=W29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<5> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<4> LOC=T22; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<4> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<3> LOC=W28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<3> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<2> LOC=W27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<1> LOC=W26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<0> LOC=W25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DM_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> LOC=E30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<6> LOC=J29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<6> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<5> LOC=M30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<5> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<4> LOC=P29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<4> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<3> LOC=V23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<3> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<2> LOC=AA25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<1> LOC=AC25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<0> LOC=AH26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<63> LOC=C27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<63> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<62> LOC=D28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<62> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<61> LOC=D29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<61> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<60> LOC=D30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<60> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<59> LOC=H25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<59> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<58> LOC=H26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<58> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<57> LOC=E27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<57> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<56> LOC=E28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<56> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<55> LOC=J26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<55> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<54> LOC=G27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<54> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<53> LOC=G28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<53> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<52> LOC=G30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<52> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<51> LOC=L23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<51> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<50> LOC=L24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<50> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<49> LOC=H27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<49> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<48> LOC=H28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<48> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<47> LOC=J27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<47> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<46> LOC=J28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<46> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<45> LOC=K29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<45> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<44> LOC=L29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<44> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<43> LOC=N23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<43> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<42> LOC=N24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<42> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<41> LOC=K27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<41> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<40> LOC=K28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<40> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<39> LOC=R22; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<39> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<38> LOC=M27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<38> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<37> LOC=M28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<37> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<36> LOC=P30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<36> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<35> LOC=P23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<35> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<34> LOC=P24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<34> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<33> LOC=N27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<33> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<32> LOC=N28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<32> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<31> LOC=V27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<31> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<30> LOC=Y30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<30> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<29> LOC=U24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<29> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<28> LOC=U23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<28> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<27> LOC=V26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<27> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<26> LOC=V25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<26> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<25> LOC=Y29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<25> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<24> LOC=AA29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<24> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<23> LOC=Y26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<23> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<22> LOC=AA28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<22> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<21> LOC=AA27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<21> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<20> LOC=W24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<20> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<19> LOC=W23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<19> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<18> LOC=AB28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<18> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<17> LOC=AB27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<17> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<16> LOC=AC29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<16> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<15> LOC=AB25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<15> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<14> LOC=AE29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<14> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<13> LOC=AA24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<13> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<12> LOC=AA23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<12> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<11> LOC=AD28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<11> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<10> LOC=AD27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<10> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<9> LOC=AF30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<9> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<8> LOC=AF29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<8> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<7> LOC=AF25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<7> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<6> LOC=AG30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<6> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<5> LOC=AG29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<5> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<4> LOC=AD26; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<4> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<3> LOC=AD25; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<3> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<2> LOC=AG28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<1> LOC=AH27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<0> LOC=AH29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQ_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<2> LOC=AC27; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<1> LOC=AD29; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<0> LOC=AB23; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clk_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<2> LOC=AC28; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<2> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<1> LOC=AD30; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<1> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<0> LOC=AB24; -Net fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_Clkn_pin<0> IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_CLK_FB LOC=C16; -Net fpga_0_DDR_CLK_FB IOSTANDARD = SSTL2_II; -Net fpga_0_DDR_CLK_FB_OUT LOC=G23; -Net fpga_0_DDR_CLK_FB_OUT IOSTANDARD = SSTL2_II; Index: trunk/system.log =================================================================== --- trunk/system.log (revision 53) +++ trunk/system.log (nonexistent) @@ -1,6050 +0,0 @@ -Xilinx Platform Studio (XPS) -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 - -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Created pcores directory -Copied file bitgen.ut from $XILINX_EDK/data/xflow directory to etc directory -Copied file bitgen_spartan3.ut from $XILINX_EDK/data directory to etc directory -Copied file fast_runtime.opt from $XILINX_EDK/data/xflow directory to etc directory -WARNING:MDT - Created an empty D:\mb-jpeg\data\system.ucf. If your design needs any constraints, please make changes to this UCF file. -Project Opened. -No changes to be saved in XMP file -Xilinx Platform Studio (XPS) -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 - -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -At Local date and time: Wed Nov 01 17:45:05 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make bits; exit;" Started... -**************************************************** -Creating system netlist for hardware specification.. -**************************************************** -platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst system.mhs - -Release Xilinx EDK 7.1.2 - platgen EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst -system.mhs - -Parse system.mhs ... - -Read MPD definitions ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x30000000-0x3fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:75 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:101 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:109 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x50000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x50000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... - -Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... -INFO: The DDR_256MB_32MX64_rank1_row13_col10_cl2_5 core has constraints automatically generated by XPS in implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ucf. -It can be overridden by constraints placed in the system.ucf file. - - -Modify defaults ... - -Processing licensed instances ... -Completion time: 0.00 seconds - -Creating hardware output directories ... - -Managing hardware (BBD-specified) netlist files ... - -Managing cache ... - -Elaborating instances ... -bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:135 - elaborating IP - -Writing HDL for elaborated instances ... - -Inserting wrapper level ... -Completion time: 3.00 seconds - -Constructing platform-level signal connectivity ... -Completion time: 4.00 seconds - -Writing (top-level) BMM ... -Writing BMM - D:\mb-jpeg\implementation\system.bmm - -Writing (top-level and wrappers) HDL ... - -Generating synthesis project file ... - -Running XST synthesis ... -INFO:MDT - The following instances are synthesized with XST. The MPD option - IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST - synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. -microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:55 - Running XST -synthesis -Process Terminated. -Done. -WARNING:Portability:111 - Message file "MDT.msg" wasn't found. - -Saving MSS changes, if any. - -Loading Project File.. -At Local date and time: Wed Nov 01 17:47:15 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make bits; exit;" Started... -**************************************************** -Creating system netlist for hardware specification.. -**************************************************** -platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst system.mhs - -Release Xilinx EDK 7.1.2 - platgen EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst -system.mhs - -Parse system.mhs ... - -Read MPD definitions ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - - -Performing System level DRCs on properties... - -Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... -INFO: The DDR_256MB_32MX64_rank1_row13_col10_cl2_5 core has constraints automatically generated by XPS in implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ucf. -It can be overridden by constraints placed in the system.ucf file. - - -Modify defaults ... - -Processing licensed instances ... -Completion time: 0.00 seconds - -Creating hardware output directories ... - -Managing hardware (BBD-specified) netlist files ... - -Managing cache ... - -Elaborating instances ... -bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:129 - elaborating IP - -Writing HDL for elaborated instances ... - -Inserting wrapper level ... -Completion time: 3.00 seconds - -Constructing platform-level signal connectivity ... -Completion time: 4.00 seconds - -Writing (top-level) BMM ... -Writing BMM - D:\mb-jpeg\implementation\system.bmm - -Writing (top-level and wrappers) HDL ... - -Generating synthesis project file ... - -Running XST synthesis ... -INFO:MDT - The following instances are synthesized with XST. The MPD option - IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST - synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. -microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:49 - Running XST -synthesis -mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:69 - Running XST synthesis -debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:77 - Running XST -synthesis -ilmb_wrapper (ilmb) - D:\mb-jpeg\system.mhs:95 - Running XST synthesis -dlmb_wrapper (dlmb) - D:\mb-jpeg\system.mhs:103 - Running XST synthesis -dlmb_cntlr_wrapper (dlmb_cntlr) - D:\mb-jpeg\system.mhs:111 - Running XST -synthesis -ilmb_cntlr_wrapper (ilmb_cntlr) - D:\mb-jpeg\system.mhs:120 - Running XST -synthesis -lmb_bram_wrapper (lmb_bram) - D:\mb-jpeg\system.mhs:129 - Running XST synthesis -rs232_uart_1_wrapper (rs232_uart_1) - D:\mb-jpeg\system.mhs:136 - Running XST -synthesis -sysace_compactflash_wrapper (sysace_compactflash) - D:\mb-jpeg\system.mhs:152 - -Running XST synthesis -leds_4bit_wrapper (leds_4bit) - D:\mb-jpeg\system.mhs:169 - Running XST -synthesis -ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper -(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:183 - Running -XST synthesis -sysclk_inv_wrapper (sysclk_inv) - D:\mb-jpeg\system.mhs:227 - Running XST -synthesis -clk90_inv_wrapper (clk90_inv) - D:\mb-jpeg\system.mhs:236 - Running XST -synthesis -ddr_clk90_inv_wrapper (ddr_clk90_inv) - D:\mb-jpeg\system.mhs:245 - Running XST -synthesis -dcm_0_wrapper (dcm_0) - D:\mb-jpeg\system.mhs:254 - Running XST synthesis -dcm_1_wrapper (dcm_1) - D:\mb-jpeg\system.mhs:270 - Running XST synthesis - -Running NGCBUILD ... -ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper -(ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - D:\mb-jpeg\system.mhs:183 - Running -NGCBUILD - -Rebuilding cache ... -Total run time: 301.00 seconds -Running synthesis... -bash -c "cd synthesis; ./synthesis.sh; cd .." -WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 17 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -Release 7.1.02i - xst H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. ---> - -TABLE OF CONTENTS - 1) Synthesis Options Summary - 2) HDL Compilation - 3) HDL Analysis - 4) HDL Synthesis - 5) Advanced HDL Synthesis - 5.1) HDL Synthesis Report - 6) Low Level Synthesis - 7) Final Report - 7.1) Device utilization summary - 7.2) TIMING REPORT - - -========================================================================= -* Synthesis Options Summary * -========================================================================= ----- Source Parameters -Input Format : MIXED -Input File Name : "system_xst.prj" - ----- Target Parameters -Target Device : xc2vp30ff896-7 -Output File Name : "../implementation/system.ngc" - ----- Source Options -Top Module Name : system - ----- Target Options -Add IO Buffers : NO - ----- General Options -Optimization Goal : speed -RTL Output : YES -Hierarchy Separator : / - -========================================================================= - -WARNING:Xst:29 - Optimization Effort not specified -The following parameters have been added: -Optimization Effort : 1 - -========================================================================= - -========================================================================= -* HDL Compilation * -========================================================================= -Compiling vhdl file "d:/mb-jpeg/synthesis/../hdl/system.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. - -========================================================================= -* HDL Analysis * -========================================================================= -Analyzing Entity (Architecture ). -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1521: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1527: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1533: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1539: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1545: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1551: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1557: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1563: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1569: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1575: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1581: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1589: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1597: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1605: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1613: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1621: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1629: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1637: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1645: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1653: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1661: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1669: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1677: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1685: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1693: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1701: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1709: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1715: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1721: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1727: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1733: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1741: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1749: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1757: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1765: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1771: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1777: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1783: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1789: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1795: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1801: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1807: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1813: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1819: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1825: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1831: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1837: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1843: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1849: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1855: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1861: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1867: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1873: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1879: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1885: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1891: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1897: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1903: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1909: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1915: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1921: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1927: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1933: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1939: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1945: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1951: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1957: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1965: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1973: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1981: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1989: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 1997: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2005: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2013: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2021: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2029: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2037: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2045: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2053: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2061: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2069: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2077: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2085: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2093: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2101: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2109: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2117: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2125: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2133: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2141: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2149: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2157: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2165: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2173: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2181: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2189: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2197: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2205: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2213: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2221: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2229: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2237: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2245: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2253: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2261: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2269: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2277: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2285: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2293: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2301: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2309: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2317: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2325: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2333: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2341: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2349: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2357: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2365: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2373: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2381: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2389: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2397: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2405: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2413: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2421: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2429: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2437: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2445: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2453: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2461: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2469: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2477: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2485: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2493: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2501: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2509: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2517: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2525: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2533: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2539: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2545: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2551: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2557: Generating a Black Box for component . -WARNING:Xst:766 - "d:/mb-jpeg/synthesis/../hdl/system.vhd" line 2563: Generating a Black Box for component . -Entity analyzed. Unit generated. - - -========================================================================= -* HDL Synthesis * -========================================================================= - -Synthesizing Unit . - Related source file is "d:/mb-jpeg/synthesis/../hdl/system.vhd". -WARNING:Xst:646 - Signal > is assigned but never used. -WARNING:Xst:646 - Signal > is assigned but never used. -Unit synthesized. - - -========================================================================= -* Advanced HDL Synthesis * -========================================================================= - -Advanced RAM inference ... -Advanced multiplier inference ... -Advanced Registered AddSub inference ... -Dynamic shift register inference ... - -========================================================================= -HDL Synthesis Report - -Found no macro -========================================================================= - -========================================================================= -* Low Level Synthesis * -========================================================================= -Loading device for application Rf_Device from file '2vp30.nph' in environment c:/Xilinx. - -Optimizing unit ... - -Mapping all equations... -Building and optimizing final netlist ... - -========================================================================= -* Final Report * -========================================================================= -Final Results -RTL Top Level Output File Name : ../implementation/system.ngr -Top Level Output File Name : ../implementation/system.ngc -Output Format : ngc -Optimization Goal : speed -Keep Hierarchy : no - -Design Statistics -# IOs : 144 - -Cell Usage : -# BELS : 2 -# GND : 1 -# VCC : 1 -# IO Buffers : 144 -# IBUF : 5 -# IBUFG : 1 -# IOBUF : 92 -# OBUF : 46 -# Others : 17 -# clk90_inv_wrapper : 1 -# dcm_0_wrapper : 1 -# dcm_1_wrapper : 1 -# ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper: 1 -# ddr_clk90_inv_wrapper : 1 -# debug_module_wrapper : 1 -# dlmb_cntlr_wrapper : 1 -# dlmb_wrapper : 1 -# ilmb_cntlr_wrapper : 1 -# ilmb_wrapper : 1 -# leds_4bit_wrapper : 1 -# lmb_bram_wrapper : 1 -# mb_opb_wrapper : 1 -# microblaze_0_wrapper : 1 -# rs232_uart_1_wrapper : 1 -# sysace_compactflash_wrapper : 1 -# sysclk_inv_wrapper : 1 -========================================================================= - -Device utilization summary: ---------------------------- - -Selected Device : 2vp30ff896-7 - - Number of bonded IOBs: 144 out of 556 25% - -========================================================================= -TIMING REPORT - -NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. - FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT - GENERATED AFTER PLACE-and-ROUTE. - -Clock Information: ------------------- -No clock signals found in this design - -Timing Summary: ---------------- -Speed Grade: -7 - - Minimum period: No path found - Minimum input arrival time before clock: No path found - Maximum output required time after clock: No path found - Maximum combinational path delay: 2.924ns - -Timing Detail: --------------- -All values displayed in nanoseconds (ns) - -========================================================================= -Timing constraint: Default path analysis - Total number of paths / destination ports: 1715 / 1623 -------------------------------------------------------------------------- -Delay: 2.924ns (Levels of Logic = 1) - Source: ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> (PAD) - Destination: fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> (PAD) - - Data Path: ddr_256mb_32mx64_rank1_row13_col10_cl2_5:DDR_DQS_O<7> to fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7> - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper:DDR_DQS_O<7> 1 0.000 0.332 ddr_256mb_32mx64_rank1_row13_col10_cl2_5 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_O<7>) - IOBUF:I->IO 2.592 iobuf_73 (fpga_0_DDR_256MB_32MX64_rank1_row13_col10_cl2_5_DDR_DQS_pin<7>) - ---------------------------------------- - Total 2.924ns (2.592ns logic, 0.332ns route) - (88.7% logic, 11.3% route) - -========================================================================= -CPU : 11.59 / 11.77 s | Elapsed : 12.00 / 12.00 s - ---> - -Total memory usage is 160824 kilobytes - -Number of errors : 0 ( 0 filtered) -Number of warnings : 148 ( 0 filtered) -Number of infos : 0 ( 0 filtered) -Copying Xilinx Implementation tool scripts.. -********************************************* -Running Xilinx Implementation tools.. -********************************************* -xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc -Release 7.1.02i - Xflow H.38 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt -system.ngc -.... Copying flowfile c:/Xilinx/xilinx/data/fpga.flw into working directory -D:/mb-jpeg/implementation - -Using Flow File: D:/mb-jpeg/implementation/fpga.flw -Using Option File(s): - D:/mb-jpeg/implementation/fast_runtime.opt - -Creating Script File ... - -#----------------------------------------------# -# Starting program ngdbuild -# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd -#----------------------------------------------# -Release 7.1.02i - ngdbuild H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc -system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd - -Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ... -Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/leds_4bit_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc" -... -Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"... - -Applying constraints in "system.ucf" to the design... - -Checking timing specifications ... -INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification - "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The - following new TNM groups and period specifications were generated at the DCM - output(s): - CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF -TS_sys_clk_pin*1.000000 HIGH 50.000000% - CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF -TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000% - -Processing BMM file ... - -Checking expanded design ... -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER - /I_CARRY_OUT' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected - output pin -WARNING:N -gdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected - output - pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/dis -tmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnec -ted - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_bl -k/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver -WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver - debug_module/debug_module/BUFG_DRCK1 drives no clock pins - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 140 - -Writing NGD file "system.ngd" ... - -Writing NGDBUILD log file "system.bld"... - -NGDBUILD done. - - - -#----------------------------------------------# -# Starting program map -# map -o system_map.ncd -pr b system.ngd system.pcf -#----------------------------------------------# -Release 7.1.02i - Map H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Using target part "2vp30ff896-7". -Mapping design into LUTs... -Writing file system_map.ngm... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Writing design file "system_map.ncd"... - -Design Summary: -Number of errors: 0 -Number of warnings: 8 -Logic Utilization: - Number of Slice Flip Flops: 1,610 out of 27,392 5% - Number of 4 input LUTs: 1,835 out of 27,392 6% -Logic Distribution: - Number of occupied Slices: 1,784 out of 13,696 13% - Number of Slices containing only related logic: 1,784 out of 1,784 100% - Number of Slices containing unrelated logic: 0 out of 1,784 0% - *See NOTES below for an explanation of the effects of unrelated logic -Total Number 4 input LUTs: 2,533 out of 27,392 9% - Number used as logic: 1,835 - Number used as a route-thru: 22 - Number used for Dual Port RAMs: 512 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 164 - - Number of bonded IOBs: 143 out of 556 25% - IOB Flip Flops: 292 - IOB Dual-Data Rate Flops: 87 - Number of PPC405s: 0 out of 2 0% - Number of Block RAMs: 32 out of 136 23% - Number of MULT18X18s: 3 out of 136 2% - Number of GCLKs: 5 out of 16 31% - Number of DCMs: 2 out of 8 25% - Number of BSCANs: 1 out of 1 100% - Number of GTs: 0 out of 8 0% - Number of GT10s: 0 out of 0 0% - - Number of RPM macros: 5 -Total equivalent gate count for design: 2,229,706 -Additional JTAG gate count for IOBs: 6,864 -Peak Memory Usage: 201 MB - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "system_map.mrp" for details. - - - -#----------------------------------------------# -# Starting program par -# par -w -ol high system_map.ncd system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - par H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - - -Constraints file: system.pcf. -WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 - -Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 -Celsius) -Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts) - -Device speed data version: "PRODUCTION 1.91 2005-07-22". - - -Device Utilization Summary: - - Number of BSCANs 1 out of 1 100% - Number of BUFGMUXs 5 out of 16 31% - Number of DCMs 2 out of 8 25% - Number of External IOBs 143 out of 556 25% - Number of LOCed IOBs 143 out of 143 100% - - Number of MULT18X18s 3 out of 136 2% - Number of RAMB16s 32 out of 136 23% - Number of SLICEs 1784 out of 13696 13% - - -Overall effort level (-ol): High (set by user) -Placer effort level (-pl): High (set by user) -Placer cost table entry (-t): 1 -Router effort level (-rl): High (set by user) - -Starting initial Timing Analysis. REAL time: 7 secs -Finished initial Timing Analysis. REAL time: 8 secs - - -Starting Placer - -Phase 1.1 -Phase 1.1 (Checksum:9c50a7) REAL time: 10 secs - -Phase 2.31 -Phase 2.31 (Checksum:1312cfe) REAL time: 10 secs - -WARNING:Place:414 - The input design contains local clock signal(s). To get the - better result, we recommend users run map with the "-timing" option set - before starting the placement. -Phase 3.2 -..... -. - - -Phase 3.2 (Checksum:98de91) REAL time: 18 secs - -Phase 4.30 -Phase 4.30 (Checksum:26259fc) REAL time: 18 secs - -Phase 5.3 -Phase 5.3 (Checksum:2faf07b) REAL time: 18 secs - -Phase 6.5 -Phase 6.5 (Checksum:39386fa) REAL time: 18 secs - -Phase 7.8 -............................. -.......... -............... -....... -.............. -..................... -.............. -.............. -.. -..................... -.............. -................ -...... -Phase 7.8 (Checksum:1050d4f) REAL time: 43 secs - -Phase 8.5 -Phase 8.5 (Checksum:4c4b3f8) REAL time: 44 secs - -Phase 9.18 -Phase 9.18 (Checksum:55d4a77) REAL time: 52 secs - -Phase 10.5 -Phase 10.5 (Checksum:5f5e0f6) REAL time: 52 secs - -Phase 11.27 -Phase 11.27 (Checksum:68e7775) REAL time: 54 secs - -Phase 12.24 -Phase 12.24 (Checksum:7270df4) REAL time: 54 secs -Writing design to file system.ncd - - -Total REAL time to Placer completion: 57 secs -Total CPU time to Placer completion: 54 secs - -Starting Router -Phase 1: 18616 unrouted; REAL time: 1 mins 10 secs - -Phase 2: 16547 unrouted; REAL time: 1 mins 11 secs -Phase 3: 4752 unrouted; REAL time: 1 mins 15 secs -Phase 4: 4752 unrouted; (38866) REAL time: 1 mins 15 secs - -Phase 5: 4801 unrouted; (3953) REAL time: 1 mins 17 secs -Phase 6: 4805 unrouted; (0) REAL time: 1 mins 18 secs -Phase 7: 0 unrouted; (0) REAL time: 1 mins 29 secs -Phase 8: 0 unrouted; (0) REAL time: 1 mins 32 secs - -Total REAL time to Router completion: 1 mins 36 secs -Total CPU time to Router completion: 1 mins 30 secs - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| dlmb_port_BRAM_Clk | BUFGMUX5S| No | 1301 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| DBG_CLK_s | BUFGMUX4P| No | 139 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| ddr_clk_90_s | BUFGMUX3P| No | 275 | 0.151 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| clk_90_s | BUFGMUX0P| No | 38 | 0.145 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -|fpga_0_SysACE_Compac | | | | | | -| tFlash_SysACE_CLK | Local| | 65 | 0.284 | 2.486 | -+---------------------+--------------+------+------+------------+-------------+ -|debug_module/bscan_u | | | | | | -| pdate | Local| | 1 | 0.000 | 0.356 | -+---------------------+--------------+------+------+------------+-------------+ -Timing Score: 0 - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - --------------------------------------------------------------------------------- - Constraint | Requested | Actual | Logic - | | | Levels --------------------------------------------------------------------------------- - NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns | 5.357ns | 2 - K" PERIOD = 30 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns | 2.267ns | 0 - col10_cl2_5 = MAXDELAY FROM TIMEGRP | | | - "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ | | | - col10_cl2_5" TO TIMEGRP "Device_C | | | - lk90_in_DDR_256MB_32MX64_rank1_row13_col1 | | | - 0_cl2_5" 2.5 ns | | | --------------------------------------------------------------------------------- - TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A | N/A | N/A - pin" 10 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP | 10.000ns | 9.934ns | 11 - "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin | | | - HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns | 5.940ns | 0 - "dcm_0_dcm_0_CLK90_BUF" TS_sys_c | | | - lk_pin PHASE 2.5 ns HIGH 50% | | | --------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the - constraint does not cover any paths or that it has no requested value. -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 1 mins 40 secs -Total CPU time to PAR completion: 1 mins 33 secs - -Peak Memory Usage: 243 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. -Timing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 2 -Number of info messages: 0 - -Writing design to file system.ncd - - -PAR done! - - - -#----------------------------------------------# -# Starting program post_par_trce -# trce -e 3 -xml system.twx system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 --------------------------------------------------------------------------------- -Release 7.1.02i Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -trce -e 3 -xml system.twx system.ncd system.pcf - - -Design file: system.ncd -Physical constraint file: system.pcf -Device,speed: xc2vp30,-7 (PRODUCTION 1.91 2005-07-22) -Report level: error report --------------------------------------------------------------------------------- - -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. - - -Timing summary: ---------------- - -Timing errors: 0 Score: 0 - -Constraints cover 344095 paths, 0 nets, and 14298 connections - -Design statistics: - Minimum period: 9.934ns (Maximum frequency: 100.664MHz) - Maximum path delay from/to any node: 2.267ns - - -Analysis completed Wed Nov 01 17:55:22 2006 --------------------------------------------------------------------------------- - -Generating Report ... - -Number of warnings: 0 -Number of info messages: 1 -Total time: 10 secs - - -xflow done! -cd implementation; bitgen -w -f bitgen.ut system -Release 7.1.02i - Bitgen H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 -Opened constraints file system.pcf. - -Wed Nov 01 17:55:30 2006 -Running DRC. -WARNING:PhysDesignRules:367 - The signal is - incomplete. The signal does not drive any load pins in the design. -DRC detected 0 errors and 1 warnings. -Creating bit map... -Saving bit stream in "system.bit". -Creating bit mask... -Saving mask bit stream in "system.msk". -Bitstream generation is complete. -Done. -At Local date and time: Wed Nov 01 17:56:38 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started... -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... - -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - -Copying files for os standalone_v1_00_a from -C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ... - -Copying files for driver uartlite_v1_00_b from -C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to -D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ... - -Copying files for driver sysace_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ... - -Copying files for driver gpio_v2_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ... - -Copying files for driver cpu_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ... - -Copying files for library xilfatfs_v1_00_a from -C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ... - -Running DRCs for OSes, Drivers and Libraries ... - -Running generate for OS'es, Drivers and Libraries ... -Copying Library Files ... - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - -Configuring make for target libs using: - -make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" -Compiling commo -Compiling ipi -Compiling microblaze_disable_dcache. -Compiling microblaze_disable_exceptions. -Compiling microblaze_disable_icache. -Compiling microblaze_disable_interrupts. -Compiling microblaze_enable_dcache. -Compiling microblaze_enable_exceptions. -Compiling microblaze_enable_icache. -Compiling microblaze_enable_interrupts. -Compiling microblaze_init_dcache_range. -Compiling microblaze_init_icache_range. -Compiling microblaze_update_dcache. -Compiling microblaze_update_icache. -Compiling inbyte. -Compiling microblaze_exception_handler. -Compiling microblaze_exceptions_g. -Compiling microblaze_interrupt_handler. -Compiling microblaze_interrupts_g. -Compiling outbyte. -Compiling hw_exception_handler. -Compiling src/xilfatfs_alloc. -Compiling src/xilfatfs_close. -Compiling src/xilfatfs_directory. -Compiling src/xilfatfs_fat. -Compiling src/xilfatfs_fat16. -Compiling src/xilfatfs_fat32. -Compiling src/xilfatfs_filespec. -Compiling src/xilfatfs_filestatus. -Compiling src/xilfatfs_open. -Compiling src/xilfatfs_part. -Compiling src/xilfatfs_read. -Compiling src/xilfatfs_wd. -Compiling src/xilfatfs_stats. -Compiling src/xilfatfs_bufcache. -Compiling src/xilfatfs_write. -Compiling src/xilfatfs_sysace. -make clea -Compiling uartlit -Compiling sysac -Compiling gpi -Compiling cp - -Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory - -Running execs_generate for OS'es, Drivers and Libraries ... - -LibGen Done. -mb-gcc -O2 TestApp_Memory/src/TestApp_Memory.c -o TestApp_Memory/executable.elf \ - -mno-xl-soft-mul -Wl,-T -Wl,TestApp_Memory/src/TestApp_Memory_LinkScr -g -I./microblaze_0/include/ -L./microblaze_0/lib/ \ --xl-mode-executable \ - -mb-size TestApp_Memory/executable.elf - text data bss dec hex filename - 3768 324 8 4100 1004 TestApp_Memory/executable.elf -Done. -At Local date and time: Wed Nov 01 17:57:21 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" Started... -Copying Xilinx Implementation tool scripts.. -********************************************* -Running Xilinx Implementation tools.. -********************************************* -xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc -Release 7.1.02i - Xflow H.38 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt -system.ngc - -Using Flow File: D:/mb-jpeg/implementation/fpga.flw -Using Option File(s): - D:/mb-jpeg/implementation/fast_runtime.opt - -Creating Script File ... - -#----------------------------------------------# -# Starting program ngdbuild -# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd -#----------------------------------------------# -Release 7.1.02i - ngdbuild H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc -system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd - -Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ... -Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/leds_4bit_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc" -... -Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"... - -Applying constraints in "system.ucf" to the design... - -Checking timing specifications ... -INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification - "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The - following new TNM groups and period specifications were generated at the DCM - output(s): - CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF -TS_sys_clk_pin*1.000000 HIGH 50.000000% - CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF -TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000% - -Processing BMM file ... - -Checking expanded design ... -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER - /I_CARRY_OUT' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/di -stmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected - output p -in -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected - - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnec -ted - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnec -ted - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_blk/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver -WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver - debug_module/debug_module/BUFG_DRCK1 drives no clock pins - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 140 - -Writing NGD file "system.ngd" ... - -Writing NGDBUILD log file "system.bld"... - -NGDBUILD done. - - - -#----------------------------------------------# -# Starting program map -# map -o system_map.ncd -pr b system.ngd system.pcf -#----------------------------------------------# -Release 7.1.02i - Map H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Using target part "2vp30ff896-7". -Mapping design into LUTs... -Writing file system_map.ngm... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Writing design file "system_map.ncd"... - -Design Summary: -Number of errors: 0 -Number of warnings: 8 -Logic Utilization: - Number of Slice Flip Flops: 1,610 out of 27,392 5% - Number of 4 input LUTs: 1,835 out of 27,392 6% -Logic Distribution: - Number of occupied Slices: 1,784 out of 13,696 13% - Number of Slices containing only related logic: 1,784 out of 1,784 100% - Number of Slices containing unrelated logic: 0 out of 1,784 0% - *See NOTES below for an explanation of the effects of unrelated logic -Total Number 4 input LUTs: 2,533 out of 27,392 9% - Number used as logic: 1,835 - Number used as a route-thru: 22 - Number used for Dual Port RAMs: 512 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 164 - - Number of bonded IOBs: 143 out of 556 25% - IOB Flip Flops: 292 - IOB Dual-Data Rate Flops: 87 - Number of PPC405s: 0 out of 2 0% - Number of Block RAMs: 32 out of 136 23% - Number of MULT18X18s: 3 out of 136 2% - Number of GCLKs: 5 out of 16 31% - Number of DCMs: 2 out of 8 25% - Number of BSCANs: 1 out of 1 100% - Number of GTs: 0 out of 8 0% - Number of GT10s: 0 out of 0 0% - - Number of RPM macros: 5 -Total equivalent gate count for design: 2,229,706 -Additional JTAG gate count for IOBs: 6,864 -Peak Memory Usage: 201 MB - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "system_map.mrp" for details. - - - -#----------------------------------------------# -# Starting program par -# par -w -ol high system_map.ncd system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - par H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - - -Constraints file: system.pcf. -WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 - -Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 -Celsius) -Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts) - - -Device speed data version: "PRODUCTION 1.91 2005-07-22". - - -Device Utilization Summary: - - Number of BSCANs 1 out of 1 100% - Number of BUFGMUXs 5 out of 16 31% - Number of DCMs 2 out of 8 25% - Number of External IOBs 143 out of 556 25% - Number of LOCed IOBs 143 out of 143 100% - - Number of MULT18X18s 3 out of 136 2% - Number of RAMB16s 32 out of 136 23% - Number of SLICEs 1784 out of 13696 13% - - -Overall effort level (-ol): High (set by user) -Placer effort level (-pl): High (set by user) -Placer cost table entry (-t): 1 -Router effort level (-rl): High (set by user) - -Starting initial Timing Analysis. REAL time: 7 secs -Finished initial Timing Analysis. REAL time: 7 secs - - -Starting Placer - -Phase 1.1 -Phase 1.1 (Checksum:9c50a7) REAL time: 9 secs - -Phase 2.31 -Phase 2.31 (Checksum:1312cfe) REAL time: 9 secs - -WARNING:Place:414 - The input design contains local clock signal(s). To get the - better result, we recommend users run map with the "-timing" option set - before starting the placement. -Phase 3.2 -...... - - -Phase 3.2 (Checksum:98de91) REAL time: 17 secs - -Phase 4.30 -Phase 4.30 (Checksum:26259fc) REAL time: 17 secs - -Phase 5.3 -Phase 5.3 (Checksum:2faf07b) REAL time: 17 secs - -Phase 6.5 -Phase 6.5 (Checksum:39386fa) REAL time: 17 secs - -Phase 7.8 -.. -........................... -.......... -............... -.............. -..................... -.............. -.............. -......... -....... -.............. -.............. -.............. -........ -Phase 7.8 (Checksum:1050d4f) REAL time: 44 secs - -Phase 8.5 -Phase 8.5 (Checksum:4c4b3f8) REAL time: 44 secs - -Phase 9.18 -Phase 9.18 (Checksum:55d4a77) REAL time: 53 secs - -Phase 10.5 -Phase 10.5 (Checksum:5f5e0f6) REAL time: 53 secs - -Phase 11.27 -Phase 11.27 (Checksum:68e7775) REAL time: 55 secs - -Phase 12.24 -Phase 12.24 (Checksum:7270df4) REAL time: 55 secs -Writing design to file system.ncd - -Total REAL time to Placer completion: 58 secs -Total CPU time to Placer completion: 54 secs - -Starting Router -Phase 1: 18616 unrouted; REAL time: 1 mins 9 secs -Phase 2: 16547 unrouted; REAL time: 1 mins 11 secs -Phase 3: 4752 unrouted; REAL time: 1 mins 14 secs - -Phase 4: 4752 unrouted; (38866) REAL time: 1 mins 15 secs -Phase 5: 4801 unrouted; (3953) REAL time: 1 mins 17 secs -Phase 6: 4805 unrouted; (0) REAL time: 1 mins 18 secs -Phase 7: 0 unrouted; (0) REAL time: 1 mins 29 secs -Phase 8: 0 unrouted; (0) REAL time: 1 mins 32 secs - -Total REAL time to Router completion: 1 mins 36 secs -Total CPU time to Router completion: 1 mins 30 secs - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| dlmb_port_BRAM_Clk | BUFGMUX5S| No | 1301 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| DBG_CLK_s | BUFGMUX4P| No | 139 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| ddr_clk_90_s | BUFGMUX3P| No | 275 | 0.151 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| clk_90_s | BUFGMUX0P| No | 38 | 0.145 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -|fpga_0_SysACE_Compac | | | | | | -| tFlash_SysACE_CLK | Local| | 65 | 0.284 | 2.486 | -+---------------------+--------------+------+------+------------+-------------+ -|debug_module/bscan_u | | | | | | -| pdate | Local| | 1 | 0.000 | 0.356 | -+---------------------+--------------+------+------+------------+-------------+ - -Timing Score: 0 -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - --------------------------------------------------------------------------------- - Constraint | Requested | Actual | Logic - | | | Levels --------------------------------------------------------------------------------- - NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns | 5.357ns | 2 - K" PERIOD = 30 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns | 2.267ns | 0 - col10_cl2_5 = MAXDELAY FROM TIMEGRP | | | - "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ | | | - col10_cl2_5" TO TIMEGRP "Device_C | | | - lk90_in_DDR_256MB_32MX64_rank1_row13_col1 | | | - 0_cl2_5" 2.5 ns | | | --------------------------------------------------------------------------------- - TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A | N/A | N/A - pin" 10 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP | 10.000ns | 9.934ns | 11 - "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin | | | - HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns | 5.940ns | 0 - "dcm_0_dcm_0_CLK90_BUF" TS_sys_c | | | - lk_pin PHASE 2.5 ns HIGH 50% | | | --------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the - constraint does not cover any paths or that it has no requested value. -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 1 mins 41 secs -Total CPU time to PAR completion: 1 mins 33 secs - -Peak Memory Usage: 243 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. -Timing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 2 -Number of info messages: 0 - -Writing design to file system.ncd - - -PAR done! - - - -#----------------------------------------------# -# Starting program post_par_trce -# trce -e 3 -xml system.twx system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 --------------------------------------------------------------------------------- -Release 7.1.02i Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -trce -e 3 -xml system.twx system.ncd system.pcf - - -Design file: system.ncd -Physical constraint file: system.pcf -Device,speed: xc2vp30,-7 (PRODUCTION 1.91 2005-07-22) -Report level: error report --------------------------------------------------------------------------------- - -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. - - -Timing summary: ---------------- - -Timing errors: 0 Score: 0 - -Constraints cover 344095 paths, 0 nets, and 14298 connections - -Design statistics: - Minimum period: 9.934ns (Maximum frequency: 100.664MHz) - Maximum path delay from/to any node: 2.267ns - - -Analysis completed Wed Nov 01 18:00:10 2006 --------------------------------------------------------------------------------- - -Generating Report ... - -Number of warnings: 0 -Number of info messages: 1 -Total time: 11 secs - - -xflow done! -cd implementation; bitgen -w -f bitgen.ut system -Release 7.1.02i - Bitgen H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 -Opened constraints file system.pcf. - -Wed Nov 01 18:00:18 2006 -Running DRC. -WARNING:PhysDesignRules:367 - The signal is - incomplete. The signal does not drive any load pins in the design. -DRC detected 0 errors and 1 warnings. -Creating bit map... -Saving bit stream in "system.bit". -Creating bit mask... -Saving mask bit stream in "system.msk". -Bitstream generation is complete. -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - -Copying files for os standalone_v1_00_a from -C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ... - -Copying files for driver uartlite_v1_00_b from -C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to -D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ... - -Copying files for driver sysace_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ... - -Copying files for driver gpio_v2_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ... - -Copying files for driver cpu_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ... - -Copying files for library xilfatfs_v1_00_a from -C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ... - -Running DRCs for OSes, Drivers and Libraries ... - -Running generate for OS'es, Drivers and Libraries ... -Copying Library Files ... - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - -Configuring make for target libs using: - -make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" -Compiling commo -Compiling ipi -Compiling microblaze_disable_dcache. -Compiling microblaze_disable_exceptions. -Compiling microblaze_disable_icache. -Compiling microblaze_disable_interrupts. -Compiling microblaze_enable_dcache. -Compiling microblaze_enable_exceptions. -Compiling microblaze_enable_icache. -Compiling microblaze_enable_interrupts. -Compiling microblaze_init_dcache_range. -Compiling microblaze_init_icache_range. -Compiling microblaze_update_dcache. -Compiling microblaze_update_icache. -Compiling inbyte. -Compiling microblaze_exception_handler. -Compiling microblaze_exceptions_g. -Compiling microblaze_interrupt_handler. -Compiling microblaze_interrupts_g. -Compiling outbyte. -Compiling hw_exception_handler. -Compiling src/xilfatfs_alloc. -Compiling src/xilfatfs_close. -Compiling src/xilfatfs_directory. -Compiling src/xilfatfs_fat. -Compiling src/xilfatfs_fat16. -Compiling src/xilfatfs_fat32. -Compiling src/xilfatfs_filespec. -Compiling src/xilfatfs_filestatus. -Compiling src/xilfatfs_open. -Compiling src/xilfatfs_part. -Compiling src/xilfatfs_read. -Compiling src/xilfatfs_wd. -Compiling src/xilfatfs_stats. -Compiling src/xilfatfs_bufcache. -Compiling src/xilfatfs_write. -Compiling src/xilfatfs_sysace. -make clea -Compiling uartlit -Compiling sysac -Compiling gpi -Compiling cp - -Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory - -Running execs_generate for OS'es, Drivers and Libraries ... - -LibGen Done. -mb-gcc -O2 TestApp_Memory/src/TestApp_Memory.c -o TestApp_Memory/executable.elf \ - -mno-xl-soft-mul -Wl,-T -Wl,TestApp_Memory/src/TestApp_Memory_LinkScr -g -I./microblaze_0/include/ -L./microblaze_0/lib/ \ --xl-mode-executable \ - -mb-size TestApp_Memory/executable.elf - text data bss dec hex filename - 3768 324 8 4100 1004 TestApp_Memory/executable.elf -********************************************* -Initializing BRAM contents of the bitstream -********************************************* -bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 TestApp_Memory/executable.elf \ --bt implementation/system.bit -o implementation/download.bit - -bitinit version Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) Xilinx Inc. 2002. - -Parsing MHS File system.mhs... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Initializing Memory... -Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap... - - -Analyzing file TestApp_Memory/executable.elf... -INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0 -Running Data2Mem with the following command: -data2mem -bm implementation/system_bd -bt implementation/system.bit -bd -TestApp_Memory/executable.elf tag lmb_bram -o b implementation/download.bit -Memory Initialization completed successfully. -Done. -At Local date and time: Wed Nov 01 18:04:23 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" Started... -Copying Xilinx Implementation tool scripts.. -********************************************* -Running Xilinx Implementation tools.. -********************************************* -xflow -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt system.ngc -Release 7.1.02i - Xflow H.38 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -xflow.exe -wd implementation -p xc2vp30ff896-7 -implement fast_runtime.opt -system.ngc - -Using Flow File: D:/mb-jpeg/implementation/fpga.flw -Using Option File(s): - D:/mb-jpeg/implementation/fast_runtime.opt - -Creating Script File ... - -#----------------------------------------------# -# Starting program ngdbuild -# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd -#----------------------------------------------# -Release 7.1.02i - ngdbuild H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc -system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd - -Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ... -Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/leds_4bit_wrapper.ngc"... -Loading design module -"D:/mb-jpeg/implementation/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper.ngc" -... -Loading design module "D:/mb-jpeg/implementation/sysclk_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/ddr_clk90_inv_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"... -Loading design module "D:/mb-jpeg/implementation/dcm_1_wrapper.ngc"... - -Applying constraints in "system.ucf" to the design... - -Checking timing specifications ... -INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification - "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The - following new TNM groups and period specifications were generated at the DCM - output(s): - CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF -TS_sys_clk_pin*1.000000 HIGH 50.000000% - CLK90: TS_dcm_0_dcm_0_CLK90_BUF=PERIOD dcm_0_dcm_0_CLK90_BUF -TS_sys_clk_pin*1.000000 PHASE + 2.500000 nS HIGH 50.000000% - -Processing BMM file ... - -Checking expanded design ... -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I0/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I4/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I3/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I2/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_VAC_CALC/Counter_Bit_I1/FD - RE_I' has unconnected output pin -WARNING:NgdBuild:443 - SFF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/MW_RD_WR_I/ADDR_SEL_SYNC_FIFO_I/I_ADDR_CNTR/I_UP_DWN_COUNTER - /I_CARRY_OUT' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM0REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM1REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM2REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM3REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM4REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM5REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM6REG_SP/REG' has unconnected - output pin -WARNING:N -gdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM7REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM8REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM9REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM10REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM11REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM12REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM13REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM14REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM15REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM16REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM17REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM18REG_SP/REG' has unconnected - output - pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM19REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM20REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM21REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM22REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM23REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM24REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM25REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM26REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM27REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM28REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM29REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM30REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM31REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM32REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM33REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM34REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM35REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM36REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM37REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM38REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM39REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM40REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM41REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM42REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM43REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM44REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM45REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM46REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM47REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM48REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM49REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM50REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM51REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM52REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM53REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM54REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM55REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM56REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM57REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM58REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM59REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM60REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM61REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM62REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM63REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM64REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM65REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM66REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM67REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM68REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM69REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM70REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM71REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM72REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM73REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM74REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM75REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM76REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM77REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM78REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM79REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM80REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM81REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM82REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM83REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM84REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM85REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM86REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM87REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM88REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM89REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM90REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM91REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM92REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM93REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM94REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM95REG_SP/REG' has unconnected - outp -ut pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM96REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM97REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM98REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM99REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM100REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM101REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM102REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM103REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM104REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM105REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/dis -tmem/dist_mem/DPRAM/DPRAM0/DPRAM106REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM107REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM108REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM109REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM110REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM111REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM112REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM113REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM114REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM115REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM116REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM117REG_SP/REG' has unconnec -ted - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM118REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM119REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM120REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM121REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM122REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM123REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM124REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM125REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM126REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/mem/distmem/dist_mem/DPRAM/DPRAM0/DPRAM127REG_SP/REG' has unconnected - output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/read_blk/read_cnt/empty_blk/empty_flag_logic/almst_flag_bl -k/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:440 - FF primitive - 'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_ - cl2_5/DDR_CTRL_I/RDDATA_PATH_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapp - er_V2_ASYNCH_FIFO_I/ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fi - fo_v4_0/control/write_blk/write_cnt/full_blk/full_flag_logic/almst_flag_blk/m - odule_gen/2/carry_gen/skip_one/spacer_fdce' has unconnected output pin -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver -WARNING:NgdBuild:452 - logical net - 'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver -WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver - debug_module/debug_module/BUFG_DRCK1 drives no clock pins - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 140 - -Writing NGD file "system.ngd" ... - -Writing NGDBUILD log file "system.bld"... - -NGDBUILD done. - - - -#----------------------------------------------# -# Starting program map -# map -o system_map.ncd -pr b system.ngd system.pcf -#----------------------------------------------# -Release 7.1.02i - Map H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Using target part "2vp30ff896-7". -Mapping design into LUTs... -Writing file system_map.ngm... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Writing design file "system_map.ncd"... - -Design Summary: -Number of errors: 0 -Number of warnings: 8 -Logic Utilization: - Number of Slice Flip Flops: 1,610 out of 27,392 5% - Number of 4 input LUTs: 1,835 out of 27,392 6% -Logic Distribution: - Number of occupied Slices: 1,784 out of 13,696 13% - Number of Slices containing only related logic: 1,784 out of 1,784 100% - Number of Slices containing unrelated logic: 0 out of 1,784 0% - *See NOTES below for an explanation of the effects of unrelated logic -Total Number 4 input LUTs: 2,533 out of 27,392 9% - Number used as logic: 1,835 - Number used as a route-thru: 22 - Number used for Dual Port RAMs: 512 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 164 - - Number of bonded IOBs: 143 out of 556 25% - IOB Flip Flops: 292 - IOB Dual-Data Rate Flops: 87 - Number of PPC405s: 0 out of 2 0% - Number of Block RAMs: 32 out of 136 23% - Number of MULT18X18s: 3 out of 136 2% - Number of GCLKs: 5 out of 16 31% - Number of DCMs: 2 out of 8 25% - Number of BSCANs: 1 out of 1 100% - Number of GTs: 0 out of 8 0% - Number of GT10s: 0 out of 0 0% - - Number of RPM macros: 5 -Total equivalent gate count for design: 2,229,706 -Additional JTAG gate count for IOBs: 6,864 -Peak Memory Usage: 201 MB - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "system_map.mrp" for details. - - - -#----------------------------------------------# -# Starting program par -# par -w -ol high system_map.ncd system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - par H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - - -Constraints file: system.pcf. -WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 17 - days, this program will not operate. For more information about this product, - please refer to the Evaluation Agreement, which was shipped to you along with - the Evaluation CDs. - To purchase an annual license for this software, please contact your local - Field Applications Engineer (FAE) or salesperson. If you have any questions, - or if we can assist in any way, please send an email to: eval@xilinx.com - Thank You! -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 - -Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 -Celsius) -Initializing voltage to 1.500 Volts. (default - Range: 1.400 to 1.600 Volts) - -Device speed data version: "PRODUCTION 1.91 2005-07-22". - - -Device Utilization Summary: - - Number of BSCANs 1 out of 1 100% - Number of BUFGMUXs 5 out of 16 31% - Number of DCMs 2 out of 8 25% - Number of External IOBs 143 out of 556 25% - Number of LOCed IOBs 143 out of 143 100% - - Number of MULT18X18s 3 out of 136 2% - Number of RAMB16s 32 out of 136 23% - Number of SLICEs 1784 out of 13696 13% - - -Overall effort level (-ol): High (set by user) -Placer effort level (-pl): High (set by user) -Placer cost table entry (-t): 1 -Router effort level (-rl): High (set by user) - -Starting initial Timing Analysis. REAL time: 7 secs -Finished initial Timing Analysis. REAL time: 7 secs - -Starting Placer - -Phase 1.1 -Phase 1.1 (Checksum:9c50a7) REAL time: 9 secs - -Phase 2.31 -Phase 2.31 (Checksum:1312cfe) REAL time: 10 secs - -WARNING:Place:414 - The input design contains local clock signal(s). To get the - better result, we recommend users run map with the "-timing" option set - before starting the placement. -Phase 3.2 -...... - - -Phase 3.2 (Checksum:98de91) REAL time: 17 secs - -Phase 4.30 -Phase 4.30 (Checksum:26259fc) REAL time: 17 secs - -Phase 5.3 -Phase 5.3 (Checksum:2faf07b) REAL time: 17 secs - -Phase 6.5 -Phase 6.5 (Checksum:39386fa) REAL time: 17 secs - -Phase 7.8 -............................. -.......... -............... -.............. -..................... -.............. -.............. -......... -.............. -.............. -.............. -............... -Phase 7.8 (Checksum:1050d4f) REAL time: 43 secs - -Phase 8.5 -Phase 8.5 (Checksum:4c4b3f8) REAL time: 43 secs - -Phase 9.18 -Phase 9.18 (Checksum:55d4a77) REAL time: 51 secs - -Phase 10.5 -Phase 10.5 (Checksum:5f5e0f6) REAL time: 51 secs - -Phase 11.27 -Phase 11.27 (Checksum:68e7775) REAL time: 53 secs - -Phase 12.24 -Phase 12.24 (Checksum:7270df4) REAL time: 53 secs -Writing design to file system.ncd - -Total REAL time to Placer completion: 56 secs -Total CPU time to Placer completion: 53 secs - -Starting Router -Phase 1: 18616 unrouted; REAL time: 1 mins 7 secs -Phase 2: 16547 unrouted; REAL time: 1 mins 8 secs -Phase 3: 4752 unrouted; REAL time: 1 mins 11 secs -Phase 4: 4752 unrouted; (38866) REAL time: 1 mins 12 secs - -Phase 5: 4801 unrouted; (3953) REAL time: 1 mins 13 secs -Phase 6: 4805 unrouted; (0) REAL time: 1 mins 14 secs -Phase 7: 0 unrouted; (0) REAL time: 1 mins 25 secs -Phase 8: 0 unrouted; (0) REAL time: 1 mins 28 secs - -Total REAL time to Router completion: 1 mins 32 secs -Total CPU time to Router completion: 1 mins 28 secs - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| dlmb_port_BRAM_Clk | BUFGMUX5S| No | 1301 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| DBG_CLK_s | BUFGMUX4P| No | 139 | 0.280 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| ddr_clk_90_s | BUFGMUX3P| No | 275 | 0.151 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -| clk_90_s | BUFGMUX0P| No | 38 | 0.145 | 1.257 | -+---------------------+--------------+------+------+------------+-------------+ -|fpga_0_SysACE_Compac | | | | | | -| tFlash_SysACE_CLK | Local| | 65 | 0.284 | 2.486 | -+---------------------+--------------+------+------+------------+-------------+ -|debug_module/bscan_u | | | | | | -| pdate | Local| | 1 | 0.000 | 0.356 | -+---------------------+--------------+------+------+------------+-------------+ -Timing Score: 0 - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - --------------------------------------------------------------------------------- - Constraint | Requested | Actual | Logic - | | | Levels --------------------------------------------------------------------------------- - NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns | 5.357ns | 2 - K" PERIOD = 30 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TSCLK2CLK90_DDR_256MB_32MX64_rank1_row13_ | 2.500ns | 2.267ns | 0 - col10_cl2_5 = MAXDELAY FROM TIMEGRP | | | - "OPB_Clk_DDR_256MB_32MX64_rank1_row13_ | | | - col10_cl2_5" TO TIMEGRP "Device_C | | | - lk90_in_DDR_256MB_32MX64_rank1_row13_col1 | | | - 0_cl2_5" 2.5 ns | | | --------------------------------------------------------------------------------- - TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A | N/A | N/A - pin" 10 ns HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP | 10.000ns | 9.934ns | 11 - "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin | | | - HIGH 50% | | | --------------------------------------------------------------------------------- - TS_dcm_0_dcm_0_CLK90_BUF = PERIOD TIMEGRP | 10.000ns | 5.940ns | 0 - "dcm_0_dcm_0_CLK90_BUF" TS_sys_c | | | - lk_pin PHASE 2.5 ns HIGH 50% | | | --------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the - constraint does not cover any paths or that it has no requested value. -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 1 mins 36 secs -Total CPU time to PAR completion: 1 mins 32 secs - -Peak Memory Usage: 243 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. -Timing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 2 -Number of info messages: 0 - -Writing design to file system.ncd - - -PAR done! - - - -#----------------------------------------------# -# Starting program post_par_trce -# trce -e 3 -xml system.twx system.ncd system.pcf -#----------------------------------------------# -Release 7.1.02i - Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - - -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 --------------------------------------------------------------------------------- -Release 7.1.02i Trace H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -trce -e 3 -xml system.twx system.ncd system.pcf - - -Design file: system.ncd -Physical constraint file: system.pcf -Device,speed: xc2vp30,-7 (PRODUCTION 1.91 2005-07-22) -Report level: error report --------------------------------------------------------------------------------- - -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. - - -Timing summary: ---------------- - -Timing errors: 0 Score: 0 - -Constraints cover 344095 paths, 0 nets, and 14298 connections - -Design statistics: - Minimum period: 9.934ns (Maximum frequency: 100.664MHz) - Maximum path delay from/to any node: 2.267ns - - -Analysis completed Wed Nov 01 18:07:06 2006 --------------------------------------------------------------------------------- - -Generating Report ... - -Number of warnings: 0 -Number of info messages: 1 -Total time: 10 secs - - -xflow done! -cd implementation; bitgen -w -f bitgen.ut system -Release 7.1.02i - Bitgen H.42 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '2vp30.nph' in environment -c:/Xilinx. - "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7 -Opened constraints file system.pcf. - -Wed Nov 01 18:07:13 2006 -Running DRC. -WARNING:PhysDesignRules:367 - The signal is - incomplete. The signal does not drive any load pins in the design. -DRC detected 0 errors and 1 warnings. -Creating bit map... -Saving bit stream in "system.bit". -Creating bit mask... -Saving mask bit stream in "system.msk". -Bitstream generation is complete. -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - -Copying files for os standalone_v1_00_a from -C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ... - -Copying files for driver uartlite_v1_00_b from -C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to -D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ... - -Copying files for driver sysace_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ... - -Copying files for driver gpio_v2_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ... - -Copying files for driver cpu_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ... - -Copying files for library xilfatfs_v1_00_a from -C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ... - -Running DRCs for OSes, Drivers and Libraries ... - -Running generate for OS'es, Drivers and Libraries ... -Copying Library Files ... - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - -Configuring make for target libs using: - -make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" -Compiling commo -Compiling ipi -Compiling microblaze_disable_dcache. -Compiling microblaze_disable_exceptions. -Compiling microblaze_disable_icache. -Compiling microblaze_disable_interrupts. -Compiling microblaze_enable_dcache. -Compiling microblaze_enable_exceptions. -Compiling microblaze_enable_icache. -Compiling microblaze_enable_interrupts. -Compiling microblaze_init_dcache_range. -Compiling microblaze_init_icache_range. -Compiling microblaze_update_dcache. -Compiling microblaze_update_icache. -Compiling inbyte. -Compiling microblaze_exception_handler. -Compiling microblaze_exceptions_g. -Compiling microblaze_interrupt_handler. -Compiling microblaze_interrupts_g. -Compiling outbyte. -Compiling hw_exception_handler. -Compiling src/xilfatfs_alloc. -Compiling src/xilfatfs_close. -Compiling src/xilfatfs_directory. -Compiling src/xilfatfs_fat. -Compiling src/xilfatfs_fat16. -Compiling src/xilfatfs_fat32. -Compiling src/xilfatfs_filespec. -Compiling src/xilfatfs_filestatus. -Compiling src/xilfatfs_open. -Compiling src/xilfatfs_part. -Compiling src/xilfatfs_read. -Compiling src/xilfatfs_wd. -Compiling src/xilfatfs_stats. -Compiling src/xilfatfs_bufcache. -Compiling src/xilfatfs_write. -Compiling src/xilfatfs_sysace. -make clea -Compiling uartlit -Compiling sysac -Compiling gpi -Compiling cp - -Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory - -Running execs_generate for OS'es, Drivers and Libraries ... - -LibGen Done. -mb-gcc -O2 TestApp_Memory/src/TestApp_Memory.c -o TestApp_Memory/executable.elf \ - -mno-xl-soft-mul -Wl,-T -Wl,TestApp_Memory/src/TestApp_Memory_LinkScr -g -I./microblaze_0/include/ -L./microblaze_0/lib/ \ --xl-mode-executable \ - -mb-size TestApp_Memory/executable.elf - text data bss dec hex filename - 3768 324 8 4100 1004 TestApp_Memory/executable.elf -********************************************* -Initializing BRAM contents of the bitstream -********************************************* -bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 TestApp_Memory/executable.elf \ --bt implementation/system.bit -o implementation/download.bit - -bitinit version Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) Xilinx Inc. 2002. -Parsing MHS File system.mhs... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Initializing Memory... -Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap... - - -Analyzing file TestApp_Memory/executable.elf... -INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0 -Running Data2Mem with the following command: -data2mem -bm implementation/system_bd -bt implementation/system.bit -bd -TestApp_Memory/executable.elf tag lmb_bram -o b implementation/download.bit -Memory Initialization completed successfully. -Done. -No changes to be saved in XMP file -Xilinx Platform Studio (XPS) -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 - -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -At Local date and time: Wed Nov 01 18:17:03 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started... -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss - -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - -Copying files for os standalone_v1_00_a from -C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ... - -Copying files for driver uartlite_v1_00_b from -C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to -D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ... - -Copying files for driver sysace_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ... - -Copying files for driver gpio_v2_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ... - -Copying files for driver cpu_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ... - -Copying files for library xilfatfs_v1_00_a from -C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ... - -Running DRCs for OSes, Drivers and Libraries ... - -Running generate for OS'es, Drivers and Libraries ... -Copying Library Files ... - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - -Configuring make for target libs using: - -make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" -Compiling commo -Compiling ipi -Compiling microblaze_disable_dcache. -Compiling microblaze_disable_exceptions. -Compiling microblaze_disable_icache. -Compiling microblaze_disable_interrupts. -Compiling microblaze_enable_dcache. -Compiling microblaze_enable_exceptions. -Compiling microblaze_enable_icache. -Compiling microblaze_enable_interrupts. -Compiling microblaze_init_dcache_range. -Compiling microblaze_init_icache_range. -Compiling microblaze_update_dcache. -Compiling microblaze_update_icache. -Compiling inbyte. -Compiling microblaze_exception_handler. -Compiling microblaze_exceptions_g. -Compiling microblaze_interrupt_handler. -Compiling microblaze_interrupts_g. -Compiling outbyte. -Compiling hw_exception_handler. -Compiling src/xilfatfs_alloc. -Compiling src/xilfatfs_close. -Compiling src/xilfatfs_directory. -Compiling src/xilfatfs_fat. -Compiling src/xilfatfs_fat16. -Compiling src/xilfatfs_fat32. -Compiling src/xilfatfs_filespec. -Compiling src/xilfatfs_filestatus. -Compiling src/xilfatfs_open. -Compiling src/xilfatfs_part. -Compiling src/xilfatfs_read. -Compiling src/xilfatfs_wd. -Compiling src/xilfatfs_stats. -Compiling src/xilfatfs_bufcache. -Compiling src/xilfatfs_write. -Compiling src/xilfatfs_sysace. -make clea -Compiling uartlit -Compiling sysac -Compiling gpi -Compiling cp - -Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory - -Running execs_generate for OS'es, Drivers and Libraries ... - -LibGen Done. -mb-gcc -O2 TestApp_Memory/src/TestApp_Memory.c -o TestApp_Memory/executable.elf \ - -mno-xl-soft-mul -Wl,-T -Wl,TestApp_Memory/src/TestApp_Memory_LinkScr -g -I./microblaze_0/include/ -L./microblaze_0/lib/ \ --xl-mode-executable \ - -mb-size TestApp_Memory/executable.elf - text data bss dec hex filename - 3768 324 8 4100 1004 TestApp_Memory/executable.elf -Done. -At Local date and time: Wed Nov 01 18:17:28 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started... -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 -rm: cannot remove directory `libsrc/cpu_v1_00_a/src': Directory not empty -ERROR:MDT - Failed to create directory D:\mb-jpeg\microblaze_0\libsrc\. - -LibGen Done. -make: *** [microblaze_0/lib/libxil.a] Error 2 -Done. -At Local date and time: Wed Nov 01 18:18:09 2006 -Command xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" Started... -********************************************* -Creating software libraries... -********************************************* -libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ system.mss -libgen -Xilinx EDK 7.1.2 Build EDK_H.12.5.1 -Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. - -Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/ -system.mss -Output Directory (-od) : D:\mb-jpeg\ -Part (-p) : virtex2p - -Software Specification file : system.mss -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl -... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if -_cntlr_v2_1_0.tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0. -tcl ... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_ddr_v2_00_b/data/opb_ddr_v2_1_0.tcl -... - -Overriding IP level properties ... -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:60 - tool overriding c_family value virtex2 to virtex2p -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:61 - tool overriding c_instance value microblaze to microblaze_0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:90 - tcl overriding C_ADDR_TAG_BITS value 17 to 0 -microblaze (microblaze_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0. -mpd:97 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0 -opb_mdm (debug_module) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd:38 -- tool overriding c_family value virtex2 to virtex2p -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:39 - tool overriding c_family value virtex2 to virtex2p -opb_gpio (leds_4bit) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd: -38 - tool overriding c_family value virtex2 to virtex2p -opb_ddr (ddr_256mb_32mx64_rank1_row13_col10_cl2_5) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_ddr_v2_00_b\data\opb_ddr_v2_1_0.mpd:42 -- tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_0) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p -dcm_module (dcm_1) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0. -mpd:56 - tool overriding c_family value virtex2 to virtex2p - -Performing IP level DRCs on properties... - -Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... -Address Map for Processor microblaze_0 - (0x00000000-0x0000ffff) dlmb_cntlr dlmb - (0x00000000-0x0000ffff) ilmb_cntlr ilmb - (0x40000000-0x4000ffff) LEDs_4Bit mb_opb - (0x40600000-0x4060ffff) RS232_Uart_1 mb_opb - (0x41400000-0x4140ffff) debug_module mb_opb - (0x41800000-0x4180ffff) SysACE_CompactFlash mb_opb - (0x70000000-0x7fffffff) DDR_256MB_32MX64_rank1_row13_col10_cl2_5 mb_opb - -Check platform configuration ... -opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs:69 - 2 master(s) : 5 slave(s) -lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs:95 - 1 master(s) : 1 slave(s) -lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs:103 - 1 master(s) : 1 slave(s) - -Check port drivers... - -Check platform address map ... - -Overriding system level properties ... -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:36 -- tool overriding c_num_masters value 4 to 2 -opb_v20 (mb_opb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd:37 -- tool overriding c_num_slaves value 4 to 5 -lmb_v10 (ilmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_v10 (dlmb) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd:36 -- tool overriding c_lmb_num_slaves value 4 to 1 -lmb_bram_if_cntlr (dlmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -lmb_bram_if_cntlr (ilmb_cntlr) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if -_cntlr_v2_1_0.mpd:42 - tool overriding c_mask value 0x00800000 to 0x40000000 -bram_block (lmb_bram) - -C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0. -mpd:35 - tool overriding c_memsize value 2048 to 65536 - -Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -Sourcing tcl file -C:/EDK/hw/XilinxProcessorIPLib/pcores/ddr_v2_00_b/data/ddr_v2_1_0.tcl ... - -Performing System level DRCs on properties... -WARNING:MDT - Peripheral sysclk_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. sysclk_inv is not connected to any of the buses connected to a processor. - 2. sysclk_inv does not have adresses set correctly. - 3. sysclk_inv's address is not within any of the bridge windows connected to - a processor. -WARNING:MDT - Peripheral clk90_inv is not connected to any of the processors in - the system. Check for the following reasons. - 1. clk90_inv is not connected to any of the buses connected to a processor. - 2. clk90_inv does not have adresses set correctly. - 3. clk90_inv's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral ddr_clk90_inv is not connected to any of the processors - in the system. Check for the following reasons. - 1. ddr_clk90_inv is not connected to any of the buses connected to a - processor. - 2. ddr_clk90_inv does not have adresses set correctly. - 3. ddr_clk90_inv's address is not within any of the bridge windows connected - to a processor. -WARNING:MDT - Peripheral dcm_0 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_0 is not connected to any of the buses connected to a processor. - 2. dcm_0 does not have adresses set correctly. - 3. dcm_0's address is not within any of the bridge windows connected to a - processor. -WARNING:MDT - Peripheral dcm_1 is not connected to any of the processors in the - system. Check for the following reasons. - 1. dcm_1 is not connected to any of the buses connected to a processor. - 2. dcm_1 does not have adresses set correctly. - 3. dcm_1's address is not within any of the bridge windows connected to a - processor. -INFO:MDT - List of peripherals addressable from processor instance microblaze_0 - : - - dlmb_cntlr - - ilmb_cntlr - - debug_module - - RS232_Uart_1 - - SysACE_CompactFlash - - LEDs_4Bit - - DDR_256MB_32MX64_rank1_row13_col10_cl2_5 - -Building Directory Structure for microblaze_0 - -Generating platform libraries and device drivers ... - -Running CopyFiles ... - -Copying files for os standalone_v1_00_a from -C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ... - -Copying files for driver uartlite_v1_00_b from -C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to -D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ... - -Copying files for driver sysace_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ... - -Copying files for driver gpio_v2_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ... - -Copying files for driver cpu_v1_00_a from -C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ... - -Copying files for library xilfatfs_v1_00_a from -C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to -D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ... - -Running DRCs for OSes, Drivers and Libraries ... - -Running generate for OS'es, Drivers and Libraries ... -Copying Library Files ... - -Running post_generate for OS'es, Drivers and Libraries ... - -Running make for Drivers and Libraries ... - -Configuring make for target include using: - -make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" - -Configuring make for target libs using: - -make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar" -"COMPILER_FLAGS=-mno-xl-soft-mul -O2 -c" "EXTRA_COMPILER_FLAGS=-g" -Compiling commo -Compiling ipi -Process Terminated. -Done. -Saved project XMP file Index: trunk/system.mss =================================================================== --- trunk/system.mss (revision 53) +++ trunk/system.mss (nonexistent) @@ -1,110 +0,0 @@ - - PARAMETER VERSION = 2.2.0 - - -BEGIN OS - PARAMETER OS_NAME = standalone - PARAMETER OS_VER = 1.00.a - PARAMETER PROC_INSTANCE = microblaze_0 - PARAMETER STDIN = RS232_Uart_1 - PARAMETER STDOUT = RS232_Uart_1 -END - - -BEGIN PROCESSOR - PARAMETER DRIVER_NAME = cpu - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = microblaze_0 - PARAMETER COMPILER = mb-gcc - PARAMETER ARCHIVER = mb-ar - PARAMETER XMDSTUB_PERIPHERAL = debug_module -END - - -BEGIN DRIVER - PARAMETER DRIVER_NAME = opbarb - PARAMETER DRIVER_VER = 1.02.a - PARAMETER HW_INSTANCE = mb_opb -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = uartlite - PARAMETER DRIVER_VER = 1.00.b - PARAMETER HW_INSTANCE = debug_module -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = bram - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = dlmb_cntlr -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = bram - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = ilmb_cntlr -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = uartlite - PARAMETER DRIVER_VER = 1.00.b - PARAMETER HW_INSTANCE = RS232_Uart_1 -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = sysace - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = SysACE_CompactFlash -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = gpio - PARAMETER DRIVER_VER = 2.00.a - PARAMETER HW_INSTANCE = LEDs_4Bit -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = ddr - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = DDR_256MB_32MX64_rank1_row13_col10_cl2_5 -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = generic - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = sysclk_inv -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = generic - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = clk90_inv -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = generic - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = ddr_clk90_inv -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = generic - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = dcm_0 -END - -BEGIN DRIVER - PARAMETER DRIVER_NAME = generic - PARAMETER DRIVER_VER = 1.00.a - PARAMETER HW_INSTANCE = dcm_1 -END - - -BEGIN LIBRARY - PARAMETER LIBRARY_NAME = xilfatfs - PARAMETER LIBRARY_VER = 1.00.a - PARAMETER CONFIG_WRITE = true - PARAMETER CONFIG_MAXFILES = 2 - PARAMETER CONFIG_BUFCACHE_SIZE = 2560 -END -

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.