OpenCores
URL https://opencores.org/ocsvn/pdp1/pdp1/trunk

Subversion Repositories pdp1

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/pdp1/trunk/rtl/verilog/vector2scanline.v
159,7 → 159,7
end
 
 
// Fron buffer address
// Front buffer address
assign scanout_addr = {bufsel,xout_i};
assign scanout_clk = clk_video_i;
always @(posedge scanout_clk) begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.