OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/sqmusic/trunk/1942/computer_1942.v
26,7 → 26,8
output [3:0] ay0_c,
output [3:0] ay1_a,
output [3:0] ay1_b,
output [3:0] ay1_c
output [3:0] ay1_c,
output bus_error
);
reg wait_n, nmi_n, busrq_n;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.