OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/open_hitter/trunk/bench/vhdl/parse_price_sim.vhd
130,6 → 130,10
byte_ready <= '0';
end if;
 
if (pos > -1) then
pos <= pos -1;
end if;
if (restart = '1') then
byte_reset <= '1';
processing <= '1';
136,10 → 140,6
pos <= 15;
end if;
 
if (pos > -1) then
pos <= pos -1;
end if;
end if;
end process;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.